Computerorgani Zation and Architecture Lab Manual: Semester Iv
Computerorgani Zation and Architecture Lab Manual: Semester Iv
zation and
Architecture Lab
Manual
Semester IV
Department of CSE(AIML/CSBS)
Institute of Engineering & Management, Salt Lake
Contents
Serial No. Experiments / Problem Statement
Day 1
Assignment 1 Familiarization of Hardware assembling for a digital computer
Day 2
Assignment 1 Realization of Boolean Expressions Using Basic Gates (IC Chips)
Day 3
Assignment 1 Design an 8 to 1 multiplexer unit (MUX) using basic gates and using IC 74151
Day 4
Assignment 1 Design of A 4-Bit Parallel Binary Adder Circuit Using The IC-Chip7483
Day 5
Assignment 1 Introduction of VHDL and Verilog programming language
Assignment 2 Implementation of basic gates using VHDL and Verilog (Dataflow Model)
Day 6
Assignment 1 Implementation of Half Adder, using VHDL(Dataflow& Behavioral Model)
Day 7
Assignment 1 Implementation of 4-bit Ripple Carry Adder using VHDL (Behavioral Model)
Day 8
Assignment 1 Implementation of 4:1 MUX using 2:1 MUX (using Structural Method) in VHDL
Day 9
Assignment 1 Implementation of Encoder and Decoder using VHDL
Day 10
Assignment 1 Implementation of Signed- Multiplier and Non-Restoring Division algorithm using
VHDL
1. To develop ability to design the mechanism by which the performance of the system can
be enhanced.
2. To understand memory storage & connections.
3. To understand the communication among processing elements inside the computer
architecture.
4. To understand how to simulate the electronic circuits and measure their functionalities.
PEO03: Leadership:
Reference Resources
https://github.com/Abhiroop2004/Computer-Organization-and-Architecture-Lab
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE / MARKS
TEACHER’S SIGNATURE
Assembling a digital computer involves understanding various hardware components and their
proper installation. Key components include the motherboard, processor (CPU), memory
(RAM), storage devices (HDD/SSD), power supply unit (PSU), and peripheral devices. The
process begins with preparing the workspace, ensuring all components are compatible, and
carefully installing each part in the correct order. Proper handling of delicate components, cable
management, and securing connections are essential to ensure optimal performance.
Familiarization with these steps helps users confidently build, troubleshoot, and maintain a
functional computer system.
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
Computer Organization and Architecture Lab Manual
The realization of Boolean expressions using basic logic gates involves implementing logical
functions using Integrated Circuit (IC) chips containing AND, OR, NOT, NAND, NOR, XOR,
and XNOR gates. Each Boolean expression is simplified using algebraic methods like Boolean
algebra or Karnaugh maps and then mapped to corresponding logic gate circuits. Standard ICs
such as 7400 series (TTL) or 4000 series (CMOS) contain these fundamental gates. By
connecting inputs and outputs correctly, logical operations can be physically realized on a
breadboard or PCB. This process is essential for designing combinational circuits, arithmetic
units, and digital control systems.
IC Numbers List:
Truth Table:
INPUT OUTPUT
NOT GATE
A B AND NAND OR NOR XOR INPUT
OUTPUT
0 0
0
0 1 1
1 0
1 1
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
Theory:
An 8-to-1 MUX selects one out of eight input signals based on the three select lines (S2, S1, S0).
The output equation for an 8-to-1 multiplexer can be expressed as:
Procedure:
1. Connect Power Supply: Connect Vcc (+5V) and GND to the ICs.
2. Implement NOT Gates: Use NOT gates (7404) to generate complements of select lines S2,
S1, and S0.
3. Design AND Gates:
Connect inputs (I0–I7) and select lines (S2, S1, S0) to eight AND gates following the
expression above.
4. Use OR Gate: Connect outputs of all eight AND gates to a single OR gate (7432) to get the
final MUX output.
5. Verify the Circuit: Apply different input combinations and verify the output with expected
values.
Truth Table:
S2 S1 S0 Output (Y)
0 0 0 I0
0 0 1 I1
0 1 0 I2
0 1 1 I3
1 0 0 I4
1 0 1 I5
1 1 0 I6
1 1 1 I7
Circuit Diagram:
Materials Required:
Pin Function
1-7 Data Inputs (I0 to I7)
11, 10, 9 Select Lines (S2, S1, S0)
14 Output (Y)
15 Complemented Output
(W)
6 Enable (Active Low)
16 Vcc (+5V)
8 GND
Procedure:
Observations:
The circuit correctly selects one of the eight inputs based on the select lines.
Using basic gates requires more components and wiring, whereas IC 74151 provides a
compact and efficient solution.
The observed outputs match the expected truth table values.
Conclusion:
An 8-to-1 multiplexer was successfully designed and implemented using both basic gates and IC
74151. The results validated the correct selection of input data based on select lines,
demonstrating the working principle of multiplexers in digital circuit.
DEPARTMENT OF CSE(AIML)/CSBS
Day 4: Design of a 4-Bit Parallel Binary Adder Circuit
Using the IC-Chip7483
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
Assignment 1: Design of a 4-Bit Parallel Binary Adder Circuit Using The IC-
Chip7483
Aim:To implement a 4-bit binary parallel adder using the IC 7483 and verify its functionality.
Pin Configuration:
Procedure:
Observations:
Verify the sum and carry output for each input combination.
Conclusion:
The 4-bit binary parallel adder using IC 7483 was successfully implemented and verified. The
outputs matched the expected results.
DEPARTMENT OF CSE(AIML)/CSBS
Day 5: Introduction of VHDL and Verilog programming
language
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
Theory: VHDL and Verilog are Hardware Description Languages (HDLs) used for designing
and modeling digital circuits. These languages enable designers to describe the behavior,
structure, and implementation of hardware systems at various abstraction levels, such as
behavioral, dataflow and structural modeling. They are widely used in FPGA (Field-
Programmable Gate Array) and ASIC (Application-Specific Integrated Circuit) design.
Overview:
VHDL is a strongly typed, structured HDL developed by the U.S. Department of Defense and
standardized by IEEE (IEEE 1076). It is commonly used in industry and academia for designing
digital circuits.
Key Features:
Strongly Typed Language: Requires explicit data types, ensuring high reliability.
Supports Concurrency: Allows modeling of parallel digital circuits efficiently.
Modular Design: Encourages reusable code through libraries and packages.
Multiple Design Abstractions: Supports behavioral, dataflow, and structural modeling.
Verilog
Overview:
Verilog is a widely used HDL developed in the 1980s by Gateway Design Automation. It is
more C-like, making it easier to learn for programmers familiar with C or Java. It is also
standardized by IEEE (IEEE 1364).
Key Features:
Simple Syntax: Similar to C, making it easier to write and debug.
Event-Driven Simulation: Uses always blocks to describe circuit behavior.
Supports Both Low-Level and High-Level Modeling: Used for both RTL (Register
Transfer Level) and gate-level designs.
Efficient for Synthesis: Frequently used in FPGA and ASIC implementations
VHDL provides multiple modeling styles to describe digital circuits at different levels of
abstraction. The primary types of VHDL models are:
O Dataflow Modeling
O Behavioral Modeling
O Structural Modeling
Dataflow Modeling
Describes circuit functionality using concurrent statements.
Uses signal assignment (<=) and logical operators.
More efficient than behavioral modeling for simple combinational logic.
Behavioral Modeling
Describes what a circuit does rather than its internal structure.
Uses sequential statements (e.g., if-else, case, loop) inside process blocks.
Ideal for high-level simulation and algorithm implementation.
Structural Modeling
Describes a circuit in terms of its components and interconnections.
Uses component instantiation to connect different modules.
Best suited for complex designs like processors and large digital systems.
AND GATE:
Dataflow Model Behavioral Model
OR GATE:
NAND GATE:
NOR GATE:
XOR GATE:
NOT GATE:
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
Theory:
Half-Adder
A half-adder is a combinational logic circuit that performs the addition of two bits, A and B.
The output of the half-adder is two bits: the sum bit, S, and the carry bit, C. The Boolean
functions describing the half-adder are as follows: Sum bit: S = A ⊕ B&Carry bit: C = A⋅B
Half-Adder using X-OR and basic gates Half-Adder using NAND gate only
Full-Adder
A full-adder is a combinational logic circuit that performs the addition of two bits, A and B,
and a carry-in bit, Cin. The output of the full-adder is two bits: the sum bit, S, and the carry
bit, Cout.
Half Adder
Full Adder
RTL Schematics:
Waveforms:
Half Subtractor
RTL Schematics:
Full Sub-tractor
RTL Schematics:
Waveform
DEPARTMENT OF CSE(AIML)/CSBS
Day 7: Implementation of 4-bit Ripple Carry Adder and
Carry Look-ahead Adder using VHDL (Behavioral Model)
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
Theory: Ripple Carry Adders offer a straight forward approach to binary addition using
cascaded full adders to gives the results of the addition of two n bit binary sequence. This
adder includes cascaded full adders in its structure so, the carry will be generated ate very
full adder stage in a ripple-carry adder circuit. These carry output at each full adder stage is
forwarded to its next full adder and there applied as a carry input to it. This process
continues up to its last full adder stage. So, each carry out put bit is rippled to the next stage of
a full adder. By this reason, it is named as “Ripple Carry Adder”.
Ripple Carry Adders serve as essential components in digital computers for performing
binary addition. By employing basic logic gates like AND, OR, and XOR, these adders
enable the addition of multi-bit binary numbers.
In a Ripple Carry Adder, each full adder waits for the carry bit from the previous full adder,
thus propagating the carry bit through the chain. While they facilitate rapid design due to
their simple layout, their performance is limited by carry propagation delays, resulting in
relatively slower operation compared to alternative adder designs. The expressions for sum
and carry for each stage is same as that of a full adder:
Sumi= Ai⊕ Bi⊕ Ci-1
Carryi= AiBi+ ACi-1+ BCi-1
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Ripple_Carry_Adder is
Port (
A, B : in STD_LOGIC_VECTOR(3 downto 0);
Cin : in STD_LOGIC;
Sum : out STD_LOGIC_VECTOR(3 downto 0);
Cout : out STD_LOGIC );
end Ripple_Carry_Adder;
RTL Schematic:
Waveform
Theory: In ripple carry adders, each adder block waits for the carry to arrive from its previous
block. The ith block waits for the i-1th block to produce its carry. This cause scarry propagation
delay, which increases as the number of bits increase. Consider a 4-bit ripple carry adder. Even
after inputs A3and B3are given, the 4th full adder cannot produce steady state outputs until
C3is available at its final steady-state value.Similarly C3 depends on C2 and C2 on C1.
Therefore, though the carry must propagate to all the stage s in order that out puts S 3 and C4
settle at their final steady-state value.
The propagation time is equal to the propagation delay of each adder block, multiplied by the
number of adder blocks in the circuit. For example, if each full adder stage has a propagation
delay of 20 nano-seconds, then S 3 will reach its final correct value after 60(20×3)
nanoseconds. The situation gets worse, if we extend the number of stages for adding more
number of bits. Carry Lookahead Adders function by computing the carry signals in constant
time. In this approach, two signals, known as Carry Propagator (P) and Carry Generator (G),
are calculated for each stage of the adder.
The Carry Propagator signal indicates whether a carry will be propagated to the next stage
from if the previous stage passed a carry signal. The Carry Generator signal indicates whether
a carry will be generated at the current stage, regardless of input carry.
Pi=Ai⊕Bi & Gi=Ai·Bi
Using the expressions for P and G, the sum & carry outputs for each stage are
Sumi= Pi⊕Ci&Carryi+1= Gi+ (Pi·Ci)
By substituting the previous carry value(Ci) into the expression for Ci+1, we get:
C1= G0+ P0·C0
C2=G1+P1·C1=G1+ P1·G0+ P1·P0·C0
C3=G2+P2·C2=G2+P2·G1+P2·P1·G0+ P2·P1·P0·C0
C4=G3+ P3·C3=G3+P3·G2·P2·G1+P3·P2·P1·G0+P3·P2·P1·P0·C0
CLA can generate the entire output in 4 gate delays. 1 gate delay for generating the carry
propagators and carry generators; 2 gate delays for the computing the carry bit and 1
additional gate delay for computing the sum bit.
INPUT OUTPUT
Ai Bi Ci Gi Pi Ci+1
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
Carry Generator & Carry Propagator
Carrygeneratorand
Carrypropagatorgenera
tion
Carrycalculation
Sumcalculation
entity Partial_Full_Adder is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
Cin : in STD_LOGIC;
S : out STD_LOGIC;
P : out STD_LOGIC;
G : out STD_LOGIC);
end Partial_Full_Adder;
begin
end Behavioral;
entity Carry_Look_Ahead is
Port ( A : in STD_LOGIC_VECTOR (3 downto 0);
B : in STD_LOGIC_VECTOR (3 downto 0);
Cin : in STD_LOGIC;
S : out STD_LOGIC_VECTOR (3 downto 0);
Cout : out STD_LOGIC);
end Carry_Look_Ahead;
component Partial_Full_Adder
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
Cin : in STD_LOGIC;
S : out STD_LOGIC;
P : out STD_LOGIC;
G : out STD_LOGIC);
end component;
PFA1: Partial_Full_Adder port map( A(0), B(0), Cin, S(0), P(0), G(0));
PFA2: Partial_Full_Adder port map( A(1), B(1), c1, S(1), P(1), G(1));
PFA3: Partial_Full_Adder port map( A(2), B(2), c2, S(2), P(2), G(2));
PFA4: Partial_Full_Adder port map( A(3), B(3), c3, S(3), P(3), G(3));
end Behavioral;
RTL Schematic:
Waveforms:
DEPARTMENT OF CSE(AIML)/CSBS
Day 8: Implementation of 4:1 MUX using 2:1 MUX
(using Structural Method) and 4 Flip-flops in VHDL
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
Theory:
Multiplexing is the generic term used to describe the operation of sending one or more
analogue or digital signals over a common transmission line at different times or speeds
and the device used to do that is called Multiplexer.
The rotary switch, also called a wafer switch as each layer of the switch is known as a
wafer, is a mechanical device whose input is selected by rotating a shaft. In other words,
the rotary switch is a manual switch that you can use to select individual data or signal lines
simply by turning its inputs “ON” or “OFF”. So how can we select each data input
automatically using a digital device. In digital electronics, multiplexers are also known as
data selectors because they can “select” each input line, are constructed from individual
Analogue Switches encased in a single IC package as opposed to the “mechanical” type
selectors such as normal conventional switches and relays. They are used as one method of
reducing the number of logic gates required in a circuit design or when a single data line or
data bus is required to carry two or more different digital signals. For example, a single 8-
channel multiplexer, Generally, the selection of each input line in a multiplexer is
controlled by an additional set of inputs called control lines and according to the binary
condition of these control inputs, either “HIGH” or “LOW” the appropriate data input is
connected directly to the output. Normally, a multiplexer has an even number of 2 n data
input lines and a number of “control” inputs that correspond with the number of data
inputs.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity mux_4to1 is
port(
A,B,C,D : in STD_LOGIC;
S0,S1: in STD_LOGIC;
Z: out STD_LOGIC
);
end mux_4to1;
Z <= C;
else
Z <= D;
end if;
end process;
end bhv;
Structural Model
entity mux2_1 is
port(A,B : in STD_LOGIC;
S: in STD_LOGIC;
Z: out STD_LOGIC);
end mux2_1;
begin
process (A,B,S) is
begin
if (S ='0') then
Z <= A;
else
Z <= B;
end if;
end process;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mux4_1 is
port(
A,B,C,D : in STD_LOGIC;
S0,S1: in STD_LOGIC;
Z: out STD_LOGIC
);
end mux4_1;
begin
m1: mux2_1 port map(A,B,S0,temp1);
m2: mux2_1 port map(C,D,S0,temp2);
m3: mux2_1 port map(temp1,temp2,S1,Z);
end Behavioral;
RTL Schematic:
Waveform:
Sequential circuits are digital circuits that store and use the previous state information to
determine the in next state. Unlike combinational circuits, which only depend on the current
input values to produce outputs, sequential circuits depend on both the current inputs and
the previous state stored in memory elements.
SR flip-flop :
The SR flip-flop is one of the fundamental parts of the sequential circuit logic. SR flip-flop is a
memory device and binary data of 1 bit can be stored in it. SR flip-flop has two stable states
in which it can store data in the form of either binary zero or binary one. Like all flip-flops, an
SR flip-flop is also an edge sensitive device. SR flip–flop is one of the most vital components
in digital logic and it is also the most basic sequential circuit that is possible. The S (SET)
input, sets the output to 1 and the R (RESET) input resets it to 0.
JK flip-flop:
JK flip-flop is a refinement of SR flip-flop where the indeterminate state of SR type is
defined. Input J and K are respectively the set and reset inputs of the flip-flop. When both
the inputs are high then the output of the flip-flop switches to its complemented state.
Dflip-flop:
To ensure that both S and R inputs don’t become the same, we can use the Delay/ Data flip-
flop. Here there is a single ‘Data’ input which is connected to the Set pin, while its
complement goes to the Reset pin.
entity SR_FF is
PORT( S,R,CLOCK: in std_logic;
Q, QBAR: out std_logic);
end SR_FF;
RTL Schematic
entity D_FF is
PORT( D,CLOCK: in std_logic;
Q: out std_logic);
end D_FF;
RTL Schematic
library ieee;
use ieee. std_logic_1164.all;
use ieee. std_logic_arith.all;
use ieee. std_logic_unsigned.all;
entity JK_FF is
PORT( J,K,CLOCK: in std_logic;
Q, QB: out std_logic);
end JK_FF;
RTL Schematic
entity T_FF is
port( T: in std_logic;
Clock: in std_logic;
Q: out std_logic);
end T_FF;
if T='0' then
tmp <= tmp;
elsif T='1' then
tmp <= not (tmp);
end if;
end if;
end process;
Q <= tmp;
end Behavioral;
RTL Schematic
Waveform:
DEPARTMENT OF CSE(AIML)/CSBS
Day 9: Implementation of Encoder and Decoder using
VHDL
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
Encoder:
An encoder is a digital circuit that converts a set of binary inputs into a unique binary code.
The binary code represents the position of the input and is used to identify the specific
input that is active. Encoders are commonly used in digital systems to convert a parallel set
of inputs into a serial code.
The basic principle of an encoder is to assign a unique binary code to each possible input.
For example, a 2-to-4 line encoder has 2 input lines and 4 output lines and assigns a unique
4-bit binary code to each of the 22 = 4 possible input combinations.
There are different types of encoders, including priority encoders, which assign a priority to
each input, and binary-weighted encoders, which use a
binaryweightingsystemtoassignbinarycodestoinputs.Encodersarewidely used in digital
systems to convert parallel inputs into serial codes.
An Encoder has a maximum of2n input lines and ‘n’ output lines, hence it encodes the
information from 2n inputs into an n-bit code. It will produce a binary code equivalent to
the input, which is active High. Therefore, the encoder encodes 2 n input lines with ‘n’ bits.
Truth Table
INPUT OUTPUT
Y3 Y2 Y1 Y0 A1 A0
0 0 0 1
0 0 1 0
0 1 0 0
1 0 0 0
Logical expressions:
Decoder
A binary decoder is a digital circuit that converts a binary code into a set of
outputs. The binary code represents the position of the desired output and is used
to select the specific output that is active. Binary decoders are commonly used in
digital systems to convert a serial code into a parallel set of outputs. The basic
principle of a binary decoder is to assign a unique output to each possible binary
code. For example, a binary decoder with 2 inputs and 22 = 4 outputs can assign a
unique output to each of the 4 possible 2-bit binary codes.
In Digital Electronics, discrete quantities of information are represented by binary
codes. A binary code of n-bits is capable of representing upto 2n distinct coded
information. A decoder is a combinational circuit that converts binary information
from n input lines to a maximum of 2n unique output lines.
If a binary decoder receives n inputs it activates only one of its 2 n outputs based
on that input with all other outputs deactivated. If the n-bit coded information has
unused combinations, the decoder may have fewer than 2 n outputs. Binary
decoders generate the 2n (or fewer) minterms of n input variables with each input
combination asserting a unique output.
INPUT OUTPUT
EN S1 S0 O3 O2 O1 O0
0 X X
1 0 0
1 0 1
1 1 0
1 1 1
entity encoder2 is
port(
a : in STD_LOGIC_VECTOR(3 downto 0);
b : out STD_LOGIC_VECTOR(1 downto 0)
);
end encoder2;
end bhv;
Library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity encoder is
port(
a : in STD_LOGIC_VECTOR(3 downto 0);
b : out STD_LOGIC_VECTOR(1 downto 0)
);
end encoder;
process(a)
begin
case a is
when "1000" => b <= "00"; when "0100" => b <= "01"; when "0010" => b <=
"10"; when "0001" => b <= "11"; when others => b <= "ZZ";
end case;
end process;
end bhv;
use IEEE.STD_LOGIC_1164.all;
entity encoder1 is
port(
a : in STD_LOGIC_VECTOR(3 downto 0);
b : out STD_LOGIC_VECTOR(1 downto 0)
);
end encoder1;
process(a)
begin
if (a="1000") then
b <= "00";
elsif (a="0100") then
b <= "01";
elsif (a="0010") then
b <= "10";
elsif (a="0001") then
b <= "11";
else
b <= "ZZ";
end if;
end process;
end bhv;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity decoder2 is
end decoder2;
begin
end bhv;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity decoder is
end decoder;
begin
process(a)
begin
end case;
end process;
end bhv;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity decoder1 is
end decoder1;
begin
process(a)
begin
end if;
end process;
end bhv;
Waveform:
Waveform:
DEPARTMENT OF CSE(AIML)/CSBS
Day 10: Implementation of Signed- Multiplier and
Non-Restoring Division algorithm using VHDL
ROLL NO.
DATE OF EXPERIMENT
DATE OF SUBMISSION
GRADE/MARKS
TEACHER’S SIGNATURE
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity BoothMultiplier is
Port (
clk : in STD_LOGIC;
start : in STD_LOGIC;
A_in : in STD_LOGIC_VECTOR(3 downto 0); -- Multiplicand (signed)
B_in : in STD_LOGIC_VECTOR(3 downto 0); -- Multiplier (signed)
product : out STD_LOGIC_VECTOR(7 downto 0); -- 8-bit result
ready : out STD_LOGIC
);
end BoothMultiplier;
Architecture:
RTL Schematics:
Waveform:
Architecture:
DEPARTMENTOFCSE(AIML/CSBS),IEMSALTLAKE SemesterIV
ComputerOrganizationandArchitectureLabManual
DEPARTMENTOFCSE(AIML/CSBS),IEMSALTLAKE SemesterIV
ComputerOrganizationandArchitectureLabManual
if counter = 0 then
state <= CORRECTION;
else
state <= COMPARE;
end if;
RTL Schematics:
DEPARTMENTOFCSE(AIML/CSBS),IEMSALTLAKE SemesterIV
ComputerOrganizationandArchitectureLabManual
Waveform:
DEPARTMENTOFCSE(AIML/CSBS),IEMSALTLAKE SemesterIV