code-vhdl
code-vhdl
-- Company:
-- Engineer:
--
-- Design Name:
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
--library UNISIM;
--use UNISIM.VComponents.all;
entity gest_feux is
-- Port ( );
Port (
clk : in STD_LOGIC;
);
end gest_feux;
type state_type is (s0, s1, s2, s3, s4, s5, s6, s7);
signal state : state_type := s0;
begin
STATEpro : process(state)
begin
case state is
end case;
end process;
LT : process(clk)
begin
if rising_edge(clk) then
case count is
end case;
end if;
end process;
end Behavioral;