Decoder
Decoder
entity dec2to4 is
end dec2to4;
begin
"1000";
end Behavioral;
3 to 8 dcoder using 2 to 4
ibrary IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
--library UNISIM;
--use UNISIM.VComponents.all;
entity DEC_38 is
EN : in STD_LOGIC;
end DEC_38;
component dec2to4 is
end component;
begin
end behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity dec2 is
en : in STD_LOGIC;
end dec2;
component decoder1
e: in STD_LOGIC;
end component;
begin
U1: decoder1 Port map(i(1 downto 0),EN,y(7 downto 4));
end Behavioral;