Manohar - (Vlsi) Internship - 1
Manohar - (Vlsi) Internship - 1
REPORT ON
BA CHELOR OF TECHNOLOGY
IN
K. MANOHAR - 22MC5A0422
DEPARTMENT OF
ELECTRONICS AND COMMUNICATION ENGINEERING
RK COLLEGE OF ENGINEERING
(Approved by AICTE, New Delhi and Affiliated to JNTUK, Kakinada)
(Accredited by NAAC “A” Grade with Autonomous)
Kethanakonda (V), Ibrahimpatnam (M), Vijayawada, Amaravati – 521456
2021-2025
DEPARTMENT OF
ELECTRONICS AND COMMUNICATION ENGINEERING
CERTIFICATE
This is to certify that the Internship report entitled “VLSI” a Bonafide
record work carried out by K. MANOHAR (22MC5A0422) IV B-Tech II
Semester Electronics and Communication Engineering under the
guidance of SK John Sydha M. Tech (PhD) during academic year of 2024-
2025. The results of investigation enclosed in this report have been verified
and found to be satisfactory.
EXTERNAL EXAMINER
ACKNOWLEDGEMENT
We express our heartfelt gratitude and deep indebtedness to our Founder & chairman Sri M.
M. KONDAIAH Garu, for his great help and encouragement in doing our Internship successfully.
We also express our heartfelt gratitude and wholehearted thanks to our principal
sir Dr. K. RAMA KRISHNAIAH, M.Sc., Ph.D. & M.Tech., Ph.D. MIE, LMISTE & FIETE for his
encouragement and facilities provided during our Internship
SK. JOHN SYDHA M. Tech (PhD) and Assistant professor for his valuable guidance and
suggestions.
We express our sincere thanks to the members of our Internship review committee and the entire
faculty of the department for their guidance and cooperation in completing our Internship. We would like
to thank our parents and well-wishers for helping us to complete our Internship smoothly. Thanks to all
those who directly or indirectly helped us to complete this Internship work.
K. MANOHAR 22MC5A0422
DECLARATION
I are the members of the Internship entitled with “VLSI” here by “declared that
matter embodies in this Internship is a genuine work done by us and has not
been submitted to any other university for the fulfilment of the requirement
K. MANOHAR
CONTENT
TITLE PAGE.NO
3.4 VERILOG 13
CHAPTER 4…………………………………………………………………………….64-88
Activity log for the first week 64
Weekly report 1 65
Activity log for the second week 66
Weekly report 2 67
Activity log for the third week 68
Weekly report 3 69
Activity log for the fourth week 70
weekly report 4 71
Activity log for the fifth week 72
weekly report 5 73
Activity log for the sixth week 74
weekly report 6 75
Activity log for the seventh week 76
weekly report 7 77
Activity log for the 8th week 79
weekly report 8 80
Activity log for the 9th week 81
weekly report 9 82
Activity log for the 10th week 83
weekly report10 84
Activity log for the 11th week 85
weekly report11 86
Activity log for the 12th week 87
weekly report 12 88
CHAPTER 1
EXECUTIVE SUMMARY
CHAPTER 2:
OVERVIEW OF THE ORGANIZATION
2.1 INTRODUCTION OF THE ORGANIZATION
Consultancy Services:
Introduction:
5 Expert Trainers: Our trainers are industry experts with years of practical
Organizational Structure
3 Executive Leadership: This includes top executives such as the CEO (Chief
Executive Officer), CFO (Chief Financial Officer), and other C-level executives
who are responsible for the overall direction of the company.
5 Managers and Team Leaders: Each department may have managers or team
leaders who oversee specific teams or projects.
1. Clients and Partners: While not part of the internal organizational structure,
clients and partners are integral to the company’s operations. Maintaining
strong relationships with clients and partners is essential for the company's
success.
**3. ** Mentorship: Each intern is assigned a mentor who will guide and
support them throughout their internship. Our mentors are experienced
professionals who provide valuable insights, feedback, and encouragement to
help interns succeed in their roles.
**8. ** Duration and Schedule: The duration and schedule of the internship
will be clearly defined at the beginning of the program. Any changes to the
schedule should be communicated and agreed upon in advance.
Future Plans:
2
.2
2.2.2 Mission
To identify, nurture, and showcase talent from diverse backgrounds, providing
opportunities for education, skill development, and employment, thereby
fostering socio-economic growth and inclusivity.
VALUES :
Core Values of Naresh Technologies Institute:
1. Quality Education: Committed to delivering industry-oriented, high-quality
training in IT and software development through experienced faculty and realtime
project-based learning.
2. Practical Learning Approach :Focus on hands-on learning and real-time project
experience to ensure students are job-ready and skilled in current technologies.
3. Student-Centric Environment :Providing a supportive and engaging learning
environment that caters to the individual growth and development of each
student.
4. Industry Relevance :Curriculum and training programs are regularly updated to
match current industry trends and demands, ensuring students stay ahead.
5. Discipline and Professionalism :Encouraging punctuality, responsibility, and
pr
odules of the domain and what kind of skills I acquired etc., already is cussing
in this chapter.
Companies in search of interns often find and place student sin mostly
unpaid internships, for a fee. These companies charge students to assist wither
search, promising to refund the fee if no internship is found. The programs vary
and aim to provide internship placement sat companies. Some companies may
also provide controlled housing in a city, mentorship, support, networking,
weekend activities or academic credit. Some program so extra add-ons such as
languages classes, networking events, local excursions, and academic options.
• Review and design code, integrate and validate new product designs, and
• Critical thinking.
• Patience
• Team work
• Abilities in problem solving and the generation of new ideas for answers.
3.4 VERILOG
Features
History
III. Standardized as IEEE 1364 in 1995 and later improved in 2001 and 2005.
IV. Replaced by SystemVerilog (IEEE 1800), but still widely used in industry.
Importance
Before diving into Verilog, it's important to understand basic digital circuit concepts:
Example:
A = 0; B = 0; #10;
A = 0; B = 1; #10;
A = 1; B = 0; #10;
A = 1; B = 1;
#10; $finish; end
endmodule
Explanation
I. assign is used for continuous assignment in combinational logic.
Syntax
A Verilog module follows this syntax:
module <module_name> (input/output ports);
// Internal signals (if needed)
= 0; B = 1; sel = 1; #10;
A = 1; B = 0; sel = 0; #10;
A = 1; B = 0; sel = 1; #10;
$finish;
end
endmodule
I. Simulation
• Used to test and verify the behavior of the design before hardware implementation.
II. Synthesis
• Converts Verilog code into a hardware circuit (gates, flip-flops, etc.).
D Flip-Flop module
d_flip_flop ( input D, input clk,
output reg Q); always
#10; D = 0;
#10; D = 1;
#10; D = 0;
#10;
$finish;
end
endmodule
Verilog has a variety of data types, which are used to describe different
hardware elements. Understanding these types is crucial for designing both
combinational and sequential circuits. Data Types in Verilog
1. Nets (wire)
• Cannot hold or store a value; they must be continuously driven by some other
signal.
2. Registers (reg)
always @(posedge
clk) Q <= D;
endmodule
3. Integer (integer)
• Used for loop counters and calculations.
4. Parameter (parameter)
Operators
Arithmetic Operators
assign Sum = A + B;
assign Diff = A - B; assign
Product = A * B; assign
Remainder = A % B;
Bitwise Operators
Logical Operators
Shift Operators
5. Reduction Operators
Reduction operators perform bitwise operations on all bits of a vector.
I. Constants in Verilog
Verilog allows the use of constants, which are values that do not change during
simulation. These are mainly used for defining fixed values like clock periods,
bus widths, and predefined logic values.
endmodule
Defining Constants Using define
I. Continuous Assignment
Logic
if-else Statement
endmodule case
Statement
0: Y = C;
2'b11: Y = D; default: Y =
0; endcase
end
endmodule
Loops in Verilog
repeat Repeats a block of code a fixed Used for delays and waveform
number of times generation
for Loop
• A for loop is the most commonly used loop in Verilog.
end end
endmodule
repeat Loop
nd endmodule
Instead of writing a long sequence of if-else conditions, we can use a for loop.
Code: Counter with Loop for Initialization
module counter_with_loop; reg [3:0] count; integer
i; initial begin for (i = 0; i < 10; i = i + 1) begin count
= i;
#10;
$display("Count: %d", count);
end
end
endmodule;
FSM
State machines are used for controlling sequential logic in digital design.
They transition between different states based on inputs.
1. Types of FSMs
FSMs can be classified into two types:
2'b01
2'b10
Level of abstraction
Verilog allows different levels of abstraction for designing digital circuits. The
three primary modeling styles in Verilog are:
III. Behavioral Modeling – Uses procedural constructs like always blocks, if-
else, and case statements to describe the behavior of a circuit.
Structural Modeling
• Represents a circuit as a collection of interconnected components (gates,
multiplexers, adders, etc.).
• Uses gate-level primitives (and, or, xor, etc.) and module instantiation for
hierarchy. example
2.
A Half Adder performs binary addition of two inputs (A and B) and produces:
• Sum = A B( XOR operation)
nput B, output Sum, output Carry); xor G1 (Sum, A, B); // XOR gate for
Sum and G2 (Carry, A, B); // AND gate for Carry endmodule
3.
A Full Adder extends a Half Adder by adding a Carry-in (Cin).
Logic Equations for a Full Adder
• Sum = A B Cin
4.
A Ripple Carry Adder (RCA) connects multiple full adders in sequence to add
multi-bit numbers
Advantages Disadvantages
Closely resembles hardware design Difficult to write for large designs
Reusable components simplify Debugging is complex compared to
testing behavioral modeling
Dataflow Modeling
Dataflow modeling describes circuits using Boolean equations and
continuous assignments (assign). This abstraction level focuses on how
data flows between inputs and outputs rather than individual gates.
Syntax
assign <output> = <expression>;
half adder performs binary addition and produces a Sum and a Carry output.
Logic Equations
• Sum = A B
• Carry = A & B
Code: Half Adder Using Dataflow module half_adder ( input A, input B,
output Sum, output Carry); assign Sum = A ^ B; // XOR for Sum assign
Carry = A & B; // AND for Carry endmodule
A 2:1 MUX selects one of two inputs (A or B) based on a select signal (sel).
(sel == 2'b01) ? B :
(sel == 2'b10) ? C :
D;
Endmodule
Behavioral Modeling
Behavioral modeling is the highest level of abstraction in Verilog. It
describes how a circuit behaves using procedural statements inside
always or initial blocks, rather than specifying individual logic gates or
Boolean expressions.
•U
ses always blocks for describing sequential and complex combinational logic.
• Enables the description of complex circuits like state machines, counters, and
memory elements.
always Block
Syntax
always @(sensitivity_list) begin
// Procedural statements
end
'b00: Y = A;
2'b01: Y = B;
2'b10: Y = C;
2'b11: Y = D; default: Y =
0; endcase end
endmodule
• The case statement is more efficient than multiple if-else statements for
multiplexer design.
Q <= D; end
endmodule
endmodule
I. Module
A module in Verilog is similar to a function in programming languages like
C. It encapsulates logic that can be reused and instantiated multiple times
in a design.
Syntax
module <module_name> (port_list);
// Internal signals (if needed)
// Circuit functionality
endmodule
Example:
module port_example ( input wire A, input wire B, output reg Y, inout wire Z);
always @(*) begin
Y = A & B; // Combinational logic
end endmodule
Rules
• Inputs must be type wire( default).
• Outputs can be wire (for combinational logic) or reg (for sequential logic).
• inout ports are used for bidirectional communication, but require tristate
buffers.
endmodule
Example:
A Full Adder can be created by instantiating two Half Adders.
Step 1: Half Adder Module
module half_adder ( input A, input
B, output Sum, output Carry
);
Parameterized Modules
Parameterized modules allow designers to create flexible and reusable
Verilog modules by using parameters instead of hardcoded values.
• Helps in defining generic circuits that can work for different bit-widths.
ndmodule
Example:
A N-bit multiplexer selects one of two N-bit inputs based on a select signal (sel).
module mux #(parameter WIDTH = 8) ( input [WIDTH-1:0]
A, input [WIDTH-1:0] B, input sel, output [WIDTH-1:0] Y
);
assign Y = sel ? B : A;
endmodule
• Small modules (e.g., logic gates, adders) are combined to form complex
modules
(e.g., processors).
Example:
endmodule
Step 2: Define a Logic Unit module logic_unit ( input
[
Writing a Testbench
A testbench is a self-contained Verilog module that:
Structure of a Testbench
module testbench;
// 1. Declare test signals (reg for inputs, wire for outputs) reg A, B;wire Y;
// 2. Instantiate the Design Under Test (DUT) and_gate uut (.A(A), .B(B),
.Y(Y));
// 3. Generate input stimulus using an initial block initial begin
A = 0; B = 0; #10; // Apply input and wait 10 time units A = 0; B = 1; #10;
A = 1; B = 0; #10;
A = 1; B = 1; #10;
$finish; // End simulation
End
// 4. Display signal values initial begin
$monitor("Time = %0t | A = %b, B = %b, Y = %b", $time, A, B, Y);
End
always Blocks
I. initial Block
• Runs only once at the beginning of the simulation.
•U
#delay Statement
• @(negedge clk) → Waits for the next falling edge of clk. Example:
Synchronizing Inputs to Clock always @(posedge clk) begin
A <= B; end
I. $display Statement
• Prints values once when executed.
• Similar to printf in C.
Example: Using $display
$display("A = %b, B = %b, Y = %b", A, B, Y);
$monitor Statement
A = 0; B = 1; Cin = 0; #10;
A = 0; B = 1; Cin = 1; #10;
A = 1; B = 0; Cin = 0; #10;
A = 1; B = 0; Cin = 1; #10;
A = 1; B = 1; Cin = 0; #10;
A = 1; B = 1; Cin = 1; #10;
$finish; // End simulation
end
nitial begin
$monitor("A = %b, B = %b, AND = %b, OR = %b, XOR = %b, NOT A
= %b", A, B, AND_out, OR_out, XOR_out, NOT_A);
A = 0; B = 0; #10;
A = 0; B = 1; #10;
A = 1; B = 0; #10;
A = 1; B = 1; #10;
$finish;
end
endmodule
2'b10: Y = C; 2'b11:
Y = D; endcase end
endmodule
Flip-Flop
A flip-flop is a sequential storage element that stores one bit of data and updates
its state on a clock edge (posedge clk or negedge clk).
D Flip-Flop in Verilog
A D flip-flop stores the input (D) on the rising edge of the clock.
Code: D Flip-Flop with Asynchronous
Reset module d_flip_flop ( input D, input
clk, input reset, output reg Q
);
always @(posedge clk or posedge
reset) begin if (reset)
Q <= 0; // Reset output to 0 else
Q <= D; // Store D on clock edge end
e
ndmodule
T Flip-Flop in Verilog
A T flip-flop toggles its state whenever T = 1 on the clock edge.
JK Flip-Flop in Verilog
A JK flip-flop is a universal flip-flop that can be configured as a D, T, or
SR flipflop.
Code: JK Flip-Flop
end endmodule
Register
A register is a group of multiple flip-flops used to store multi-bit data.
);
always @(posedge clk or posedge reset) begin if (reset)
Q <= 4'b0000;
Else
Endmodule
Counters in Verilog
A counter is a register that increments or decrements on clock pulses.
Counter Type Description
Up Counter Increments on every clock cycle
Finite State Machines (FSMs) are used to model sequential logic circuits
where the output depends not only on current inputs but also on past
states. They are widely used in digital design for tasks such as traffic light
control, sequence detection, and communication protocols.
I. Types of FSMs
There are two main types of FSMs in digital design:
ase (state)
S0: state <= S1; S1: state <= S2;
S2: state <= S0; default: state <=
S0; endcase end end
Mealy FSM
A Mealy FSM produces outputs based on both current state and inputs.
;
typedef enum reg [1:0] {S0, S1, S2} state_t; state_t state;
Verilog allows memory modeling using register arrays. Memory elements are
declared using:
where DATA_WIDTH is the word size and DEPTH is the number of words.
A ROM stores predefined values and does not allow writing operations.
C
reg [3:0] memory [7:0]; // 8-depth FIFO reg [2:0] wr_ptr, rd_ptr, count;
always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0;
rd_ptr <= 0; count <= 0; end else begin if (wr_en && !full) begin
memory[wr_ptr] <= data_in; wr_ptr<= wr_ptr + 1; count <= count + 1; end if
(rd_en && !empty) begin data_out <= memory[rd_ptr]; rd_ptr <= rd_ptr + 1;
counter<=count-1; end end end always@(*)begin
end endmodule
CHAPTER-4
CHAPTER-4: ACTIVITY LOGS ACTIVITY LOG FOR THE FIRST
WEEK
Day-2
22.12.2024
I applied for State council How to apply the
internships.
of higher
education virtual
internship.
Day–3
23.12.2024 Shortlisted mail is received
from A.P.
Selected for State
council of higher
education internship
WEEKLY REPORT-1
Detailed Report: After completion of our semester, I knew about our internship
on 20.12. 2024.I went through the detail view of the internship on 20.12.2024
to 19.04.2025 I registered in NTCS portal. I get confirmation from NTCS on
17.12.2024. I created my profile in portal on
17.12.2024. I went through some Industry contents on 18.12.2024.
Basics about
I started to complete the Verilog HDL.
Day–1
modules of
27.12.2024
program on Verilog
HDL
Day–3
31.12.2024 Completed history of Learned about the
Verilog HDL. history of Verilog
HDL.
WEEKLYREPORT-2
Day–1
06.01.2025 Learned about
Design Styles.
Design Styles.
WEEKLY REPORT-3
bjective of the Activity done: Learning about designs & behavioural Detailed
Report: During this week I went through some online classes and installed the
prescribed software. And practiced the explained topics. I have learned about the
design styles and also mainly learned about top design. I did some practice on
behavioural levels and finally completed gate level programs.
Day–1
20.01.2025
I attended the online Clarifications about
mentored technical
the doubts.
sessions.
Day–2
21.01.2025 I went through the Learn about
unit go further with project detection.
object detection.
Day–4
23.01.2025 I went through the Learn about the
XILINX software to get XILINX software.
started.
Day–5
24.01.2025 Completed the software Learn about the
tools. software tools.
WEEKLY REPORT-4
Day–3
29.01.2025 I cleared all my doubts in Doubt
question-andanswer
clarification.
session.
WEEKLY REPORT-5
WEEKLY REPORT-6
Day–1
10.02.2025
I learned about how to Learned about the
create and assign a create and assign
statement using OR statement using OR
gate. gate.
Day-2
11.02.2025 I learned about the Complete about the
synthesis and
synthesis and
implementation of the implementation of
design. the design.
Day–3
12.02.2025 Implementing the design. Completed
implementing a
design.
Learn about
simulating and
Day–5
viewing the output
14.02.2025 waveforms. Learned about
simulating and
viewing the output
waveforms.
WEEKLY REPORT-7
Day–1
17.02.2025
I learned about how to Learned about the
create and assign a create and assign
statement using OR statement using OR
gate. gate.
Day-2
18.02.2025 I learned about the Complete about the
synthesis and synthesis and
implementation of the implementation of
design. the design.
Day–3
19.02.2025 Implementing the design.
Completed
implementing a
design.
WEEKLY REPORT
Day–1
03.03.2025
I learned about how to Learned about the
create and assign a create and assign
statement using OR statement using OR
gate. gate.
Day-2
04.03.2025 I learned about the Complete about the
synthesis and synthesis and
implementation of the
implementation of
design. the design.
Day–3
05.03.2025 Implementing the design.
Completed
implementing a
design.
Learn about
simulating and
Day–5
viewing the output
07.03.2025 waveforms. Learned about
simulating and
viewing the output
waveforms.
Day–1
10.03.2025
I learned about how to Learned about the
create and assign a create and assign
statement using OR statement using OR
gate. gate.
Day-2
11.03.2025 I learned about the Complete about the
synthesis and synthesis and
implementation of the implementation of
design. the design.
Day–3
12.03.2025
Implementing the design.
Completed
implementing a
design.
Learn about
simulating and
Day–5
viewing the output
14.03.2025 waveforms. Learned about
simulating and
viewing the output
waveforms.
Objective of the Activity:To apply VLSI concepts in project development and gain
hands-on experience in integrating multiple modules into a complete digital system.
Detailed Report:During this week, I continued working on my mini project, which
involved integrating various Verilog modules into a functional digital system. I focused
on combining combinational and sequential logic blocks to achieve the desired
operation.
I learned how to structure larger Verilog projects using hierarchical design, which
helped me manage and debug the code more efficiently. I also paid attention to
testbenches and wrote simulation scripts to verify the functionality of each module
before integration.
Additionally, I explored the synthesis process in FPGA design, gaining insight into how
Verilog code is mapped to hardware. This gave me a practical understanding of real-
world digital system implementation. The project work this week significantly
strengthened my understanding of design flow, from coding to simulation and synthesis.
Day–1
17.03.2025
I learned about how to Learned about the
create and assign a create and assign
statement using OR statement using OR
gate. gate.
Day-2
18.03.2025 I learned about the Complete about the
synthesis and synthesis and
implementation of the implementation of
design. the design.
Day–3
19.03.2025 Implementing the design.
Completed
implementing a
design.
Learn about
simulating and
Day–5
viewing the output
21.03.2025 waveforms. Learned about
simulating and
viewing the output
waveforms.
Objective of the Activity:To finalize and test the mini project by verifying all design
modules and evaluating system performance through simulation and synthesis.
Detailed Report:In this week, I focused on completing and testing my mini
project. I verified the functionality of the integrated system through rigorous
simulation using testbenches and made necessary corrections to ensure accurate
output.
I also worked on optimizing the Verilog code to improve resource utilization and
timing performance during synthesis. This process helped me understand the
importance of writing efficient code for real-time applications.
Additionally, I explored waveform analysis in more depth, identifying glitches and
timing issues and resolving them using techniques like proper clocking and state
management.
Finally, I documented the project development process, including design
architecture, simulation results, and final observations. This week gave me a strong
sense of how theoretical VLSI concepts are applied in practical digital system
design.
Day–1
24.03.2025
I learned about how to Learned about the
create and assign a create and assign
statement using OR statement using OR
gate. gate.
Day-2
25.023.2025 I learned about the Complete about the
synthesis and synthesis and
implementation of the implementation of
design. the design.
Day–3
26.02.2025 Implementing the design.
Completed
implementing a
design.
Learn about
simulating and
Day–5
viewing the output
28.03.2025 waveforms. Learned about
simulating and
viewing the output
waveforms.
Objective of the Activity:To review the overall learning from the VLSI module, present
the mini project, and reflect on the outcomes and skills gained.
Detailed Report:This week marked the conclusion of the VLSI training. I focused
on finalizing and presenting my mini project. I prepared a comprehensive
presentation that included the project objectives, design flow, Verilog
implementation, simulation results, synthesis overview, and conclusions.
As part of the final review, I revisited all the key topics covered throughout the
course such as logic gate design, combinational and sequential circuits, Verilog
HDL, FSMs, simulation, and synthesis. I also participated in a Q&A session and
received feedback on my project work, which helped me recognize areas of strength
and opportunities for improvement.
Overall, this week was a valuable reflection on the skills and knowledge acquired
over the past weeks. I feel more confident in understanding digital design
concepts and applying them using industry-standard tools and techniques.
2. FPGA Implementation
o Synthesized HDL code and deployed it on FPGA boards (e.g., Xilinx Spartan or
Artix).
o Worked on I/O pin mapping, clock constraints, and bitstream generation.
techniques.
7. Team Collaboration and Project Documentation o
Worked in teams using version control tools like Git.
Prepared design documentation, simulation reports, and presented final project
outcomes
5.
VLSI
During the course of my VLSI Design Internship, I observed several cutting-edge technological
advancements that are shaping the future of digital system design and semiconductor industries:
1. Advanced FinFET and GAAFET Technologies o The industry is moving beyond traditional planar
transistors to FinFET and emerging GAAFET architectures to enhance speed, reduce leakage power,
and enable further miniaturization.
2. Rise of System-on-Chip (SoC) Design o There's a growing trend toward integrating multiple
functionalities — processors, memory, I/O — into a single SoC, enabling compact, power-efficient,
and high-performance solutions for mobile and embedded systems.
3. Automation through EDA Tools o Enhanced Electronic Design Automation (EDA) tools now offer
advanced features like realtime power estimation, constraint-driven synthesis, and AI-assisted place
& route, significantly improving design efficiency and accuracy.
4. Low Power Design Techniques
o As power efficiency becomes critical, techniques like clock gating, dynamic voltage scaling,
and multi-VDD design are increasingly being adopted in digital design workflows.
5. FPGA Advancements o Modern FPGAs come with high-speed transceivers, hardened processor
cores, and AI accelerators, making them suitable for rapid prototyping of complex applications
including AI/ML and high-speed networking.
6. Shift Toward AI and ML in VLSI o Machine Learning is being integrated into chip design
verification, test pattern generation, and performance optimization, marking a shift toward intelligent
and adaptive hardware design.
7. Design for Testability (DFT) Innovations o Techniques such as boundary scan, built-in self-test
(BIST), and scan chain compression are being increasingly automated and improved to enhance chip
reliability and yield.
8. Open Source VLSI Tools
Tools like OpenLane, Magic, and KLayout are enabling learners and startups to explore end-to-end chip
design workflows without expensive licenses
1 Oral communication 1 2 3 4 5
2 Written communications 1 2 3 4 5
3 Pro activeness 1 2 3 4 5
4 Interaction ability with community 1 2 3 4 5
5 Positive Attitude 1 2 3 4 5
6 Self-confidence 1 2 3 4 5
7 Ability to learn 1 2 3 4 5
8 Work Plan and organization 1 2 3 4 5
9 Professionalism 1 2 3 4 5
10 Creativity 1 2 3 4 5
11 Quality of work done 1 2 3 4 5
12 Time Management 1 2 3 4 5
13 Understanding the Community 1 2 3 4 5
14 Achievement of Desired Outcomes 1 2 3 4 5
2 Written communication 1 2 3 4 5
3 Pro activeness 1 2 3 4 5
5 Positive Attitude 1 2 3 4 5
6 Self-confidence 1 2 3 4 5
7 Ability to learn 1 2 3 4 5
9 Professionalism 1 2 3 4 5
10 Creativity 1 2 3 4 5
12 Time Management 1 2 3 4 5
15 OVERALL PERFORMANCE 1 2 3 4 5
EVALUATION
Internal Evaluation for Long Term Internship (Virtual)
Objectives:
• The number of credits assigned is 4. Later the marks shall be converted into
grad de points to include finally in the SGPA and CGPA.
ithin groups to be assessed this way. The assessment will take into
consideration the individual student’s involvement in the assigned work.
e. Team Dynamics
Technological Development scored
MARKS STATEMENT
1. Activity Log 25
2. Internship Evaluation 50
3. Overall Presentation 25
GRANDTOTAL 100
Certified by
Date: