Vlsi Manual (Electric) 21ecl66
Vlsi Manual (Electric) 21ecl66
DEPARTMENT OF
ELECTRONICS AND COMMUNICATION ENGINEERING
PREPARED BY
SCRUTIZED BY
Vision
To empower students through wholesome education and enable the students to
develop into highly qualified and globally competent professionals with ethics
and emerge as responsible citizens with broad outlook to build a vibrant nation.
Vision
To shape the students as disciplined humane engineers who can build a
strong, peaceful and vibrant country and focus on mutual respect, tolerance
and professional ethics.
vv
PEO-3 To equip students in the chosen field of engineering and related fields to
enable him to work in multidisciplinary teams.
societal, health, safety, legal and cultural issues and the consequent responsibilities relevant to the
professional engineering practice.
7. Environment and sustainability: Understand the impact of the professional engineering solutions in
societal and environmental contexts, and demonstrate the knowledge of, and need for sustainable
development.
8. Ethics: Apply ethical principles and commit to professional ethics and responsibilities and norms of
the engineering practice.
9. Individual and team work: Function effectively as an individual, and as a member or leader in
diverse teams, and in multidisciplinary settings.
10. Communication: Communicate effectively on complex engineering activities with the engineering
community and with society at large, such as, being able to comprehend and write effective reports
and design documentation, make effective presentations, and give and receive clear instructions.
11. Project management and finance: Demonstrate knowledge and understanding of the engineering
and management principles and apply these to one’s own work, as a member and leader in a team, to
manage projects and in multidisciplinary environments.
12. Life-long learning: Recognize the need for, and have the preparation and ability to engage in
independent and life-long learning in the broadest context of technological change.
1. Professional Skills: An ability to understand the basic concepts in Electronics & Communication
Engineering and to apply them to various areas, like Electronics, Communications, Signal processing,
VLSI, Embedded systems etc., in the design and implementation of complex systems.
SYLLABUS
What is VLSI?
VLSI stands for "Very Large Scale Integration". This is the field which involves packing more and
more logic devices into smaller and smaller areas. VLSI circuits are everywhere, your computer,
your car, your brand new state-of-the-art digital camera, the cell-hones, and what have you. All this
involves a lot of expertise on many fronts within the same field, which we will look at in later
sections. VLSI has been around for a long time, there is nothing new about it. But as a side effect of
advances in the world of computers, there has been a dramatic proliferation of tools that can be used
to design VLSI circuits. Alongside, obeying Moore's law, the capability of an IC has increased
exponentially over the years, in terms of computation power, utilization of available area. The
combined effect of these two advances is that people can now put diverse functionality into the IC's,
opening up new frontiers. Examples are embedded systems, where intelligent devices are put inside
everyday objects, and ubiquitous computing where small computing devices proliferate to such an
extent that even the shoes you wear may actually do something useful like monitoring your
heartbeats!. Digital VLSI circuits are predominantly CMOS based. The way normal blocks like
latches and gates are implemented in different from what you have seen so far, but the behavior
remains the same. All the miniaturization involves new things to consider. A lot of thought has to go
into actual implementations as well as design. Some of the factors involved are given below.
1. Circuit Delays: Large complicated circuits running at very high frequencies have one big
problem to tackle - the problem of delays in propagation of signals through gates and wires even for
areas a few micrometers across. The operation speed is so large that as the delays add up, they can
actually become comparable to the clock speeds.
2. Power: Another effect of high operation frequencies is increased consumption of power. This has
two-fold effect - devices consume batteries faster, and heat dissipation increases. Coupled with the
fact that surface areas have decreased, heat poses a major threat to the stability of the circuit.
3. Layout: Laying out the circuit components is a task common to all branches of electronics. What
is so special in this case is that there are many possible ways to do this; there can be multiple layers
of different materials on the same silicon, there can be different arrangements of the smaller parts
for the same component and so on.
The power dissipation and speed in a circuit present a trade-off; if we try to optimize on one, the
other is affected. The choice between the two is determined by the way we chose the layout the
HKBK CE 2 Department of
VLSI LAB 18ECL7
circuit components. Layout can also affect the fabrication of VLSI chips, making it either easy or
difficult to implement the components on the silicon. The two widely used hardware description
languages are VHDL and Verilog. These languages provide support for modeling the system
hierarchically and also supports top down and bottom up design methodologies. The system and its
subsystems can be described at any level of abstraction ranging from the architecture level to the
gate level. The complex constructs and features of these languages are enough to be able to model
designs with high degrees of complexity.
Specification--- Architecture ---- RTL Coding--- RTL Verification --- Synthesis --- Backend-- Tape
Out to Foundry to get end product….a wafer with repeated number of identical Ics.
All modern digital designs start with a designer writing a hardware description of the IC (using HDL
or Hardware Description Language) in Verilog/VHDL. A Verilog or VHDL program essentially
describes the hardware (logic gates, Flip-Flops, counters etc) and the interconnect of the circuit
blocks and the functionality. Various CAD tools are available to synthesize a circuit based on the
HDL. The most widely used synthesis tools come from two CAD companies. Synposys and
Cadence. Without going into details, we can say that the VHDL, can be called as the "C" of the
VLSI industry. VHDL stands for "VHSIC Hardware Definition Language", where VHSIC stands
for "Very High Speed Integrated Circuit". This languages is used to design the circuits at a high-
level, in two ways. It can either be a behavioral description, which describes what the circuit is
supposed to do, or a structural description, which describes what the circuit is made of. There are
other languages for describing circuits, such as Verilog, which work in a similar fashion. Both forms
of description are then used to generate a very low-level description that actually spells out how all
this is to be fabricated on the silicon chips. This will result in the manufacture of the intended IC.
Specifications ---- Architecture---- Circuit Design ------SPICE Simulation--- Layout ---- Parametric
Extraction / Back Annotation---- Final Design ----Tape Out to foundry.
While digital design is highly automated now, very small portion of analog design can be
automated. There is a hardware description language called AHDL but is not widely used as it does
not accurately give us the behavioral model of the circuit because of the complexity of the effects of
parasitic on the analog behavior of the circuit. Many analog chips are what are termed as “flat” or
HKBK CE 3 Department of
VLSI LAB 18ECL7
non-hierarchical designs. This is true for small transistor count chips such as an operational
amplifier, or a filter or a power management chip. For more complex analog chips such as data
converters, the design is done at a transistor level, building up to a cell level, then a block level and
then integrated at a chip level. Not many CAD tools are available for analog design even today and
thus analog design remains a difficult art. SPICE remains the most useful simulation tool for analog
as well as digital design.
1. Analog:
Small transistor count precision circuits such as Amplifiers, Data converters, filters, Phase Locked
Loops, Sensors etc.
MODEL QUESTIONS
1. Write the Verilog code and test bench for an jk f/f to do the simulation and synthesis
2. Draw the schematic layout for inverter and verify the dc and transient analysis.
3. Write the Verilog code and test bench for jk f/f to do the simulation and system.
4. Draw the schematic for differential amplifier and verify the dc,ac and transient analysis
5. Write the Verilog code and test bench for an d f/f to do the simulation and synthesis
6. Draw the schematic for and layout for common drain and verify the dc , ac and transient
analysis.
7. Write the Verilog code and test bench for t f/f to do the simulation and synthesis.
8. Draw the schematic for differential amplifier and verify the dc, ac and transient analysis.
HKBK CE 4 Department of
VLSI LAB 18ECL7
9. Write the Verilog code and test bench for an transmission gate to do the simulation and
synthesis.
10. Draw the schematic and layout for common source and verify the dc, ac and transient
analysis.
11. Write the Verilog code and test bench for a 4-bit parallel adder to do the simulation and
synthesis.
12. Draw the schematic and layout for common source and verify the dc, ac and transient
analysis.
13. Write the Verilog code and test bench for a sr f/f to do the simulation and synthesis.
14. Draw the schematic for R-2R DAC and verify the transient analysis.
15. Write the Verilog code and test bench for a transmission gate do the simulation and
synthesis.
16. Draw the schematic for OPAMP and verify the dc, ac and transient analysis.
17. Write the Verilog code and test bench for an SR f/f to do the simulation and synthesis.
18. Draw the schematic for and layout for common source amplifier and verify the transient
analysis.
19. Write the Verilog code and test bench for an 4-bit parallel adder to do the simulation and
synthesis
20. Draw the schematic for OPAMP and verify the dc, ac and transient analysis.
21. Write the Verilog code and test bench for an logic gates to do the simulation and synthesis
22. Write the Verilog code and test bench for an 4-bit sync counter to do the simulation and
synthesis.
VIVA QUESTIONS
HKBK CE 5 Department of
VLSI LAB 18ECL7
8. What is the use of spice code.
9. Define primitive components in Verilog.
10. What is the difference between primitive component and user defined component?
11. What are the different description styles that can be used in Verilog programs.
12. Other than CMOS, What are the technologies available for VLSI design?
13. What is W/L ratio? What is its role in the output?
14. What is the difference between common source and common drain amplifier output? Why is it
so?
15. Name some CAD tools available for complete VLSI design.
16. What is LVS? What is the need for it?
17. What are the advantages of CMOS technology?
18. How does the inversion take place in CMOS inverter?
19. How to construct a buffer using invertors? What is the use of it?
20. What is transmission gate and its symbol?
21. What is the difference between pass transistor and transmission gate. How do they differ from
buffer?
22. What is the ASIC design flow?
23. What is a CMOS structure? Draw the CMOS Schematic of 2 input OR gate.
24. What is the difference between digital and analog circuit design?
25. What is port mapping in Verilog?
26. What is the difference between a buffer and inverter?
27. Expand VLSI?
28. Which 'law' describes the exponential growth of integrated circuit complexity?
29. Difference between CPLD and FPGA.
30. Is verilog/VHDL is a concurrent or sequential language?
31. What is a D-latch?
32. Implement D flip-flop with a couple of latches?
33. Implement a 2 input AND gate using transmission gate
34. What is SPICE?
35. Difference between latch and flip flop
HKBK CE 6 Department of
VLSI LAB 18ECL7
HKBK CE 7 Department of
VLSI LAB 18ECL7
HKBK CE 8 Department of
VLSI LAB 18ECL7
HKBK CE 9 Department of
VLSI LAB 18ECL7
HKBK CE 1 Department of
VLSI LAB 18ECL7
module fourbitadder(A,B,C0,S);
input [3:0]A,B;
input C0;
output [3:0]S;
wire C1,C2,C3,C4;
fulladder fa0 (A[0],B[0],C0,S[0],C1);
fulladder fa1 (A[1],B[1],C1,S[1],C2);
fulladder fa2 (A[2],B[2],C2,S[2],C3);
fulladder fa3 (A[3],B[3],C3,S[3],C4);
endmodule
module
fulladder(A,B,CIN,S,COUT); input
A,B,CIN;
output S,COUT;
assign S = A^B^CIN;
assign COUT = (A&B) |
(CIN&(A^B)); endmodule
TESTBENCH
module fourbitadder_t;
reg [3:0] A;
reg [3:0] B;
reg C0;
wire [3:0] S;
endmodule
HKBK CE 1 Department of
VLSI LAB 18ECL7
TESTBENCH
module boothmul_t;
reg [3:0] A;
reg [3:0] B;
wire [7:0] PRODUCT;
boothmul uut (.PRODUCT(PRODUCT), .A(A), .B(B));
initial begin
A=0; B=0; #100;
A=1; B=0; #100;
A=7; B=5; #100;
A=-7; B=5; #100;
A=8; B=9; #100;
A=8;HKBK CE #100;
B=-9; 1 Department of
end
endmodule
VLSI LAB 18ECL7
Output:
HKBK CE 1 Department of
VLSI LAB 18ECL7
TESTBENCH
module alu32_tb;
reg [31:0]a;
reg [31:0]b;
reg [2:0]f;
wire [31:0]y;
alu32 dut(.y(y),.a(a),.b(b),.f(f)); initial begin
a=32'h00000000;
b=32'hffffffff;
f=3'b000; #10;
f=3'b001; #10;
f=3'b010; #10;
f=3'b011; #10;
f=3'b100; #10;
f=3'b101; #10;
f=3'b110; #10;
end
endmodule
HKBK CE 1 Department of
VLSI LAB 18ECL7
module dff(d,clk,q,qb);
input d,clk;
output reg q=0,qb=1;
always@(posedge clk)
begin
case(d)
1'b0:q=0;
1'b1:q=1;
default:q=1'b0;
endcase
qb=~q;
end
endmodule
TESTBENCH
module dff_t;
reg d;
reg clk;
wire q;
wire qb;
dff uut (.d(d), .clk(clk), .q(q), .qb(qb));
Initial
clk =1;
always #5 clk=~clk;
initial begin
d=0; #10;
d=1; #10;
end
endmodule
HKBK CE 1 Department of
VLSI LAB 18ECL7
SR FLIP FLOP
module srff(sr,clk,q,qb);
input[1:0]sr;
input clk;
output reg q=0,qb=1;
always@(posedge clk)
begin
case(sr)
2'b00:q=q;
2'b01:q=0;
2'b10:q=1;
2'b11:q=1'bz;
default:q=1'b0;
endcase
qb=~q;
end
endmodule
TESTBENCH
module srff_t;
reg [1:0] sr;
reg clk;
wire q;
wire qb;
srff uut (.sr(sr), .clk(clk), .q(q), .qb(qb));
Initial
clk=1
always #5 clk=~clk;
initial begin
sr=2’b00; #10;
sr=2’b01; #10;
sr=2’b10; #10;
sr=2’b11; #10;
end
endmodule
HKBK CE 1 Department of
VLSI LAB 18ECL7
JK FLIP FLOP
module jkff(jk,clk,q,qb);
input[1:0]jk;
input clk;
output reg q=0,qb=1;
always@(posedge clk) begin
case(jk)
2'b00:q=q;
2'b01:q=0;
2'b10:q=1;
2'b11:q=~q; default:q=1'b0;
endcase
qb=~q;
end
endmodule
TESTBENCH
module jkff_t;
reg [1:0] jk;
reg clk;
wire q;
wire qb;
jkff uut (.jk(sjk), .clk(clk), .q(q), .qb(qb));
initial
clk=1
always #5 clk=~clk;
initial begin
jk=2’b00; #10;
jk=2’b01; #10;
jk=2’b10; #10;
jk=2’b11; #10;
end
endmodule
Output:
HKBK CE 1 Department of
VLSI LAB 18ECL7
LATCHES
D LATCH
module
dlatch(e,d,q,qb);
input e,d;
output reg q=0,qb=1; always@(e)
begin
if(e)
q=d;
q=~qb
; end
endmodule
TEST BENCH
module dlatch_t;
reg e;
reg d;
wire q;
wire qb;
Output:
HKBK CE 1 Department of
VLSI LAB 18ECL7
SR LATCH
module
srlatch(sr,en,q,qb);
input [1:0]sr;
input en;
output reg q=0,qb=1;
always@(en)
begin
if(en)
case(sr)
2'b00:q=
q;
2'b01:q=0
;
2'b10:q=1;
2'b11:q=1'bz;
default:q=1'b0;
endcase qb=~q;
end
endmodule
TESTBENCH
module srlatch_t;
reg [1:0] sr;
reg en;
wire q;
wire qb;
srlatch uut(.sr(sr), .en(en), .q(q), .qb(qb));
initial
en=1;
always #3 en=~en;
initial begin
sr=00; #10;
sr=01; #10;
sr=10; #10;
sr=11; #10;
end
endmodule
Output:
HKBK CE 1 Department of
VLSI LAB 18ECL7
JK LATCH
module jklatch(jk,en,q,qb);
input[1:0]jk;
input en;
output reg q=0,qb=1;
always@(en)
begin if(en)
case(jk)
2'b00:q=q;
2'b01:q=0;
2'b10:q=1;
2'b11:q=~q;
default:q=1'b0;
Endcase
qb=~q;
end
endmodule
TESTBENCH
module jklatch_t;
reg [1:0] jk;
reg en;
wire q;
wire qb;
jklatch uut (.jk(jk), .en(en), .q(q), .qb(qb));
initial
en=1;
always #3 en=~en; initial begin
jk=00; #10;
jk=01; #10;
jk=10; #10;
jk=11; #10;
end
endmodule
Output:
HKBK CE 2 Department of
VLSI LAB 21ECL6
INTRODUCTION
ASIC design GUI Tool display is as shown in figure 1.
_ Right click on the newly created library i.e. analog_design and select new cell and enter
as inverter.
2. Follow the path provided in the below figure to Name the transistor according to the
technology file.
3. After selecting the transistor for naming, check for the text “SPICE MODEL” displayed
on the transistor
4. To change the name of the Transistor to PMOS press “ctrl+I” and change the name in the
below window.
5. Similarly select nmos and set the spice model as nmos and also select power and ground as
shown below.
6. To connect the devices, Hold right control and click on the appropriate device terminal to be
connected. And check for the diagonal line visible in the above figure.
8. To name the Port click on the Port and Press “ctrl+e” export where u can enter the name.
9. Similarly create export for in, out, vdd and vss pins as shown below.
10. To make a cell view , go to view_make icon view as shown below.edit the icon view
which created under current working library in blue colour as shown below.
11. To test the circuit, right click on the library and then select create new cell as
inveretre_test.
12. Go to components_go to cell_select inverter(ic) to create the test and place it on the
schematic window.connect pins and create exports as shown below.
13. To simulate the circuit the bias and the inputs has to be specified, Go to misc_spice code
and place it on the schematic.select the text and press ctrl+I,select multipline text and enter
spice code as shown.
_ Geometry of transistors
Pmos 20 2
Nmos 10 2
14. Check for the any error in the connections in the circuit as shown in the above figure “Tools
>DRC->Check hierarchically”.
15. To run simulations, tools_simulation(spice)_write spice desk
2. Select the PMOS Transistor from the Layout library components pane and set the spice
model as pmos,similarly select nmos and set spice model as nmos as shown below.
3. Select pmos and press ctl+I to change the width .Change the width of the both the transistors
which is same as that of schematic of an inverter i.e. 10 for nmos and 20 for pmos as shown
below.
4. Select p-act from layout editor pane, place it on both sides of pmos and select and set the x-
size of the p-act same as the width of pmos transistor as shown below.
5. To connect, hold on right control on pact and then select pmos. Move p-act from both sides
so that it just coincides with pmos.
6. Similarly place n-act on both sides of nmos. Connect nmos with n-act on both sides and
move n-act from both sides so that it just coincides nmos. to rotate go to
edit_rotate_clockwise 90 degree as shown below.
7. Place the Metal to Poly contact on the cell and connect the gate terminal of the transistors to
form the input port.
8. Short the drains of the both transistors (The Blue line) with the metal. as shown below. Place
the metal to metal contact onto the cell to connect the output port.
9. The transistor generally has the fourth terminal the Substrate/Bulk has to be designed. Place
the N-Well and P-well at the PMOS and NMOS transistor sources respectively. Connect with
pmos and nmos with metal layer and move so that they just coincide.
10. Create export for input and output pins as shown below.
11. After placing all the nodes simulate the design by clicking on tools_Simulation_write
spice deck. The simulation spice window LT-Spice will open as shown above. Click on
the visible traces to select the signal or display as seen in schematic.
12. Check for DRC, ERC, and NCC (LVS) in tools. The analysis of the signals can be done
using the wave form window in the LT-Spice.
_ Spice code for analysis
VDD VDD 0 DC 1.8
VGD GND 0 DC 0
Vin IN 0 PULSE (0 1.8 0 1n 1n 10n 20n)
.dc Vin 0 1.8 1m
.tran 0 200n
.include –path---
PMOS 50 1
NMOS 10 1
4. Create test circuit and write the spice code and run the simulations as shown below.
DC ANALYSIS
5. To perform transient analysis, enter .tran 0 5m instead dc analysis in spice code on the
schematic window and the analysis results are shown below.
Transient analysis
6. To perform ac analysis, connect AC voltage between VIN and ground and enter .ac DEC
20 100 500MEG in spice code on the schematic window as shown below.
HKBK CE 4 Department of ECE
VLSI LAB 21ECL6
Ac analysis
HKBK CE 4 Department of ECE
VLSI LAB 21ECL6
DIFFRENTIAL AMPLIFIER
Objective: To design schematic and layout of Differential Amplifier.
_ Steps to design schematic of Differential amplifier
1. Right click on the current working library and select create new cell for schematic.
2. Click on the components in editor window and select NMOS and PMOS instances , place
it on schematic window. Design the circuit with the given configurations as shown
below. Select DC current from spice and connect between VDD and Idc
3. Set the spice model for both instance and set the geometries of transistors as given below.
Transistor Width (w) Length (L)
PMOS(2) 15 1
NMOS1 3 1
NMOS2 4.5 1
4. Place INPUTS, OUTPUT, VDD and GROUND from editor to the schematic.
5. Add input and output pins and create export for all the as shown below.
7. Create test circuit and write the spice code and run the simulations as shown below.
DC ANALYSIS
8. To perform ac analysis, connect AC voltage between VIN and ground and enter .ac DEC
20 100 500MEG in spice code on the schematic window as shown below.
Ac analysis
9. To perform transient analysis, enter .tran 0 5m instead Ac analysis in spice code on the
schematic window and the analysis results are shown below.
Transient analysis
8. Add input and output pins and create export for all the as shown below and click on
misc_spice code, add the spice code as shown below.
9. Check for DRC, ERC and NCC (LVS).
10. To perform the analysis, go to tools_spice_write spice deck. Compare the results with
the results of schematic.
11. Perform DC analysis.
OPERATIONAL AMPLIFIER
Objective:
To design schematic and layout of an operation amplifier and to perform DRC, ERC,
NCC (LVS) and also to perform various types of analysis.
_ Steps to design schematic of an operational amplifier
1. Right click on the current working library and select create new cell for schematic.
2. Click on the components in editor window and go to cell_select diff_amplifier(ic) and
cs_amplifier(ic). Place it on schematic.
3. Place INPUTS, OUTPUT, VDD and GROUND pins from components and create exports
as shown below.
5. Create test circuit and write the spice code and run the simulations as shown below.
DC ANALYSIS
6. To perform ac analysis, connect AC voltage between VIN and ground and enter .ac DEC
20 100 500MEG in spice code on the schematic window as shown below.
Ac analysis
7. To perform transient analysis, enter .tran 0 5m instead Ac analysis in spice code on the
schematic window and the analysis results are shown below.
Transient analysis
_ Steps to design layout of a Operational Amplifier
1. Right click on current working library and select new cell for layout.
2. Select NMOS and PMOS from layout editor window and place it on layout window same
as schematic and similarly select N-act, P-act and place it on both sides of NMOS and
PMOS respectively.
3. Set the width of transistors, N-act and P-act as that of schematic of Common Drain
Amplifier.
4. Set spice model for NMOS and PMOS.
5. Select PWELL, NWELL for NMOS and PMOS respectively for substrate or bulk
connections.
6. Connect VDD, VSS, inputs and output as shown below with metal layer.
7. Connect all instances same as the schematic connections.
8. Add input and output pins and create export for all the as shown below and click on
misc_spice code, add the spice code as shown below.
9. Check for DRC, ERC and NCC (LVS).
HKBK CE 6 Department of ECE
VLSI LAB 21ECL6
10. To perform the analysis, go to tools_spice_write spice deck. Compare the results with the results
of schematic.
11. Perform DC analysis.
DEMONSTRATION EXPERIMENTS
I. Aim: To Write verilog code for UART and carry out the following:
1. Perform functional verification using test bench
2. Synthesize the design targeting suitable library and by setting area and timingconstraints
a. For various constrains set, tabulate the area, power and delay for the synthesized netlist
b. Identify the critical path and set the constraints to obtain optimum gate level
netlist withsuitable constraints
CLEANUP :
begin
r_TX_Done <= 1'b1;
r_SM_Main <= IDLE;
end
default :
r_SM_Main <= IDLE;
endcase
end
assign o_TX_Active = r_TX_Active;
assign o_TX_Done = r_TX_Done;
Endmodule
begin
if (r_Clock_Count < CLKS_PER_BIT-1)
begin
// Stay here 1
HKBK CE 7 Department of ECE
VLSI LAB 21ECL6
clock CLEANUP
:
begin
r_SM_Main <= IDLE;
r_RX_DV <= 1'b0;
end
default :
r_SM_Main <= IDLE;
endcase
end
assign o_RX_DV = r_RX_DV;
assign o_RX_Byte = r_RX_Byte;
endmodule // UART_RX
.i_TX_DV(r_TX_DV),
.i_TX_Byte(r_TX_Byte),
.o_TX_Active(w_TX_Active),
.o_TX_Serial(w_TX_Serial),
.o_TX_Done()
);
// Keeps the UART Receive input high (default) when
// UART transmitter is not active
assign w_UART_Line = w_TX_Active ? w_TX_Serial :
1'b1; always
#(c_CLOCK_PERIOD_NS/2) r_Clock<= !r_Clock;
// Main Testing:
initial
begin
// Tell UART to send a command (exercise
TX) @(posedge r_Clock);
@(posedge r_Clock);
r_TX_DV <= 1'b1;
r_TX_Byte <=
8'h3F; @(posedge
r_Clock); r_TX_DV
<= 1'b0;
end
endmodule
VI. EXECUTION:
2. Synthesis :
1. read_libs /home/install/FOUNDRY/digital/90nm/dig/lib/slow.lib
2. read_hdl {uart_tx.v / uart_rx.v} //Choose any one
3. elaborate
4. read_sdc constraints_top.sdc //Reading Top Level SDC
5. set_db syn_generic_effort medium //Setting effort medium
6. set_db syn_map_effort medium
7. set_db syn_opt_effort
medium 35
3. Report generation:
//Generates Timing report for worst datapath and dumps into file
12. report_area > uart_area.rep
//Generates Synthesis Area report and dumps into a file
13. report_power > uart_power.rep
//Generates Power Report [Pre-Layout]
14. report_qor > uart_qor.rep
15. write_hdl > uart_netlist.v
//Creates readable Netlist File
16. write_sdc>uart_sdc.sdc//Creates Block Level SDC
SIMULATION WAVEFORM: