PLD Based Design With VHDL RTL Design Synthesis and Implementation 1st Edition Vaibbhav Taraate (Auth.) Download
PLD Based Design With VHDL RTL Design Synthesis and Implementation 1st Edition Vaibbhav Taraate (Auth.) Download
https://textbookfull.com/product/pld-based-design-with-vhdl-rtl-
design-synthesis-and-implementation-1st-edition-vaibbhav-taraate-
auth/
https://textbookfull.com/product/rtl-modeling-with-systemverilog-
for-simulation-and-synthesis-using-systemverilog-for-asic-and-
fpga-design-first-edition-stuart-sutherland/
https://textbookfull.com/product/circuit-design-with-vhdl-the-
mit-press-3rd-edition-volnei-a-pedroni/
https://textbookfull.com/product/database-design-and-
implementation-2nd-edition/
https://textbookfull.com/product/digital-systems-design-using-
vhdl-3rd-edition-charles-h-roth/
Digital Systems Design Using VHDL 3rd Edition Charles
H. Roth
https://textbookfull.com/product/digital-systems-design-using-
vhdl-3rd-edition-charles-h-roth-2/
https://textbookfull.com/product/digital-design-with-an-
introduction-to-the-verilog-hdl-vhdl-and-systemverilog-6th-
edition-m-morris-r-mano/
https://textbookfull.com/product/spatial-agent-based-simulation-
modeling-in-public-health-design-implementation-and-applications-
for-malaria-epidemiology-1st-edition-arifin/
https://textbookfull.com/product/design-synthesis-
multifunctionalization-and-biomedical-applications-of-
multifunctional-mesoporous-silica-based-drug-delivery-
nanosystems-1st-edition-yu-chen-auth/
https://textbookfull.com/product/electronic-design-automation-
for-ic-implementation-circuit-design-and-process-technology-2nd-
edition-luciano-lavagno/
Vaibbhav Taraate
PLD Based
Design
with VHDL
RTL Design, Synthesis and
Implementation
PLD Based Design with VHDL
Vaibbhav Taraate
123
Vaibbhav Taraate
Pune, Maharashtra
India
In the present decade, the complexity of the ASIC and FPGA design has grown
rapidly. Due to that there is need of the intelligent and complex devices, and hence
the FPGA prototyping area has evolved during this decade.
Major FPGA vendors such as XILINX and Altera (Intel FPGA) have come up
with the complex FPGAs which are required for design and realization of the
system on chip (SOC). During this decade, the era of miniaturization has lot many
challenges. The major challenges are to design and deliver the intelligent products
for lesser cost, high speed, less area, and less power.
Under such circumstances for the idea or product feasibility, the complex
FPGAs are used and the complexity of FPGA architecture has grown in the past
decade. Even the multiple FPGA designs are used to validate the complex SOCs.
For easy understanding of the FPGA designs and ASIC prototyping using FPGAs,
this book is organized. This book covers the design for the lower gate count to
higher gate count designs. Even this book is written in such a way that it can give
information about the VHDL, synthesis, FPGAs, and ASIC prototyping.
Chapter 1 of this book discusses the evolution of the logic design, need of HDL,
and differences between the VHDL and other higher level languages, and even this
chapter describes about the different modeling styles using VHDL.
Chapter 2 of this book describes about the basic combinational elements and
their use in the design. Even this chapter describes how to write synthesizable RTL
using the VHDL constructs. This chapter is useful for the beginners to understand
about the basic VHDL constructs and the synthesis outcome of few low gate count
designs.
Chapter 3 discusses the key VHDL constructs such as processes, signals, and
variables, when else, with select, if-then-else and case. Even this chapter covers the
practical scenarios and use of these constructs.
Chapter 4 describes the how to write an efficient RTL using VHDL. Even this
chapter covers the design for the combinational logic such as multibit adders,
multiplexers, decoders, and encoders. The synthesis for the RTL design using
VHDL is covered with the detailed explanation and practical scenarios.
vii
viii Preface
Chapter 5 covers the sequential design scenarios and the RTL using VHDL for
the latches and flip-flops. Even this chapter covers the BCD counters, binary
counters, gray counters, ring counters, Johnson counters and the RTL design and
synthesis for the same. This chapter has information about the timing parameters
and timing analysis for the synchronous sequential designs. This chapter even gives
information about the basics of asynchronous and multiple clock domain designs
and the issues like metastability and how to overcome those during design cycle.
Chapter 6 covers the PLD-based designs and the detail practical-oriented
examples and scenarios for the design using SPLDs, CPLDs, and FPGAs. This
chapter covers the XILINX and ALTERA (Intel) FPGA architectures and their use
in the design and prototyping. The vendor-specific design guidelines are covered in
this chapter.
Chapter 7 covers the VHDL constructs and the use of VHDL for the verification
and simulation of the design. This chapter is useful to understand the test benches
and how to simulate the design for early detection of bugs. Even this chapter covers
the practical issues in the design verification using practical scenarios and
examples.
Chapter 8 covers the design and coding guidelines for the PLD-based designs.
How to use the VHDL for the efficient design is explained in detail with the
practical scenarios and synthesizable VHDL constructs. This chapter covers tech-
niques such as grouping, parallel and concurrent logic, logic duplications, and
resource sharing. Even this chapter covers the low-power basics as clock gating and
clock enabling.
Chapter 9 covers the complex designs such as multipliers, barrel shifters, arbiters
and the processor logic as ALU, and the other basic protocols. This chapter is useful
to understand the synthesis issues in the complex designs and how to overcome
those using the techniques described in Chap. 7.
Chapter 10 discusses the finite state machines (FSMs) using the VHDL. The
Moore and Mealy machines and their use to code the sequence detectors and
counters are described in this chapter. Even the FSM synthesis issues and how to
improve the design performance are discussed with the practical scenarios. Even
this chapter covers the FSM synthesis guidelines and FSM optimization techniques
used while prototyping ASICs using the complex FPGAs.
Chapter 11 covers VIVADO based design flow and case study using VIVADO
for the design implementation. The case study of FIFO is covered in this chapter.
Chapters 1–11 are organized in such a way that it covers the small gate count
RTL using VHDL to the complex design using VHDL with the meaningful sce-
narios. This book is useful for the beginners, RTL design engineers, and profes-
sionals. I hope that this book can give you the excellent understanding of VHDL
constructs and use of VHDL in ASIC prototyping!
This book is possible due to direct and indirect contribution of many people. While
writing this book, I got the great help from many people. I am thankful to all my
students to whom I have taught this subject over past almost 15 years.
I am thankful to my wife Somi for her sacrifices during the period of writing this
book. Although Somi was hospitalized for three months after returning from the
hospital, she has helped me in finding the grammatical mistakes and even corrected
initial proofs of this book.
I am very much thankful to my dearest friend Ishita Thaker (Ish) for her indirect
help and motivation while writing this book.
I am very much thankful to dearest Kaju for the great wishes and prayers.
Especially I am thankful to my Son Siddesh and my daughter Kajal for
understanding me during this period and for helping me with few suggestions for
the representation of diagrams.
This book would not have been possible without the help of Swati Meherishi and
Aparajita Singh at Springer.
I am thankful to all the Springer staff, especially Praveen V for the review of this
book and for the easy-to-understand outline of this book.
Finally, in advance, I am thankful to all the readers and buyers for buying and
enjoying this book!
ix
Contents
1 Introduction to HDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.1 History of HDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
1.2 System and Logic Design Abstractions . . . . . . . . . . . . . . . . . . . . 3
1.3 ASIC Prototyping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
1.4 Integrated Circuit Design and Methodologies . . . . . . . . . . . . . . . 8
1.4.1 RTL Coding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
1.4.2 Functional Verification . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.4.3 Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.4.4 Physical Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.5 Programming Language Verses HDL . . . . . . . . . . . . . . . . . . . . . 11
1.5.1 VHDL Evolution and Popularity . . . . . . . . . . . . . . . . . . 11
1.6 Design Description Using VHDL . . . . . . . . . . . . . . . . . . . . . . . . 15
1.6.1 Structural Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
1.6.2 Behavior Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
1.6.3 Synthesizable RTL Design . . . . . . . . . . . . . . . . . . . . . . 17
1.7 Key VHDL Highlights and Constructs . . . . . . . . . . . . . . . . . . . . 19
1.8 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
2 Basic Logic Circuits and VHDL Description . . . . . . . . . . . . . . . . . . . 23
2.1 Introduction to Combinational Logic . . . . . . . . . . . . . . . . . . . . . 24
2.2 Logic Gates and Synthesizable RTL Using VHDL . . . . . . . . . . . 25
2.2.1 NOT or Invert Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
2.2.2 Two-Input OR Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
2.2.3 Two-Input NOR Logic . . . . . . . . . . . . . . . . . . . . . . . . . 29
2.2.4 Two-Input AND Logic . . . . . . . . . . . . . . . . . . . . . . . . . 31
2.2.5 Two-Input NAND Logic . . . . . . . . . . . . . . . . . . . . . . . . 32
2.2.6 Two-Input XOR Logic . . . . . . . . . . . . . . . . . . . . . . . . . 34
2.2.7 Two-Input XNOR Logic . . . . . . . . . . . . . . . . . . . . . . . . 36
2.2.8 Tri-State Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
xi
xii Contents
2.3 Adder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
2.3.1 Half Adder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
2.3.2 Full Adder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
2.4 Code Converters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
2.4.1 Binary-to-Gray Code Converter . . . . . . . . . . . . . . . . . . . 44
2.4.2 Gray-to-Binary Code Converter . . . . . . . . . . . . . . . . . . . 46
2.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
3 VHDL and Key Important Constructs . . . . . . . . . . . . . . . . . . . . . . . 49
3.1 VHDL Design Paradigm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
3.2 Multiple Architectures and Configuration . . . . . . . . . . . . . . . . . . 53
3.2.1 Multiple Architecture and Configuration . . . . . . . . . . . . 54
3.3 Objects and Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
3.3.1 Scalar Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
3.3.2 Composite Data Types . . . . . . . . . . . . . . . . . . . . . . . . . 57
3.3.3 Data Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
3.3.4 Constants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
3.4 Signal Assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
3.4.1 Signal Assignments Example. . . . . . . . . . . . . . . . . . . . . 61
3.5 Variable Assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
3.5.1 Variable Assignments Example . . . . . . . . . . . . . . . . . . . 63
3.6 Concurrent Constructs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
3.6.1 When Else . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
3.6.2 With Select . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
3.6.3 Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
3.7 Sequential Constructs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
3.7.1 If Then Else . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
3.7.2 Nested If Then Else . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
3.7.3 Case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
3.8 Modeling Sequential Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
3.8.1 Four-Bit Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
3.8.2 Four-Bit Latch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
3.9 Wait Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
3.9.1 Wait On. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
3.9.2 Wait For . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
3.9.3 Wait Until . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
3.10 Loops . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
3.10.1 Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
3.10.2 While Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
3.10.3 For Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
3.11 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
Contents xiii
xix
Chapter 1
Introduction to HDL
Abstract This chapter discusses the digital logic design evolution and the basic
ASIC design flow. The chapter describes the necessity of ASIC SOC prototype.
The comparison of ASIC and FPGA implementation is described in this chapter.
The chapter even discusses the need of HDL and VHDL different modeling styles
using the small gate count example. This chapter is useful to the HDL beginners to
understand about the difference between high-level language and HDL modeling
styles.
The invention of CMOS logic during 1963 has made integration of logic cells very
easy and it was predicted by Intel’s cofounder Gordon Moore that the density of the
logic cells for the same silicon area will get doubled for every 18–24 months. What
we call as Moore’s law!
How Moore’s prediction was right that experience engineers can get with the
complex VLSI-based ASIC chip designs. In the present decade, the chip area has
shrunk enough, and process technology node on which foundries are working is
14 nm and chip has billions of cells for small silicon die size. With the evolutions in
the design and manufacturing technologies, most of the designs are implemented
using Very-High-Speed Integrate Circuit Hardware Description Language
(VHSICHDL) or using Verilog. We are focusing on the VHDL as hardware
description language. The evolution in the EDA industry has opened up new effi-
cient pathways for the design engineers to complete the milestones in less time.
1.1 History of HDL 3
Table 1.1 describes the various hardware description languages (HDLs) and
their standard with the description.
As shown in Fig. 1.1, most of the designs have various abstraction levels. The
design approach can be top-down or bottom-up. The implementation team takes
decision about the right approach depending on the design complexity and the
Functional Design
Architecture
Micro-architecture
RTL Design
Gate Level Design
availability of design resources. Most of the complex designs are using the
top-down approach instead of bottom-up approach.
The design is described as functional model initially, and the architecture and
microarchitecture of the design are described by understanding the functional
design specifications. Architecture design involves the estimation of the memory
processor logic and throughput with associative glue logic and functional design
requirements. Architecture design is in the form of functional blocks and represents
the functionality of design in the block diagram form.
The microarchitecture is a detailed representation of every architecture block,
and it describes the block and sub-block level details, interface and pin connections,
and hierarchical design details. The information about synchronous or asyn-
chronous designs and clock and reset trees is also described in the microarchitecture
document.
RTL stands for register transfer level. RTL design uses microarchitecture as
reference design document and can be efficiently coded using VHDL for the
required design functionality. The efficient design and coding guidelines at this
stage play an important role and efficient RTL can reduce the overall time
requirement during the implementation phase. The outcome of RTL design is
gate-level netlist. Gate-level netlist is output from the RTL design stage after
performing RTL synthesis and it is a representation of the functional design in the
form of combinational and sequential logic cells.
Finally, the switch-level design is the abstraction used at the layout to represent
the design in the form of CMOS switches—PMOS, NMOS.
As the process node has shrunk to 14 nm and even will shrink to less than 10
nm, the complexity of design, the design risk, and the development time has
increased. The main challenge for every organization is to develop the lower cost
products with improved design functionality in small silicon area. In such scenario,
the designers are facing the development and verification challenges. Under such
circumstances, the high-end FPGAs can be used to prototype the ASIC function-
ality and it reduces the overall risk. The verified and implemented design on
high-end FPGAs can be resynthesized using standard cell ASIC using the same
RTL, constraints, and scripts. There are many EDA tools available to port an FPGA
prototype on structured ASICs. This really reduces the overall risk in ASIC design
and saves money and time to market for the product.
Following are key advantages of ASIC prototyping using FPGAs
1. The shrinking process node and chip geometries involve the investment in
millions of dollars in the early stage of design. Using FPGAs, the investment
risk reduces.
2. Due to the uncontrolled market conditions, there is risk involved in the design
and development of products. FPGA prototype reduces such risk as the product
specifications and design can be validated depending on the functional
requirements or changes.
3. FPGA prototyping is efficient as the bugs, those were not detected in simulation,
can be addressed and covered during prototyping.
4. Full-system verification using FPGA prototype can detect the functional bugs in
the early stage of design cycle.
5. FPGA prototyping saves the millions of dollar of EDA tool cost and even it
saves the millions of dollar engineering efforts before ASIC tape-out.
6. As design using FPGA can be migrated using the EDA tool chains onto the
ASICs, it saves the time to market the product with intended functionality.
7. Multiple IPs can be integrated and design functionality can be verified and
tested and that speed up the design cycle.
8. Most of the cases the hardware software portioning is visualized at higher
abstraction level. The hardware software codesign can be evaluated at the
hardware level and it is more important milestone in overall design cycle. So the
ASIC prototyping can be useful in tweaking of the architecture. If there is
additional design overhead in the hardware, then the design architecture can be
changed by pushing few blocks in software and vice versa. This will give the
more efficient architecture and design.
6 1 Introduction to HDL
The Table 1.2 gives information about the pros and cons of FPGA and ASIC.
There is always confusion between the prototype and the migration. The ASIC
prototyping is basically the design or validation of idea to check for the early
functional and feasibility of new designs. The design migration from ASIC to
FPGA involves the flow from RTL design to implementation and may be useful in
the upgradation of design with additional features.
Following are the key points need to be considered during ASIC prototyping and
design migration using high-end FPGA.
1. Use the universal prototype board as it saves the time of almost four months to
twelve months for the high-speed prototyping board development.
1.3 ASIC Prototyping 7
2. Choose the FPGA device depending on the functionality and gate count. It is not
possible to fit whole ASIC into single FPGA even if we use the high-end
families of ALTERA or XILINX FPGAs. So the practical solution is use of
multiple FPGAs. But the real issue is the design partitioning and the inter-
communication between multiple FPGAs. If the design is well defined and
partitioned properly, then the manual partitioning into multiple FPGA can give
the efficient results. If the design has complex functionality, then the use of
automatic partitioning can play efficient role and can create the efficient
prototype.
3. As the design library for ASIC and FPGA is totally different, the key challenge
is to map the primitives. So it is essential to map the directly instantiated
primitives during synthesis and during the implementation level. That is at the
post-synthesis, all the primitives from ASIC library need to be remapped for
getting the FPGA prototype.
4. High-end FPGA may have 1000–1500 pins and if one FPGA is used for pro-
totype, then there are limited issues in the pin assignment and pin interface. But
if IO pins required more than the pins available in one FPGA, then the real issue
is due to multiple FPGA interfaces and connectivity. The issue can be resolved
by using the partitioning with the signal multiplexing. This will ensure the
efficient design partitioning and efficient design prototype.
5. Implementation of single clock domain design prototype is easy using FPGAs.
But if the design has more than one clock that is multiple clock domains, then it
is quite difficult to use the clock gating and other clock-generation techniques
during prototype. So the migration of ASIC design into FPGA needs more
efforts and sophisticated solutions. One of the efficient solutions is to convert the
designs into smaller design units clocked by the global clock source.
6. The memory models used in the FPGA are different as compared to ASIC. So it
is essential to use the proper strategy during memory mapping. Most of the time,
the synthesized memory models required are not available. Under such scenario,
the best possible solution is to use the prototyping board with the required
specific memory device.
7. The full functional testing and debugging is one of the main challenges in the
ASIC prototyping. During this phase, it is essential to use the debugging plat-
form which can give the visibility of the results such as speed and functional
testing results.
The ASIC prototyping is achieved by using industry’s standard leading tools
such as Design Compiler FPGA. The design compiler is industry’s leading EDA
tool which is used to get best optimal synthesis result and best timing for the FPGA
8 1 Introduction to HDL
synthesis. The basic flow for the ASIC prototyping is shown in Fig. 1.2, and in the
subsequent chapters, we will discuss the FPGA based designs and key steps, to
achieve the efficient ASIC prototype using XILINX/Altera FPGA.
With the evolution of VLSI design technology, the designs are becoming more and
more complex and SOC-based design is feasible in shorter design cycle time. The
demand of the customers is to avail the product in the shorter span of time is
possible due to efficient design flow. The design needs to be evolved from speci-
fication stage to final layout. The use of EDA tools with the suitable features has
1.4 Integrated Circuit Design and Methodologies 9
FuncƟonal
Design Constraints
VerificaƟon
YES NO
Synthesis Coverage
goals met?
Constraints
Met?
NO
YES
Physical Design
made it possible to have the bug-free designs with proven functionality. The design
flow is shown in Fig. 1.3, and it consists of the three major phases to generate the
gate-level netlist.
Functional design is described in the document form using the architecture and
microarchitecture. Architecture and microarchitecture design is the functional rep-
resentation of the design in the block and sub-block levels. This design document
includes the block level interfaces, timing and logic blocks. The RTL design using
VHDL uses the microarchitecture document as reference document to code the
design. RTL designer uses the suitable design and coding guidelines while
10 1 Introduction to HDL
implementing the RTL design. An efficient RTL design always plays important role
during implementation cycle. During this, designer describes the block-level and
top-level functionality using an efficient VHDL RTL.
After completion of an efficient VHDL RTL for the given design specifications; the
design functionality is verified by using industry standard simulator. Pre-synthesis
simulation is without any delays and during this the focus is to verify the func-
tionality of design. But common practice in the industry is to verify the function-
ality by writing the testbench. The testbench forces the stimulus of signals to the
design and monitors the output from the design. In the present scenario, automation
in the verification flow and new verification methodologies has evolved and used to
verify the complex design functionality in the shorter span of time using the proper
resources. The role of verification engineer is to test the functional mismatches
between the expected output and actual output. If functional mismatch is found
during simulation, then it needs to be rectified before moving to the synthesis
step. Functional verification is iterative process unless and until design meets the
required functionality.
1.4.3 Synthesis
When the functional requirements of the design are met, the next step is synthesis to
perform the RTL synthesis for the design. Synthesis tool uses the RTL VHDL code,
design constraints, and libraries as inputs to generate the gate-level netlist as an
output. Synthesis is iterative process until the design constraints are met. The
primary design constraints are area, speed, and power. If the design constraints are
not met then the synthesis tool performs more optimization on the RTL design.
After the optimization if it has observed that the constraints are not met then it
becomes compulsory to modify RTL code or tweak the microarchitecture. The
synthesizer tool generates the area, speed, and power reports and gate-level netlist
as an output.
It involves the floor planning of design, power planning, place and route, clock tree
synthesis, post-layout verification, static timing analysis, and generation of GDSII
for an ASIC design. This step is out of scope for the subsequent discussions!
1.5 Programming Language Verses HDL 11
Most of the engineers have familiarity with the programming languages such as C
and C++. The most important point is to understand the differences between the
programming language and the HDL. Table 1.3 illustrates the key differences
between the programming language and HDL.
enough to describe the behavior of the hardware and later updated with the mul-
tivalued logic (nine-valued logic) using IEEE std_logic_1164.all package.
The IEEE 1076-1993 standard has made the syntax more consistent to describe the
behavior of the hardware functionality and concurrency. To resolve the restrictions
on the port mapping rules, the minor changes carried out during year 2000–2002
and even the class structure of C++ introduced in the standard. During June 2006,
the new standard for the VHDL was introduced and it is backward compatible with
all the older standards. During February 2008, technical committee of Accellera
approved VHDL 4.0 and it is called as VHDL-2008. During the same year
Accellera released the IEEE standard 1076-2008 and the standard was published
during year 2009.
Table 1.4 describes the various VHDL revisions and the relevant description for
the respective revisions.
Following are the key reasons for which VHDL is popular in the semiconductor
industry.
1. Used to describe the synthesizable logic designs and used for the simulation of
the logic design.
2. VHDL is not case-sensitive language and it is easy to interpret in the context of
logic design.
3. VHDL supports parallelism due to the concurrent constructs.
4. VHDL supports the sequential statements to describe the RTL designs.
5. VHDL supports the notion of time and file input and output handling and thus
used for the simulation of the described design.
6. VHDL code is translated into the real digital logic using the gates and nets
(wires) and very user friendly to design the PLD/ASIC-based designs.
7. VHDL supports the synthesizable and non-synthesizable constructs.
8. VHDL descriptions are described by using the electronic design automation
(EDA) tools. The popular EDA tools used for PLD-based applications are
Xilinx ISE series, Altera Quartus II and Mentor Graphics ModelSim or
QuestaSim. The ASIC EDA tools are Synopsys DC, PT, and IC compilers and
Cadence SOC Encounter.
VHDL Description consists of the following:
Note The configuration, component declarations, and the packages will be used
according to the design requirements and will be discussed in the subsequent
chapters.
The template shown in Fig. 1.4 describes the VHDL code structure with the
relevant and required explanation in the respective boxes.
As described in Table 1.5 the VHDL supports nine-valued logic using
STD_LOGIC and used to model or to describe the digital logic designs. Table 1.5
describes the nine-valued logic and the description for the respective logic level.
Random documents with unrelated
content Scribd suggests to you:
I swear by Mary, that mild maiden,
I know no more such under the skye;
When I am king and wear the crown, then
I will be chief of the poor commenty:
Task nor mize I will make none,
In no countrey farr nor nigh;
If their goods I shoud take and pluck them downe,
For me they woud fight full faintly:
There is no riches to me so rich,
As is the love of our poor commenty.
When they had ended all their speeches,
They take their leave full heartiley;
And to his bower King Richard is gone.
The earle and Humphrey Brereton
To Bessy's bower anon were gone;
When Bessy Humphrey did see anon,
She took him in her arms and kissed him times three.
Welcome, she said, Humphrey Brereton;
How hast thou spedd in the West Countrey
I pray thee tell me quickly and anon.
Into a parlour they went from thence,
There were no more but he and shee:
Humphrey, said Bessy, tell me e're we go hence
Some tideings out of the West Countrey;
If I shall send for yonder prince
To come over the sea, for the love of me,
And if King Richard shoud him convince,
Alas! it were great ruthe to see,
Or murthered among the Stanley's blood to be,
Indeed that were great pitty;
That sight on that prince I woud not see,
For all the gold in Christantie!
Tell me, Humphrey, I thee pray,
How hast thou spedd in the West Countrey?
What answer of them thou had now say,
And what reward they gave to thee.
By the third day of May it shall be seen,
In London all that they will bee;
Thou shalt in England be a queen,
Or else doubtless that they will dye.
Thus they proceed forth the winter then,
Their councell they kept close all three,
The earle he wrought by prophecy certaine,
In London he would not abide or bee,
But in the subburbs without the city
An ould inn chosen hath hee.
A drew an Eagle foot on the door truely,
That the western men might know where he did lye.
Humphrey stood on a high tower then,
He looked into the West Countrey;
Sir William Stanley and seven in green,
He was aware of the Eagle drawne;
He drew himselfe so wonderous nigh,
And bad his men go into the towne,
And drink the wine and make merry;
Into the same inn he went full prest,
Whereas the earle his brother lay.
Humphrey full soon into the west
Looks over a long lee;
He was aware of the Lord Strange and seven in green,
Come rideing into the city.
When he was aware of the Eagle drawn,
He drew himself so wonderously nigh,
He bad his men go into the towne certain,
And drink the wine and make merry;
And he himselfe drew then,
Where as his father in the inne lay.
Humphrey looked in the west, I say,
Sixteen in green then did he see;
He was aware of the Warden and Edward Stanley,
Come rideing both in one company.
When they were aware of the Eagle drawne,
The gentlemen they drew it nee;
And bad their men go into the towne,
And drink the wine and make merry.
And did go themselves into the same inn full prest,
Where the earle their father lay.
Yet Humphrey beholdeth into the west,
And looketh towards the north countrey;
He was aware of Sir John Savage and Sir Gilbert Talbot,
Came rideing both in one company.
When they were aware of the Eagle drawn,
Themselves drew it full nigh,
And bad their men go into the towne,
To drink the wine and make merry.
They did go themselves into the same inn,
Where as the earle and Bessy lye.
When all the lords together were,
Amongst them all Bessy was full buissy;
With goodly words Bessy then said there,
Fair lords, what will you do for me?
Will you relieve yonder prince,
That is exiled beyond the sea?
I woud not have King Richard him to convince,
For all the gold in Christentye.
The Earle of Darby came forth then,
These words he said to young Bessye,—
Ten thousand pounds will I send,
Bessy, for the love of thee,
And twenty thousand Eagle feet,
The Queen of England for to make thee;
Then Bessy most lowly the earle did greet,
And thankt his honor most heartiley.
Sir William Stanley came forth then,
These words he said to fair Bessy:
Remember, Bessy, another time,
Who doth the most, Bessy, for thee;
Ten thousand coats, that shall be red certaine,
In an hours warning ready shall bee;
In England thou shall be our queen,
Or doubtlesse I will dye.
Sir John Savage came forth then,
These words he said to young Bessye,—
A thousand marks for thy sake certaine,
Will I send thy love beyond the sea.
Sir Gilbert Talbott came forth then,
These were the words he said to Bessy:
Ten thousand marks for thy sake certaine,
I will send to beyond the sea.
The Lord Strange came forth then,
These were the words he said to Bessy:
A little money and few men,
Will bring thy love over the sea;
Let us keep our gold at home, said he,
For to wage our company;
For if we should send it over the sea,
We shoud put our gold in jeopartie.
Edward Stanley came forth then,
These were the words he said to Bessye:
Remember, Bessye, another time,
Who that now doth the best for thee,
For there is no power that I have,
Nor no gold for to give thee;
I will be under my father's banner, if God me save,
There either to live or dye.
Bessye came forth before the lords all,
And downe she falleth upon her knee;
Nineteen thousand pound of gold, I shall
Send my love behind the sea,
A love letter, and a gold ring,
From my heart root rite will I.
Who shall be the messenger the same to bring,
Both the gold and the writeing over the sea?
Humphrey Brereton, said Bessy,
I know him trusty and true certaine,
Therefore the writeing and the gold truely
By him shall be carried to Little Brittaine.
Alas, said Humphry, I dare not take in hand,
To carry the gold over the sea;
These galley shipps they be so strange,
They will me night so wonderously;
They will me robb, they will me drowne,
They will take the gold from me.
Hold thy peace, Humphrey, said Bessye then,
Thou shalt it carry without jepordye;
Thou shalt not have any caskett nor any male,
Nor budgett, nor cloak sack, shall go with thee;
Three mules that be stiff and strong withall,
Sore loaded with gold shall they bee,
With saddle-side skirted I do tell thee
Wherein the gold sowe will I:
If any man faine whose is the shipp truely
That saileth forth upon the sea,
Say it is the Lord Lislay,
In England and France well beloved is he.
Then came forth the Earle of Darby,
These words he said to young Bessy:
He said, Bessye, thou art to blame
To appoint any shipp upon the sea;
I have a good shipp of my owne,
Shall carry Humphrey with the mules three;
An eagle shall be drawne upon the mast top,
That the Italians may it see;
There is no freak in all France
The eagle that dare come nee
If any one ask whose ship it is, then
Say it is the Earles of Darby.
Humphrey took the three mules then,
Into the west wind wou'd hee,
Without all doubt at Liverpoole
He took shipping upon the sea:
With a swift wind and a liart,
He so saild upon the sea,
To Beggrames Abbey in Little Brittain,
Where as the English Prince lie;
The Porter was a Cheshire man,
Well he knew Humphrey when he him see;
Humphrey knockt at the gate truely,
Where as the porter stood it by,
And welcomed me full heartiley,
And received then my mules three;
I shall thee give in this breed
To thy reward pounds three;
I will none of thy gold, the porter said,
Nor Humphrey none of the fee,
I will open thee the gates certaine
To receive thee and the mules three;
For a Cheshire man born am I certain,
From the Malpas but miles three.
The porter opened the gates that time,
And received him and the mules three.
The wine that was in the hall that time
He gave to Humphrey Brereton truely.
Alas! said Humphrey, how shoud I doe,
I am strayed in a strange countrey,
The Prince of England I do not know,
Before I never did him see.
I shall thee tell, said the porter then,
The Prince of England know shall ye,
Low where he siteth at the butts certaine,
With other lords two or three;
He weareth a gown of velvet black
And it is cutted above the knee,
With a long visage and pale and black—
Thereby know that prince may ye;
A wart he hath, the porter said,
A little alsoe above the chinn,
His face is white, his wart is redd,
No more than the head of a small pinn;
You may know the prince certaine,
As soon as you look upon him truely.—
He received the wine of the porter, then
With him he took the mules three.
When Humphrey came before that prince
He falleth downe upon his knee,
He delivereth the letters which Bessy sent,
And so did he the mules three,
A rich ring with a stone,
Thereof the prince glad was hee;
He took the ring of Humphrey then,
And kissed the ring times three.
Humphrey kneeled still as any stone,
As sure as I do tell to thee;
Humphrey of the prince answer gott none,
Therefore in heart was he heavy;
Humphrey stood up then full of skill,
And then to the prince said he:
Why standest thou so still at thy will,
And no answer dost give to me?
I am come from the Stanleys' blood so dear,
King of England for to make thee,
A fairer lady then thou shalt have to thy fair,
There is not one in all christantye;
She is a countesse, a king's daughter, Humphrey said,
The name of her it is Bessye,
She can write, and she can read,
Well can she work by prophecy;
I may be called a lewd messenger,
For answer of thee I can gett none,
I may sail home with heavy cheare,
What shall I say when I come home?
The prince he took the Lord Lee,
And the Earle of Oxford was him nee,
The Lord Ferris wou'd not him beguile truely,
To councell they are gone all three;
When they had their councell taken,
To Humphrey then turned he:
Answer, Humphrey, I can give none truely
Within the space of weeks three;
The mules into a stable were taken anon,
The saddle skirts unopened were,
Therein he found gold great plenty
For to wage a company.
He caused the abbot to make him chear:
In my stead now let him be,
If I be king and wear the crown
Well acquited Abbott shalt thou be.
Early in the morning they made them knowne,
As soon as the light they cou'd see;
With him he taketh his lords three,
And straight to Paris he took his way.
An herriott of arms they made ready,
Of men and money they cou'd him pray,
And shipps to bring him over the sea,
The Stanleys' blood for me hath sent,
The King of England for to make me,
And I thank them for their intent,
For if ever in England I wear the crowne,
Well accquited the King of France shall be:
Then answered the King of France anon,
Men nor money he getteth none of me,
Nor no shipps to bring him over the sea;
In England if he wear the crowne,
Then will he claim them for his own truely:
With this answer departed the prince anon,
And so departed the same tide,
And the English lords three
To Beggrames Abbey soon coud the ride,
There as Humphrey Brereton then lee;
Have Humphrey a thousand mark here,
Better rewarded may thou be;
Commend me to Bessy that Countesse clear,
Before her never did I see:
I trust in God she shall be my feer,
For her I will travell over the sea;
Commend me to my father Stanley, to me so dear,
My owne mother married hath he,
Bring him here a love letter full right
And another to young Bessye,
Tell her, I trust in Jesus full of might
That my queen that she shall bee;
Commend me to Sir William Stanley,
That noble knight in the west countrey,
Tell him that about Michaelmas certaine
In England I do hope to be;
At Millford haven I will come inn
With all the power that make may I,
The first town I will come inn
Shall be the towne of Shrewsbury;
Pray Sir William Stanley, that noble knight,
That night that he will look on me:
Commend me to Sir Gilbert Talbot, that royall knight,
He much in the north countrey,
And Sir John Savage, that man of might,—
Pray them all to look on me,
For I trust in Jesus Christ so full of might,
In England for to abide and bee.
I will none of thy gold, sir prince, said Humphrey then,
Nor none sure will I have of thy fee,
Therefore keep thy gold thee within,
For to wage thy company;
If every hair were a man,
With thee, sir prince, will I be:
Thus Humphrey Brereton his leave hath tane,
And sailed forth upon the sea,
Straight to London he rideth then,
There as the earle and Bessy lay;
And bad them behold, read and see.
The earle took leave of Richard the king,
And into the west wind wou'd he;
He left Bessye in Leicester then
And bad her lye in pryvitye,
For if King Richard knew thee here anon,
In a fire burned thou must be.
Straight to Latham the earle is gone,
There as the Lord Strange then lee;
He sent the Lord Strange to London,
To keep King Richard company.
Sir William Stanley made anone
Ten thousand coats readily,
Which were as redd as any blood,
Thereon the hart's head was set full high,
Which after were tryed both trusty and good
As any cou'd be in Christantye.
Sir Gilbert Talbot ten thousand doggs
In one hour's warning for to be,
And Sir John Savage fifteen white hoods,
Which wou'd fight and never flee;
Edward Stanley had three hundred men,
There were no better in Christantye;
Sir Rees ap Thomas, a knight of Wales certain,
Eight thousand spears brought he.
Sir William Stanley sat in the Holt Castle,
And looked over his head so high;
Which way standeth the wind, can any tell?
I pray you, my men, look and see.
The wind it standeth south east,
So said a knight that stood him by.
This night yonder prince, truely
Into England entereth hee.
He called a gentleman that stood him nigh,
His name was Rowland of Warburton,
He bad him go to Shrewsbury that night,
And bid yonder prince come inn:
But when Rowland came to Shrewsbury,
The portculles was let downe;
They called him Henry Tydder, in scorn truely,
And said, in England he shou'd wear no crowne;
Rowland bethought him of a wyle then,
And tied a writeing to a stone,
And threw the writeing over the wall certain,
And bad the bailiffs to look it upon:
They opened the gates on every side,
And met the prince with procession;
And wou'd not in Shrewsbury there abide,
But straight he drest him to Stafford towne.
King Richard heard then of his comeing,
He called his lords of great renowne;
The Lord Pearcy he came to the king
And upon his knees he falleth downe,
I have thirty thousand fighting men
For to keep the crown with thee.
The Duke of Northfolk came to the king anone,
And downe he falleth upon his knee;
The Earle of Surrey, that was his heir,
Were both in one company;
We have either twenty thousand men here,
For to keep the crown with thee.
The Lord Latimer, and the Lord Lovell,
And the Earle of Kent he stood him by,
The Lord Ross, and the Lord Scrope, I you tell,
They were all in one company;
The Bishopp of Durham, he was not away,
Sir William Bonner he stood him by,
The good Sir William of Harrington, as I say,
Said, he wou'd fight and never fly.
King Richard made a messenger,
And sent him into the west countrey,
And bid the Earle of Darby make him bowne,
And bring twenty thousand men unto me,
Or else the Lord Strange his head I will him send,
And doubtless his son shall dye;
For hitherto his father I took for my friend,
And now he hath deceived me.
Another herald appeared then
To Sir William Stanley that doughty knight,
Bid him bring to me ten thousand men,
Or else to death he shall be dight.
Then answered that doughty knight,
And spake to the herald without letting;
Say, upon Bosseworth Field I meen to fight,
Uppon Monday early in the morning;
Such a breakfast I him behight,
As never did knight to any king.
The messenger home can him gett,
To tell King Richard this tydeing.
Fast together his hands then cou'd he ding,
And said, the Lord Strange shou'd surely dye;
And putt him into the Tower of London,
For at liberty he shou'd not bee.
Lett us leave Richard and his lords full of pride,
And talk we more of the Stanleys' blood,
That brought Richmond over the sea with wind and tyde,
From Litle Brittain into England over the flood.
Now is Earle Richmond into Stafford come,
And Sir William Stanley to Litle Stoone;
The prince had rather then all the gold in Christantye,
To have Sir William Stanley to look upon;
A messenger was made ready anone,
That night to go to Litle Stoon;
Sir William Stanley he rideth to Stafford towne,
With a solemn company ready bowne.
When the knight to Stafford was comin,
That Earle Richmond might him see,
He took him in his arms then,
And there he kissed him times three;
The welfare of thy body doth comfort me more
Then all the gold in Christantye.
Then answered that royall knight there,
And to the prince these words spake he,—
Remember, man, both night and day,
Who doth now the most for thee;
In England thou shalt wear a crown, I say,
Or else doubtless I will dye;
A fairer lady then thou shalt have for thy feer,
Was there never in Christanty;
She is a countesse, a king's daughter,
And there to both wise and witty;
I must this night to Stone, my soveraigne,
For to comfort my company.
The prince he took him by the hand,
And said, farewell, Sir William, fair and free.
Now is word come to Sir William Stanley there,
Early in the Monday, in the morning,
That the Earle of Darby, his brother dear,
Had given battle to Richard the king.
That wou'd I not, said Sir William anone,
For all the gold in Christantye,
That the battle shou'd be done;
Straight to Lichfield cou'd he ride,
In all the hast that might bee,
And when he came to Lichfield that tyde,
All they cryed King Henry:
Straight to Bolesworth can they go
In all the hast that might be,
But when he came Bolesworth Field unto,
There met a royall company;
The Earle of Darby thither was come,
And twenty thousand stood him by;
Sir John Savage, his sister's son,
He was his nephew of his blood so nigh,
He had fifteen hundred fighting men,
That wou'd fight and never flye;
Sir William Stanley, that royall knight, then
Ten thousand red coats had he,
They wou'd bicker with their bows there,
They wou'd fight and never flye;
The Red Rosse, and the Blew Boar,
They were both a solemn company;
Sir Rees ap Thomas he was thereby,
With ten thousand spears of mighty tree;
The Earle of Richmond went to the Earle of Darby,
And downe he falleth upon his knee,
Said, father Stanley, full of might,
The vaward I pray you give to me,
For I am come to claime my right,
And faine revenged wou'd I bee.
Stand up, he said, my son, quickly,
Thou hast thy mother's blessing truely,
The vaward, son, I will give to thee,
So that thou wilt be ordered by me:
Sir William Stanley, my brother dear,
In the battle he shall be;
Sir John Savage, he hath no peer,
He shall be a wing then to thee;
Sir Rees ap Thomas shall break the array,
For he will fight and never flee;
I myselfe will hove on the hill, I say,
The fair battle I will see.
King Richard he hoveth upon the mountaine;
He was aware of the banner of the bould Stanley,
And saith, Fetch hither the Lord Strange certain,
For he shall dye this same day;
To the death, Lord, thee ready make,
For I tell thee certainly
That thou shalt dye for thy uncle's sake,
Wild William of Stanley.
If I shall dye, said the Lord Strange then,
As God forbid it shou'd so bee,
Alas! for my lady that is at home,
It should be long or she see me,
But we shall meet at doomsday,
When the great doom shall be.
He called for a gent in good fay,
Of Lancashire, both fair and free,
The name of him it was Lathum;
A ring of gould he took from his finger,
And threw it to the gent then,
And bad him bring it to Lancashire,
To his lady that was at home;
At her table she may sit right,
Or she see her lord it may be long,
I have no foot to fligh nor fight,
I must be murdered with the king:
If fortune my uncle Sir William Stanley loose the field,
As God forbid it shou'd so bee,
Pray her to take my eldest son and child,
And exile him over behind the sea;
He may come in another time
By feild or fleet, by tower or towne,
Wreak so he may his father's death in fyne,
Upon Richard of England that weareth the crown.
A knight to King Richard then did appeare,
The good Sir William of Harrington.
Let that Lord have his life, my dear
Sir king, I pray you grant me this boone,
We shall have upon this field anon,
The father, the son, and the uncle all three;
Then shall you deem, lord, with your own mouth then,
What shall be the death of them all three.
Then a block was cast upon the ground,
Thereon the lord's head was laid,
A slave over his head can stand,
And thus that time to him thus said:
In faith there is no other booty tho',
But need that thou must be dead.
Harrington in hart was full woe,
When he saw that the lord must needs be dead.
He said, our ray breaketh on ev'ry side,
We put our feyld in jepordie.
He took up the lord that tyde,
King Richard after did him never see.
Then they blew up their bewgles of brass,
That made many a wife to cry alas!
And many a wive's child fatherlesse;
They shott of guns then very fast,
Over their heads they could them throw:
Arrows flew them between,
As thick as any hayle or snowe,
As then that time might plaine be seene;
Then Rees ap Thomas with the black raven,
Shortly he brake their array;
Then with thirty thousand fighting men
The Lord Pearcy went his way;
The Duke of Northefolke wou'd have fledd with a good will,
With twenty thousand of his company,
They went up to a wind millne uppon a hill,
That stood soe fayre and wonderousse hye;
There he met Sir John Savage, a royall knight,
And with him a worthy company;
To the death was he then dight,
And his sonne prisoner taken was he;
Then the Lord Alroes began for to flee,
And so did many other moe;
When King Richard that sight did see,
In his heart hee was never soe woe:
I pray you, my merry men, be not away,
For upon this field will I like a man dye,
For I had rather dye this day,
Then with the Standley prisoner to be.
A knight to King Richard can say there,
Good Sir William of Harrington;
He said, sir king, it hathe no peer,
Upon this feyld to death to be done,
For there may no man these dints abide;
Low, your horse is ready at your hand:
Sett the crown upon my head that tyde,
Give me my battle axe in my hand;
I make a vow to myld Mary that is so bright,
I will dye the king of merry England.
Besides his head they hewed the crown down right,
That after he was not able to stand;
They dinge him downe as they were woode,
They beat his bassnet to his heade,
Until the braynes came out with the bloode;
They never left him till he was dead.
Then carryed they him to Leicester,
And pulled his head under his feet.
Bessye mett him with a merry cheare,
And with these words she did him greete;
How like you the killing of my brethren dear?
Welcome, gentle uncle, home!
Great solace ytt was to see and hear,
When the battell yt was all done;
I tell you, masters, without lett,
When the Red Rosse soe fair of hew,
And young Bessye together mett,
It was great joy I say to you.
A bishopp then marryed with a ringe
The two bloods of great renowne.
Bessy said, now may we singe,
Wee two bloods are made all one.
The Earle of Darby hee was there,
And Sir William Stanley, that noble knight,
Upon their heads he set the crown so fair,
That was made of gould so bright.
And there he came under a cloud,
That some time in England looked full high;
But then the hart he lost his head,
That after no man cou'd him see.
But Jesus, that is both bright and shine,
And born was of mylde Mary,
Save and keepe our noble kinge,
And also the poore commentie. Amen.
The other version of this ballad, to which I have referred, is
preserved in the Harleian MSS. It differs considerably from the one
here printed, as will be at once apparent from the following opening
passage:—
One of the versions of this ballad gives the name of Lord Delaware—
And it also gives the locality for sowing "hemp seed and flax seed" to
"Lincolnshire." This same version speaks of the Duke of Devonshire
as—
This ballad occurs in "The Garland of Merriment: containing Three New Songs. 1st. A
Game at Cards for a Kingdom, or Mar routed. 2d. A Comical Scotch Dialogue between a
Highlander and his Wife about the last Battle. 3d. A Copy of Verses on the Death of my
Lord Derwentwater. 4th. On the Wonderful Sight that was seen in the Air on the 6th of
March last. Nottingham: Printed by William Ayscough in Bridlesmith Gate." I am not
aware that it has ever been reprinted, except by myself in "The Reliquary" for April 1866.
The appearances were probably those of the Aurora borealis. On the title-page of this
curious chap-book, which was printed in 1716-7, is a wood-cut of four persons playing
cards at a table.
Our website is not just a platform for buying books, but a bridge
connecting readers to the timeless values of culture and wisdom. With
an elegant, user-friendly interface and an intelligent search system,
we are committed to providing a quick and convenient shopping
experience. Additionally, our special promotions and home delivery
services ensure that you save time and fully enjoy the joy of reading.
textbookfull.com