Circuit Design Modern Applications
Circuit Design Modern Applications
Applications
Edited by
A. Andrew Roobert, M. Venkatesh,
Shiromani Balmukund Rahi, G. Lakshmi Priya
and Samuel Tensingh
Designed cover image: Shutterstock
© 2025 A. Andrew Roobert, M. Venkatesh, Shiromani Balmukund Rahi, G. Lakshmi Priya, and Samuel
Tensingh
Reasonable efforts have been made to publish reliable data and information, but the author and publisher can-
not assume responsibility for the validity of all materials or the consequences of their use. The authors and
publishers have attempted to trace the copyright holders of all material reproduced in this publication and
apologize to copyright holders if permission to publish in this form has not been obtained. If any copyright
material has not been acknowledged please write and let us know so we may rectify in any future reprint.
Except as permitted under U.S. Copyright Law, no part of this book may be reprinted, reproduced, transmit-
ted, or utilized in any form by any electronic, mechanical, or other means, now known or hereafter invented,
including photocopying, microfilming, and recording, or in any information storage or retrieval system, with-
out written permission from the publishers.
For permission to photocopy or use material electronically from this work, access www.copyright.com or
contact the Copyright Clearance Center, Inc. (CCC), 222 Rosewood Drive, Danvers, MA 01923, 978-750-8400.
For works that are not available on CCC please contact mpkbookspermissions@tandf.co.uk
Trademark notice: Product or corporate names may be trademarks or registered trademarks and are used only
for identification and explanation without intent to infringe.
DOI: 10.1201/9781003483052
Typeset in Times
by Deanta Global Publishing Services, Chennai, India
Contents
About the editors..................................................................................................... viii
List of contributors......................................................................................................x
Preface.....................................................................................................................xiv
Acknowledgments....................................................................................................xvi
v
vi Contents
Shiromani Balmukund Rahi completed his Ph.D. (2018) from the Indian Institute
of Technology Kanpur (India) and postdoctoral research (2021) with Professor N.
Guenifi (Electronics Department, University Mostefa Benboulaid of Batna, Algeria.
Currently he works at School of Information and Technology (SoICT), Gautam
Buddh University Greater Noida Uttar Pradesh, India and associated with the Indian
Institute of Technology Kanpur (India) for advanced research for ultra-low-power
devices, circuits, and systems. He is actively working for various advanced Field-
Effect devices such as Tunnel FETs, negative FETs, Nanosheet FETs, NC-Tunnel
FETs, NC-FinFETs, and FeFETs. He is a member of IEEE (student member) and
International Association of Engineers (Lifetime).
viii
About the editors ix
x
Contributors xi
Djeffal F Karthick R
University of Batna 2 K.L.N College of Engineering
Algeria India
xiv
Preface xv
background and practical design information. This way, the material can be used by
both academics and engineers who are currently working.
We are on the verge of a new era in modern electronics, and it’s important that
circuit designers keep coming up with new ideas. We need to be able to push the
limits of what is possible, to see the future of technology. This book encourages such
new ideas to deal with the difficulties of current circuit design.
You’re in Circuit Design for Modern Applications. We want you to learn about the
pioneering ideas and cutting-edge techniques that are changing the future of circuit
design.
Acknowledgments
First of all I would like to thank God Almighty for all blessings. I thank the family
members, my parents, wife and daughter for their support and understanding. My
heartfelt thanks to the authors and reviewers, their hard work, insightful research,
and innovative approaches form the backbone of this book, providing invaluable
knowledge to both readers and scholars in the field of circuit design. I especially
thank each editor for their unique contribution to this book in all aspects. Their
motivation, effort, wide range of experience, and spirit of coordination have greatly
influenced this work. A special thanks to my mentor of postdoctoral research and
a constant source of inspiration Professor Gaurav Trivedi for shaping my research.
I dedicate this book to my family members.
Dr. A. Andrew Roobert (Editor)
The book Circuit Design Approach for Modern Applications, is dedicated to the
Almighty, who granted me the strength and health to complete this work. I extend
my heartfelt thanks to my parents, Mr. C. Meenakshi Sundaram and Mrs. M.
Nagalakshmi, for their unwavering support. I am very grateful to Dr. Sanjay Jain,
Principal of CMR Institute of Technology, for his continuous support. My deepest
gratitude goes to Dr. M. Pappa, Professor and Head of the Department of ECE at
CMR Institute of Technology, Bengaluru, for providing me with the necessary time
and resources to undertake this exciting project.
This work is dedicated to my family members.
Dr. Venkatesh M (Editor)
With all my heart, I want to sincerely thank Almighty God for giving me the inspira-
tion and fortitude that I required to finish this endeavor. I am grateful to my family
for their constant support and encouragement during this journey. Special thanks to
my grandfather, the late Dr. N. Balusamy, for instilling in me a love for knowledge.
Your support and affection for me have been priceless. I express my gratitude to
my mentors and teachers for their vital guidance and fundamental insight. Sincere
xvi
Acknowledgments xvii
The book Circuit Design Approach for Modern Applications is dedicated to God,
whose steadfast guidance has provided me with the time and courage to undertake
this transformative project. I am profoundly grateful to my parents for their unwav-
ering encouragement and belief in my abilities. I also extend my deepest gratitude
to my supervisor, Dr. Omid Kavehei, Associate Professor and Deputy Head of the
School of Biomedical Engineering at the University of Sydney, Australia, for his
support and inspiration. Additionally, I would like to acknowledge Dr. A. Andrew
Roobert, the editor, for fostering a collaborative environment that was crucial for the
successful completion of this endeavour.
Mr. Samuel Tensingh (Editor)
Taylor & Francis
(9
a1 Talor & Francis Group
http://taylorandfrancis.com
1 Design an Inverter with a
Quad-Model Transistor
Alok Kumar, Bhavana P. Shrivastava,
Tarun Kumar Gupta, and Vivek Patel
1.1 INTRODUCTION
This chapter covers the design of an inverter using a quad-model transistor. It entails
the use of an abridged transistor model, which turns several transistors into one com-
parable model. This method keeps key features for fundamental circuit operation
while streamlining the design process. The quad-model transistor approximates the
behavior of a single transistor with improved current-driving capabilities by connect-
ing four identical transistors in parallel. The threshold voltage, transconductance,
and drain–source resistance of separate transistors are combined into a single model
known as the quad-model transistor. For this a quad-model transistor is selected that
will work well under the specified circumstances. It must also be ensured that the
voltage ratings are appropriate for the intended use and that it can handle a sufficient
amount of current. The transistor quad-model is set up in an inverter architecture. A
transistor (or quad-model transistor in this case) linked in series with a load resistor
between the ground and the supply voltage (Vdd) is the standard configuration of an
inverter. Based on the specifications of the quad-model transistor and the intended
logic swing levels, select a suitable supply voltage (Vdd). It is necessary to choose
an appropriate load resistor (R L) to control the inverter’s switching speed and power
usage. The load resistor is typically used to guarantee appropriate voltage swing and
reduce propagation delay. The quad-model transistor must be given the appropri-
ate biasing. This entails making sure the transistor in CMOS inverters functions
in its active zone for the right switching and amplification characteristics. In order
to reduce signal degradation and maximum performance, arrange the circuit tak-
ing into account resistances, parasitic capacitances, and the physical architecture
of the quad-model transistor. Utilize simulation tools such as SPICE to confirm the
inverter’s functionality. To make sure they adhere to design criteria, verify the volt-
age transfer characteristic (VTC), noise margins, propagation latency, and power
usage. It should be ensured that the datasheet for the quad-model transistor contains
enough information about its specifications, including input capacitance, maximum
drain–source voltage (Vds), and maximum drain current (Id). The value of R L is
determined by taking into account the required speed and desirable voltage swing.
While too low a value can result in increased power consumption, too high a value
DOI: 10.1201/9781003483052-1 1
2 Circuit Design for Modern Applications
can cause slow switching speeds. Before putting your design into practice, always
use simulation to make sure it satisfies performance requirements and performs
dependably under anticipated operating conditions.
The concept of digital data manipulation has been greatly impacted by research-
ers and the concept of digital computers has been in the minds of researchers for a
long time. The steady of evolution of computers from mainframes and minicomput-
ers to personal computers and laptops has led to their widespread use in everyday
life. However, the ongoing shift toward digital solutions across the board in elec-
tronics is more noteworthy. When the advantages of digital data manipulation over
analog processing were first revealed, for this instrumentation was among the first
non-competing field to implement it physically; other domains, including control,
subsequently followed. We have only just begun to see the shift toward digital for-
mats in consumer electronics and telecoms. Data sent and processed digitally across
wired and wireless networks is rapidly replacing analog methods in the telephone
industry. Digital video immediately followed in the footsteps of the compact disc,
which utterly transformed the music industry. Research has shown that high-density
circuits are more likely to contain manufacturing flaws and be vulnerable to dynamic
errors when operating, as a result of improvements in the CMOS technologies and
the reduction of feature size in relation to the nanoscale scale [1, 2]. Among the many
drawbacks of nanoscale electronics, the most notable is their greater vulnerability to
soft mistakes and higher failure rates. You can’t run a circuit without addressing both
of these kinds of faults. The capacity of a circuit to continue functioning correctly
in the presence of such mistakes is known as its reliability. The causes of transient
(soft) mistakes are rather varied. Examples of these include coupling, leakage, high-
energy particles, noise from the power source, and fluctuations in the circuit over
time. The transitory error(s) caused by a soft error could persist for the duration of
one or more clock cycles. There is a transient current pulse that happens if a charged
particle contacts the combinational logic, and this is known as a single event tran-
sient (SET). An inaccurate value at the gate output can be the consequence of a suf-
ficiently wide and magnitude transient. The mistaken value is stuck to an element in
memory, turning a SET into a single event upset (SEU). The use of a single SET may
generate several current pulses that are transitory at their output [3]. This happened
as a result of the logic fan-out in the circuit.
When opposed to the situation in which redundancy is supplied at a higher level
of abstraction, the addition of redundancy at the level of the transistors is advanta-
geous because it enables larger fault coverage in addition to offering inherent protec-
tion from errors that are present inside a circuit [4]. Due to the increasing likelihood
of chip failures caused by the high integration ratio of transistors, fault tolerance has
recently taken on more significance. Hardware fault tolerance methods are catego-
rized into two types: static and dynamic. While dynamic reconfiguration methods
[5] are more space and power-efficient than static methods due to the selective activa-
tion of spare modules in response to the detection of defective active modules, static
methods [6, 7] can tolerate any type of defect, whether permanent, intermittent, or
transient, and hence offer more reliable solutions. Sporadic faults have become ubiq-
uitous in modern microcomputer systems [8], whereas single event upsets [9] are
Design an Inverter with a Quad-Model Transistor 3
1.2 FAULT MODEL
Static fault-tolerant solutions can accept any type of fault, regardless of whether it is
permanent or temporary. In the technology we have developed, we have taken into
account both stuck-ON and stuck-OFF transistor faults. The terms “sticky-ON” and
“sticky-OFF” defects in MOSFET refer to the persistent closing (shortening) and the
opening of the pathway at the source and drain of the device, respectively [17]. As
a result, in reality, stuck-OFF faults act appropriately and are represented as stuck-
ON faults, but stuck-open faults are equivalent to transistor stuck-OFF faults and
are therefore modeled as such. If an NMOS transistor has a stuck input AT-1 (SA-1)
fault at its gate terminal, the drain–source connection will be permanently blocked,
which is similar to a stuck fault of the transistor. An input stuck-at-0 (SA-0) fault in
an NMOS transistor causes an opening in the channel between the drain and source,
resulting in a stuck-OFF fault in the transistor. Similar to the previous example, a
PMOS transistor with an SA-1 or SA-0 gate defect may be represented as a transistor
with its ON gate defect, or the other way around.
1.2.1 Fault Types
A fault refers to any deviation or abnormality in the operation, behavior, or perfor-
mance of a system or component from its expected or intended state. Faults can arise
from various sources, including design flaws, manufacturing defects, environmental
factors, wear and tear, and human error. They can manifest as errors, malfunctions,
or failures that adversely affect the functionality, reliability, or safety of a system.
Faults in integrated circuits occur due to many reasons but mainly due to fabrication
4 Circuit Design for Modern Applications
defects and radiations. So, if any transistor fails the circuit output is incorrect. These
fault types are crucial for MOSFET designers, manufacturers, and users to ensure
device reliability and performance in various applications. Additionally, fault analy-
sis techniques such as reliability testing, failure analysis, and modeling help identify
and mitigate these issues during the design and manufacturing stages. Here are some
common fault types across different domains:
The faults are two types:
These faults occur temporarily and may result from momentary disturbances such
as electrical noise, voltage spikes, or signal interference. Transient faults typically do
not cause permanent damage but can lead to temporary disruptions in system opera-
tion. These faults can occur both in NMOS as well as PMOS. In the PMOS (NMOS)
transistor, a fault occurs if the gate terminal connects to the power supply (ground).
The transistor is always OFF. The other case is; that if the gate terminal of the PMOS
(NMOS) transistor connects to the ground (supply voltage); the transistor is always
ON. This fault is shown in Figures 1.1 and 1.2.
1.2.2 Quad Model
The single transistor is replaced by four transistors in the quad model, which is the
simplest definition of the quad model. The illustration of the quad model concerning
NMOS and PMOS transistors is shown here [18]. However, quad logic (QL) technol-
ogy is superior to Triple Modular Redundancy (TMR) technology reliability-wise
and along the crucial route. However, quad logic technology requires approximately
eight times the area of non-redundant technology, and it takes up to the latter two
degrees of error absorption, rendering it wasteful for logic–depth–limited circuits.
It is possible to choose the final output from the quadrangle using majority voters
at the output; however, this method is not without its shortcomings for voters who
are not trustworthy. By the methods described above, the quad transistor (QT) logic
which was developed by El-Maleh et al. [19] contains a solution that is more cost-
effective than QL logic. In this logic, each transistor in the circuit being studied is
quadrated. In the past [4, 20], have investigated further concepts on quadrature com-
ponents to promote circuit reliability. When using QT, the transistors in the circuit
are swapped out for two sets of transistors linked in series or parallel, as seen in
Figures 1.3 and 1.4, respectively. Both these connections are illustrated respectively.
In the context of a single transistor, the value obtained from the quadrant input is the
same as the value obtained from the first input. As can be seen from the structures
shown in Figures 1.3 and 1.4, the circuit continues to function normally if a single
transistor in the fault-tolerant QT fails for which it was initially designed. It is also
possible for systems to be able to tolerate multiple failures affecting a specific group
of transistors.
transistors. If any PMOS transistor is faulty, say shorted (ON), then it will not affect
the performance as obtaining a HIGH output is not a problem. But if the PMOS tran-
sistor is permanently open (OFF) then it has some effects on the circuit performance
but we get the desired logic output. If we want the output HIGH, then due to a faulty
transistor that path is completely OFF the circuit model chooses the other path so
there will be a slight increase in the delay.
Below is the circuit diagram of the quad NMOS transistor. Here in fault-tolerant
architectures, each conventional NMOS is replaced with four NMOS transistors.
The connection among four transistor is given by parallel connection of two pairs of
transistors connected in series. If any NMOS transistors among the four are faulty
say permanently shorted (ON), then it will not affect the performance much because
if we want the output to go LOW it is not a problem. But if the NMOS transistor is
permanently open (OFF), then it has some effect on circuit performance, but we get
the exact same output. If we want the output LOW, then due to a faulty transistor that
path is completely OFF and the circuit model chooses the other path, so there will be
a slight increase in delay.
1.3.1 Circuit Operation
In Figure 1.9, both the NMOS and PMOS transistors’ gate terminals have connec-
tions to the voltage at the input [23]. When VIN is elevated and matches VDD, then
the NMOS transistor is turned ON and the PMOS transistor is turned OFF. A value
of 0 V in steady state is produced because VOUT has a direct route to the ground
node. For input voltages of 0 V (LOW), PMOS transistors are turned ON and NMOS
8 Circuit Design for Modern Applications
transistors are turned OFF, respectively [24]. Furthermore, a high output voltage
may be achieved since a route does exist between VDD and VOUT (Figure 1.9).
1.3.2 Internal Capacitance
To understand the parasitic capacitances that are connected with each MOSFET,
take into consideration the cascade connection of two CMOS inverter circuits, which
is shown in Figure 1.10. Gate overlap with diffusion is the primary cause of the
FIGURE 1.11 Input and output waveforms of the inverter and the propagation delay.
In most cases, it is assumed that the waveform of the input voltage is an ideal step
pulse with no rise and fall time [31]. Under this assumption, the time necessary for
the output voltage to decrease from VOH to the V 50% level is denoted by t PHL , while
the time required for the output voltage to increase from VOL to the V50% level is
denoted by t PLH . The voltage point V50% is defined as follows:
1 1
V50% VOL VOH VOL VOH VOL (1.2)
2 2
Thus, the propagation delay time t PHL and t PLH are found:
t PHL t1 t0 (1.3)
t PLH t3 t2 (1.4)
A characteristic of the inverter is the average propagation delay, denoted by the sym-
bol t P . This delay represents the average amount of time that is necessary for the
input signal to go through the inverter.
t PHL t PLH
tp (1.4)
2
1.4.2 Fault-Tolerant Inverter
As mentioned above, the propagation delay was calculated for different dimensions
of the CMOS inverter. Now if any type of fault is found in a single transistor, the
desired output will not be found. Table 1.2 shows the truth table of the inverter if any
TABLE 1.1
Propagation Delays for a CMOS Inverter
Propagation Without With
Size of Transistor Delay Parasitic (in ps) Parasitic (in ps)
Width of PMOS (720nm) and t PHL 8.9650 11.1477
Width of NMOS (240nm)
t PLH 8.4752 11.6754
tP 8.7608 11.4115
Width of PMOS (1440nm) and t PHL 15.3653 18.3895
Width of NMOS (480nm)
t PLH 12.0104 15.0159
tP 13.6878 16.7027
tP 12.0442 17.3347
Design an Inverter with a Quad-Model Transistor 13
TABLE 1.2
Truth Table of a Normal CMOS Inverter if a Transistor is Faulty
A Y M1 M2
ON OFF ON OFF
0 1 1 Z VDD 1
2
1 0 VDD 0 0 Z
2
of the transistors has a fault. Here the PMOS is represented as M1, NMOS as M2, A
as input, and Y as an output. The fault type has already been discussed above.
It is seen in Table 1.2 that if any of transistor produces any type of fault the
inverter will not give the desired output. Therefore, quad-model transistors are used
to tackle this issue and also getting the desired output.
The design style of the fault-tolerant inverter is the same as the CMOS inverter.
As we said above each transistor is replaced with four transistors [4], so the total
number of transistors here in the fault-tolerant inverter is now eight, which means
the area is increased four times. A schematic diagram of the fault-tolerant inverter is
shown in Figure 1.15. The width of the PMOS transistor is increased three times of
the NMOS transistor to maintain equal charging and discharging currents.
In a fault-tolerant inverter if any one transistor is faulty there is no effect on the
output of the inverter. Here, Table 1.3 shows the truth table of a fault-tolerant inverter.
TABLE 1.3
Truth Table of a Fault-Tolerant Inverter
A Y M1 M2 M3 M4 M5 M6 M7 M8
ON OFF ON OFF ON OFF ON OFF ON OFF ON OFF ON OFF ON OFF
0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Circuit Design for Modern Applications
Design an Inverter with a Quad-Model Transistor 15
TABLE 1.4
Propagation Delay of a Fault-Tolerant Inverter
Propagation Without With Parasitic
Size of Transistor Delay Parasitic (in ps) (in ps)
The size of PMOS and NMOS are t PHL 25.0498 35.3916
(720nm/180nm) and (240nm/180nm)
t PLH 33.5569 47.1899
tP 29.3033 40.7907
TABLE 1.5
Propagation Delay of a Fault-Tolerant Inverter with Isolation
Propagation Without With Parasitic
Size of Transistor Delay Parasitic (in ps) (in ps)
The size of PMOS and NMOS are t PHL 25.0498 35.3916
(720nm/180nm) and (240nm/180nm)
t PLH 33.5569 47.1899
tP 29.3033 40.7907
TABLE 1.6
Comparative Analysis of QT and the Existing
Architecture of Inverters
Inverter Area Average propagation delay
(NOT gate) (in µm2 ) (in ps)
Non-redundant 6.25 18.27
TT 18.49 29.74
QT 24.27 43.27
Design an Inverter with a Quad-Model Transistor 19
does not seem to be the most effective solution for fault-tolerant circuit design; none-
theless, it does give much fewer fabrication flaws and radiation hardening concerns
that have been resolved. The provision of fault-tolerant solutions for applications that
demand larger space is thus a beneficial use of this. Here increase in area is a signifi-
cant problem, but in other hand it can tolerate a little delay in the processing time.
The complexity of chip design may grow if one transistor is replaced with four
transistors by the same amount. Because of this modification, the circuitry will
most likely need to be redesigned to fit the extra transistors. This may need more
complex layout planning, routing, and testing procedures. In addition, the presence
of a greater number of transistors results in increased power consumption and an
increased number of possible failure spots, which further complicates the design
process. Nevertheless, such alterations may also give advantages such as better per-
formance, functionality, or dependability, which would make the complexity ben-
eficial in some circumstances. Overall, it’s a trade-off between complexity and the
desired outcomes of the chip design.
1.6 CONCLUSION
Fault-tolerant design is essential for space applications because once the chip is
placed in a satellite and sent to space if it fails then it leads to the failure of the
vehicle, and the mission. So, chip failure in space is a major problem. So, we need
to provide a chip architecture that works even if some of the transistors fail, so that
it merely increases delay rather than failing completely. By considering these issues
a fault-tolerant standard cell library was designed. As expected, when we adopted a
fault-tolerant architecture with the QT the area increased by four times for transis-
tors without isolation and increased up to five times for with transistors with isolation
when compared to the CMOS technology. Delay is expected to increase more when
the transistor fails, but it is decreased in some architectures when it is compared with
no-fault condition delays. As the chip area grows, power consumption increases, but
it does not consider because we are more concerned with functionality than power.
REFERENCES
1. Heath, J. R., Kuekes, P. J., Snider, G. S., & Williams, R. S. 1998. A defect-tolerant com-
puter architecture: Opportunities for nanotechnology. Science, 280(5370), 1716–1721.
2. Cohen, N., Sriram, T. S., Leland, N., Moyer, D., Butler, S., & Flatley, R. 1999. Soft
error considerations for deep-submicron CMOS circuit applications. In International
Electron Devices Meeting 1999. Technical Digest (Cat. No. 99CH36318) (pp. 315–318).
IEEE.
3. Dodd, P. E., & Massengill, L. W. 2003. Basic mechanisms and modeling of single-
event upset in digital microelectronics. IEEE Transactions on Nuclear Science, 50(3),
583–602.
4. Robinett, W., Kuekes, P. J., & Williams, R. S. 2007. Defect tolerance based on coding
and series replication in transistor-logic demultiplexer circuits. IEEE Transactions on
Circuits and Systems I: Regular Papers, 54(11), 2410–2421.
5. Mukherjee, A., & Dhar, A. S. 2015. Real-time fault-tolerance with hot-standby topol-
ogy for conditional sum adder. Microelectronics Reliability, 55(3–4), 704–712.
20 Circuit Design for Modern Applications
6. Leu, D. R., Bastani, F. B., & Leiss, E. L. 1990. The effect of statically and dynamically
replicated components on system reliability. IEEE Transactions on Reliability, 39(2),
209–216.
7. Scharoba, S., Schölzel, M., Koal, T., & Vierhaus, H. T. 2014. On reliability estimation
for combined transient and permanent fault handling. In 2014 14th Biennial Baltic
Electronic Conference (BEC) (pp. 73–76). IEEE.
8. Gil-Tomás, D., Gracia-Morán, J., Baraza-Calvo, J. C., Saiz-Adalid, L. J., & Gil-Vicente,
P. J. 2015. Injecting intermittent faults for the dependability assessment of a fault-toler-
ant microcomputer system. IEEE Transactions on Reliability, 65(2), 648–661.
9. El-Maleh, A. H., & Daud, K. A. 2015. Simulation-based method for synthesizing soft
error tolerant combinational circuits. IEEE Transactions on Reliability, 64(3), 935–948.
10. Maestro, J. A., Reviriego, P., Reyes, P., & Ruano, O. 2009. Protection against soft errors
in the space environment: A finite impulse response (FIR) filter case study. Integration,
42(2), 128–136.
11. Sanchez-Clemente, A. J., Entrena, L., Hrbacek, R., & Sekanina, L. 2016. Error mitiga-
tion using approximate logic circuits: A comparison of probabilistic and evolutionary
approaches. IEEE Transactions on Reliability, 65(4), 1871–1883.
12. Xu, Q., & Nicolici, N. 2005. Resource-constrained system-on-a-chip test: A survey.
IEE Proceedings—Computers and Digital Techniques, 152(1), 67–81.
13. Ban, T., & Junior, G. G. 2017. Critical gates identification for fault-tolerant design in
math circuits. Journal of Electrical and Computer Engineering..
14. Zoraghchian, A., Didehban, M., & Mehrabian, M. R. 2016. A fault detection method for
combinational circuits. International Journal of Advanced Network, Monitoring and
Controls, 1(1), 1–5.
15. Somashekhar, V. M., & Singh, R. P. 2020. FPGA implementation of fault tolerant adder
using Verilog for high-speed VLSI architectures. International Journal of Engineering
and Advanced Technology (IJEAT), 9(4), 2249–8958.
16. Han, J., Leung, E., Liu, L., & Lombardi, F. 2014. A fault-tolerant technique using quad-
ded logic and quadded transistors. IEEE Transactions on Very Large-Scale Integration
(VLSI) Systems, 23(8), 1562–1566.
17. Mukherjee, A., & Dhar, A. S. 2015. New triple-transistor based defect-tolerant systems
for reliable digital architectures. In 2015 IEEE International Symposium on Circuits
and Systems (ISCAS) (pp. 1917–1920). IEEE.
18. Han, J., Gao, J., Jonker, P., Qi, Y., & Fortes, J. A. 2005. Toward hardware-redundant,
fault-tolerant logic for nanoelectronics. IEEE Design & Test of Computers, 22(4),
328–339.
19. El-Maleh, A. H., Al-Hashimi, B. M., Melouki, A., & Khan, F. 2009. Defect-tolerant
N2-transistor structure for reliable nanoelectronic designs. IET Computers & Digital
Techniques, 3(6), 570–580.
20. Moore, E. F., & Shannon, C. E. 1956. Reliable circuits using less reliable relays. Journal
of the Franklin Institute, 262(3), 191–208.
21. Hao, L., Zhang, X., Dai, C., Zhao, Q., Lu, W., Peng, C., & Wu, X. 2023. Soft-error-
immune quadruple-node-upset tolerant latch based on polarity design and source-isola-
tion technologies. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,
32 (4), 597-608.
22. Crespo-Yepes, A., Nasarre, C., Garsot, N., Martin-Martinez, J., Rodriguez, R., Barajas,
E., & Nafria, M. 2022. CMOS inverter performance degradation and its correlation
with BTI, HCI and OFF state MOSFETs aging. Solid-State Electronics, 191, 108264.
23. Rajakumar, A. R., Samuel, A., & Rani, G. N. 2017. Low power VLSI architecture design
of BMC, BPSC and PC schemes. Analog Integrated Circuits and Signal Processing,
93(1), 169–178.
Design an Inverter with a Quad-Model Transistor 21
24. Touchaei, B. J., Ghafouri, T., Manavizadeh, N., Raissi, F., & Zeidabadi, M. A. 2021.
Capacitance–resistance modeling of an inverter based on a nanoscale side-contacted
field-effect diode with an overshoot suppression approach. Journal of Computational
Electronics, 20, 1666–1675.
25. Druzhinin, A., Kogut, I., Holota, V., Nichkalo, S., Khoverko, Y., & Benko, T. 2023. The
method of reducing the CMOS inverter switching energy. Applied Nanoscience, 13(12),
7501–7511.
26. Khan, F. 2009. Transistor-Level Defect-Tolerant Techniques for Reliable Design at the
Nanoscale (Doctoral dissertation, King Fahd University of Petroleum and Minerals).
27. El-Maleh, A. H., Al-Hashimi, B. M., Melouki, A., & Al-Yamani, A. 2010. Transistor-
level based defect-tolerance for reliable nanoelectronics. Robust Computing with
Nano-Scale Devices: Progresses and Challenges, 58, 29–49.
28. Murugaiyan, G., Gnanamalar, J., Narayanaperumal, M., & Muthuvel, V. 2023. Red
fox-based fractional order fuzzy PID controller for smart led driver circuit. Revue
Roumaine Des Sciences Techniques — Série Électrotechnique Et Énergétique, 68(4),
395–400.
29. Dash, S. K., Mishra, S. N., & Rout, N. K. 2020. Design of efficient delay block for low
frequency application. Facta Universitatis, Series: Electronics and Energetics, 33(3),
489–498.
30. Gupta, K. K., & Garg, P. 2015. Comparative study for current, delay & power dissipa-
tion of CMOS inverters. International Journal of Science, Technology & Management,
4 (1), 351-358.
31. Paras, N., Rahi, S. B., Upadhyay, A. K., Bharti, M., & Song, Y. S. 2024. Design and
analysis of novel La: HfO2 gate stacked ferroelectric tunnel FET for non-volatile mem-
ory applications. Memories—Materials, Devices, Circuits and Systems, 7, 100101.
32. Rajakumar, A. R. 2018. Design of low power VLSI architecture of line coding schemes.
Wireless Personal Communications, 99(4), 1455–1473.
2 Exploring the Design
and Performance
Dynamics of CMOS
Voltage-Controlled
Ring Oscillator Stages
M.V. Rajee and C. Mythili
2.1 INTRODUCTION
Voltage-controlled oscillators (VCOs) play a pivotal role in various applications
and industries, contributing to the advancement of modern electronics in specific
domains. In telecommunications and wireless communication systems, VCOs are
essential components in frequency synthesizers used for signal modulation and
demodulation, frequency conversion, and channel tuning in devices such as mobile
phones, Wi-Fi routers, and satellite communication systems. In radar and navigation
systems, VCOs are crucial for generating microwave signals used in radar trans-
mitters, altimeters, and navigational aids, enabling precise distance measurement,
target detection, and location tracking. Moreover, in medical imaging and diagnos-
tic equipment, VCOs are employed in magnetic resonance imaging (MRI) systems,
ultrasound scanners, and spectroscopy devices to generate radio-frequency signals
for tissue excitation and signal acquisition, facilitating non-invasive imaging and
diagnosis of various medical conditions. Additionally, in aerospace and defense
applications, VCOs are utilized in radar systems, electronic warfare systems, and
missile guidance systems for target detection, jamming, and precision guidance,
enhancing national security and military capabilities.
A VCO is a crucial electronic circuit employed in various applications, especially
in the field of analog and radio-frequency integrated circuits. The primary function
of a VCO is to generate an oscillating signal whose frequency can be modulated
by an external voltage, making it a versatile component in communication systems
and frequency synthesizers. The VCO operates on the principle of utilizing a ring
of interconnected inverters or delay elements to produce a continuous oscillating
waveform. By adjusting the input voltage, the oscillation frequency can be precisely
controlled, providing a means to achieve frequency modulation or demodulation.
22 DOI: 10.1201/9781003483052-2
Exploring the Design and Performance 23
The design and optimization of voltage-controlled ring oscillators are critical tasks,
involving considerations such as tuning range, phase noise, and power consumption.
These characteristics make VCOs indispensable in modern electronics, contributing
to the efficient generation of clock signals and meeting the dynamic requirements of
contemporary communication devices.
One key feature is their unparalleled frequency tunability, allowing precise
adjustment of the output frequency by varying the control voltage. This attribute
is particularly vital in applications like frequency synthesis and modulation, where
the ability to fine-tune frequencies is critical. VCOs also boast a wide operating
frequency range, accommodating diverse communication standards and system
requirements. Renowned for low phase noise, they ensure stable and high-quality
signal output, crucial in communication systems where signal fidelity is paramount.
Many VCOs are designed with low power consumption, making them suitable for
energy-efficient devices and battery-powered applications. Their compact design
facilitates integration into integrated circuits, often providing versatile outputs such
as sine, square, or triangle waves. Whether applied in wireless communication, radar
systems, or frequency synthesis, the versatility, precision, and adaptability of VCOs
underscore their significance in modern electronic systems.
VCOs exhibit several key advantages that contribute to their widespread use in
electronic circuits. One primary advantage lies in their inherent frequency tunabil-
ity, allowing precise control of the oscillation frequency by adjusting the input volt-
age. This feature is particularly valuable in applications such as frequency synthesis
and modulation, where flexibility in signal generation is paramount. Additionally,
VCOs offer a compact design, making them well-suited for integration into inte-
grated circuits. Their low power consumption enhances energy efficiency, a critical
consideration in battery-powered devices and energy-conscious systems. The sim-
plicity of the ring oscillator structure, typically comprising a loop of interconnected
inverters, not only aids in ease of design but also contributes to cost-effectiveness.
Versatility in applications, ease of integration with digital technologies, and the abil-
ity to operate across a wide temperature range further underscore the advantages of
voltage-controlled ring oscillators in meeting the dynamic requirements of modern
electronic systems.
In recent years, significant advancements and emerging trends have been observed
in CMOS VCO design and optimization, reflecting the ongoing pursuit of higher
performance, lower power consumption, and enhanced integration capabilities. One
notable trend is the increasing utilization of advanced semiconductor technologies,
such as FinFET and FD-SOI, to achieve superior performance and efficiency. These
technologies offer improved transistor characteristics, reduced leakage currents, and
enhanced scalability, enabling the development of highly integrated and energy-effi-
cient VCOs for a wide range of applications.
Moreover, there has been a growing emphasis on the development of novel VCO
architectures and topologies to address specific challenges and requirements in
emerging applications. For instance, fractional-N synthesizers and digitally con-
trolled oscillators (DCOs) have gained prominence in wireless communication
systems, offering enhanced frequency resolution, agility, and spectral purity while
24 Circuit Design for Modern Applications
2.2 LITERATURE SURVEY
Here is an overview of the different single-ended CMOS voltage-controlled ring
oscillators that have been published in the literature.
Hajimiri et al. [1] proposed a time-variant model for the investigation of phase
noise in differential and single-ended ring oscillators. A formula for the impulse
sensitivity function (ISF) root mean square value is obtained. A phase noise lower
bound for ring oscillators is presented along with a closed-form equation for ring
oscillators’ phase noise. Measurements of phase noise in oscillators operating at up
to 5.5 GHz show good agreement with the theory. Rezayee et al. [2] describe a two-
stage CMOS VCO functioning at 900 MHz that has good phase-noise performance.
Exploring the Design and Performance 25
from the oscillation frequency of 8.35 GHz. For the VCO, the figure of merit (FoM)
is –159.95 dBc/Hz. A CMOS differential delay cell for tuning range in low voltage
operation was described by Yu-Sheng Tiao et al. [7]. A 0.18 μm CMOS process is
utilized to create a three-stage ring oscillator by means of a delay cell. The high
speed and tuning range are attained by using the multiple-pass loop approach. VCO
operates with a control voltage fluctuation of 0 V to 1.8 V, covering a frequency
range of 1.29 GHz to 8.36 GHz. The phase noise of the VCO circuit at 1 MHz offset
from the oscillation frequency of 8.35 GHz is –100.22 dBc/Hz. The VCO has a FoM
of –159.95 dBc/Hz.
In order to modify the current of the output node in a 180 nm CMOS process, L.
Xuemei et al. [8] proposed a three-stage ring voltage-controlled oscillator based on
a distinct cascade voltage logic delay cell with current source load. The VCO design
has a frequency range of 0.770 GHz to 3.286 GHz, with a power consumption of
15.1 mW and phase noise of –97.93 dBc/Hz at a 1 MHz offset from the 5.2684 GHz
carrier frequency. C. Zhang et al. [9] describe a four-stage differential ring voltage-
regulated oscillator in 0.18 μm CMOS technology. To produce a frequency range, the
inductive shunt peaking approach is applied using an active inductor. The VCO cir-
cuit exhibits phase noise of –86.7 dBc/Hz at 1 MHz offset, a figure of merit of –149.7
dBc/Hz, and oscillations within the tuning range of 4.9 GHz to 5.9 GHz. Moreover,
VCO uses 8.1 mW of power.
Ebrahim Abiri et al. [10] proposed a low-power frequency synthesizer for WLAN
applications. The goal of the NMOS transistor-feedback VCO is to reduce phase
noise. The purpose of the TSPC frequency splitter is to increase frequency range
while consuming less power. In addition to having a low blind and dead zone and
neutralizing charge pump (CP) output currents, the phase frequency detector (PFD)
with XOR delay cell is designed to include miller capacitors and a high-gain opera-
tional amplifier in the circuit. Operating at 1.8 V supply voltage, the frequency syn-
thesizer is simulated on 0.18 µm CMOS technology. The phase noise of the VCO at
1 MHz offset is –136 dBc/Hz. The tuning range is 10.2%. The output frequency of
the VCO can be divided into a maximum ratio of 18 thanks to the presence of a fre-
quency divider in the frequency synthesizer loop. It is estimated that the frequency
synthesizer has a chip area of 10,400 µm2 and a power consumption of 4 mW.
J. Jin et al. [11] proposed a novel gain linearized varactor bank for wideband
VCOs. Low tuning gain is achieved in the varactor bank by using linearized VCO
tuning gain techniques including gain variation compensation and linear tuning
range extension. The 0.18 μm CMOS technology is utilized to create the VCO cir-
cuit. The VCO circuit has a 4.2 GHz to 5.0 GHz operational range and a 7.2 mW
power consumption. Zina Saheb et al. [12] created a CMOS-based VCO in TSMC
65 nm technology for wireless sensor applications. The first design uses the IMOS
varactor approach to generate a tuning range of 166 MHz to 600 MHz, whereas the
second design uses the same range but with a power consumption of 146.19 μW.
Suraj Kumar et al. [13] report on a VCO based on a common source (CS) ampli-
fier in a 90 nm CMOS technology. The propagation delay of each delay stage is
controlled, allowing the frequency to be adjusted, by varying the active load of
the CS amplifier. The VCO circuit has a phase noise of –137.9 dBc/Hz with power
Exploring the Design and Performance 27
Table 2.1 compares the various performance metrics across the listed VCOs, and
certain trends emerge regarding their technological parameters, power consumption,
and phase noise characteristics. Notably, the technology node varies from 0.18 μm
to 0.9 μm, with smaller nodes generally associated with lower power consumption
and better performance. For instance, VCOs implemented in 0.18 μm technology
TABLE 2.1
Comparative Analysis of Various Performance Specifications of Voltage-
Controlled Ring Oscillators
Frequency Power Phase noise FoM
Ref Tech (μm) Vdd N (GHz) (mW) (dBc/Hz) (dBc/Hz)
[1] 0.25 2 — 0.285-1.270 10 –104.4@1MHz 156.4
[2] 0.5 2.5 2 0.66-1.27 15.5 –106@600 KHz —
[3] 0.25 2 2 0.8-2.4 59 –98.5@400KHz 152.83
[4] 0.18 1.8 3 5.16-5.93 80 –99.5@1MHz 155.72
[5] 0.18 1.5 — 2.7-5.4 18.4 –90@1MHz 149.5
[6] 0.18 1.8 4 1.77-1.92 13 –102@1MHz 156.3
[7] 0.18 1.8 3 8.36-1.29 74.25 –100.22@1MHz 159.95
[8] 0.18 1.8 — 03-5.0 4 –136@1MHz —
[9] 0.18 1.8 3 0.770-5.287 15.1 –97.93@1MHz 160.6
[10] 0.18 1.8 4 4.9-5.9 8.1 –86.7@1MHz 149.7
[11] 0.18 2 — 4.1-5 7.2 –90.2 @1MHz 155.1
[12] 0.65 0.6 3 0.166-0.6 0.151 –78@1MHz 141.77
[13] 0.9 1.2 5 4.22-6.22 2.092 –137.9@1MHZ —
[14] 0.45 1.1 3 0.361-30.33 0.886 96.7@10MHz 146.16
Exploring the Design and Performance 29
exhibit both low power consumption and competitive phase noise levels, exemplified
by Design [5] with a power consumption of 18.4 mW and phase noise of –90 dBc/
Hz at 1 MHz offset. In contrast, Design [13] utilizing a larger 0.9 μm technology
node shows significantly higher power consumption at 2.092 mW and poorer phase
noise performance at –137.9 dBc/Hz. Additionally, variations in supply voltage
(Vdd) influence power consumption levels, where lower voltages like 0.6 V in Design
[12] contribute to ultra-low power consumption of 0.151 mW. Moreover, frequency
range and number of stages (N) impact the performance envelope, with wider fre-
quency ranges typically associated with higher power consumption, exemplified by
Design [14] with a frequency range of 0.361–30.33 GHz and a power consumption of
0.886 mW. Conversely, Designs [3] and [9] demonstrate how increasing the number
of stages can accommodate broader frequency ranges while maintaining relatively
low power consumption. Overall, these comparisons underline the intricate trade-
offs involved in VCO design, where technology selection, supply voltage, frequency
range, and number of stages collectively influence power consumption and phase
noise performance.
Oscillators with lower phase noise values are desirable for applications requiring
precise timing and low jitter. The figure of merit provides a holistic measure of oscil-
lator performance, considering factors such as frequency, power consumption, and
phase noise. Oscillators with higher FoM values are considered more efficient and
suitable for a wide range of applications. Based on the provided data, the oscillators
offer a good balance of operating frequency, power consumption, and phase noise,
making them suitable for various applications requiring stable clock signals or tim-
ing references. Additionally, the choice of oscillator depends on specific application
requirements, such as power constraints, operating frequency range, and phase noise
tolerance.
2.3 RING OSCILLATOR
Ring Oscillators are electronic circuits that consist of an odd number of inverters
connected in a ring configuration, where the output of the last inverter is fed back
to the input of the first inverter. They are used to generate a continuous oscillating
signal, whose frequency is influenced by environmental conditions such as tempera-
ture and voltage. Additionally, ring oscillators have been explored for their potential
applications in Physical Unclonable Functions which can generate unique identifiers
based on the characteristics of the oscillators.
a closed loop, the oscillator exploits the inherent delay in each stage to sustain oscil-
lations. The positive feedback loop ensures that the output of the last inverter is fed
back to the first, creating a regenerative process. The oscillation frequency is directly
tied to the cumulative propagation delays of the individual inverter stages, offer-
ing a straightforward frequency control mechanism. Voltage control, facilitated by a
varactor or similar elements, enables precise adjustment of the oscillation frequency.
Furthermore, resistive and capacitive tuning methods provide additional means for
frequency modulation. This oscillator’s ability to balance simplicity with versatility,
making it adaptable to high-speed digital and mixed-signal circuitry, underscores its
significance in contemporary integrated circuit designs. Understanding the detailed
workings of this oscillator is pivotal for engineers aiming to optimize its perfor-
mance for specific applications.
on positive feedback and the inherent delay in each inverter stage to sustain oscil-
lations [19]. The positive feedback loop ensures that the output of the fifth inverter
is fed back to the input of the first, establishing a regenerative process. The cumu-
lative propagation delays of the individual inverter stages collectively dictate the
oscillation frequency, offering a direct means of frequency control. Voltage control,
typically facilitated by a varactor or similar elements, allows precise adjustment of
the oscillation frequency [20]. Additionally, resistive and capacitive tuning meth-
ods enable further modulation of the frequency range. This oscillator’s complex yet
versatile design renders it suitable for applications in high-speed digital and mixed-
signal circuitry, providing a balance between intricacy and precision. A comprehen-
sive understanding of its detailed workings is imperative for engineers aiming to
optimize its performance for specific integrated circuit designs.
of the oscillator. The input signal is then inverted by the first CMOS inverter stage,
resulting in a delayed output. This delayed output is then fed into the next stage of
the oscillator. At each subsequent stage, the signal is inverted again, further delay-
ing the signal and completing the feedback loop. As the signal propagates through
the seven stages of CMOS inverters, it undergoes multiple inversions, resulting in a
phase shift with each stage. Due to the delay introduced by the transistors in each
stage, the signal eventually reaches the input of the first stage again, completing one
full cycle of oscillation. As the signal propagates through the seven stages of CMOS
inverters, it undergoes multiple inversions, resulting in a phase shift with each stage.
Due to the delay introduced by the transistors in each stage, the signal eventually
reaches the input of the first stage again, completing one full cycle of oscillation.
The oscillation frequency of the CMOS ring oscillator is primarily determined by
the delay introduced by each CMOS inverter stage and the total number of stages
in the loop. Typically, shorter delays in the CMOS inverters result in higher oscilla-
tion frequencies. However, the actual frequency is also influenced by factors such as
transistor sizing, load capacitance, and power supply voltage. By carefully designing
the CMOS inverters and optimizing the layout and sizing of the transistors engineers
can adjust the oscillation frequency of the ring oscillator to meet the specific require-
ments of their application. CMOS ring oscillators are commonly used in integrated
circuits for generating clock signals, timing references, and other periodic wave-
forms due to their simplicity, low power consumption and ease of integration.
2.4 PERFORMANCE ANALYSIS
To analyze the performance of the three-, five-, and seven-stage single-ended volt-
age-controlled ring oscillators the following performance metrics were used number
of stages, technology node, supply voltage, frequency range, phase noise, power con-
sumption, and figure of merit [21].
TABLE 2.2
Comparison of the Various Performance Specifications of Different Stages of
Ring VCOs
Performance
Specification Performance Values
No of stages Three Five Seven
Technology 180nm 180nm 180nm
Supply of voltage 1.8V 1.8V 1.8V
Frequency range 0.556–2.584 GHz 1.153–5.130 GHz 0.874–3.678 GHz
Phase noise @ 1Mhz –89.77 dBc/Hz –90.6 dBc/Hz –90.8 dBc/Hz
Power consumption 1.26 mW 1.124 mW 1.632 mW
Figure of merit (FoM) 154.51 dBc/Hz 160.8 dBc/Hz 156.5 dBc/Hz
CONCLUSION
This chapter offers insight into a fundamental building block of frequency synthesis
and timing generation circuits by examining the design and performance dynamics
of CMOS voltage-controlled ring oscillator (VCRO) stages. Applications needing
accurate and consistent clock signals, like data converters, communication systems,
and microprocessors, depend on VCRO stages.
34 Circuit Design for Modern Applications
REFERENCES
1. A. Hajimiri, S. Limotyrakis, and T. H. Lee, “Phase noise in multi-gigahertz CMOS
ring oscillators,” In IEEE Proceedings of Custom Integrated Circuits Conference, pp.
49–52, 1998. doi: 10.1109/CICC.1998.694905.
2. W. S. T. Yan and H. C. Luong, “A 900-MHz CMOS lowphase-noise voltage controlled
ring oscillator,” IEEE Transactions on circuits and systems II: analog and digital sig-
nal processing, vol. 48, no. 2, pp. 216–221, 2001. doi: 10.1109/82.917794.
3. M. A. Do, R. Y. Zhao, K. S. Yeo, and J. G. Ma, “New wideband/dual band CMOS LC
voltage-controlled oscillator,” IEEE Proceedings—Circuits, Devices and Systems, vol.
150, no. 5, pp. 453–459, 2003. doi: 10.1049/ip-cds:20030353.
4. Y. A. Eken and J. P. Uyemura, “A 5.9-GHz voltage-controlled ring oscillator in 0.18μm
CMOS,” IEEE Journal of Solid-State Circuits, vol. 39, no. 1, pp. 230–233, 2004. doi:
10.1109/JSSC.2003.820869.
5. C. C. Wei, H. C. Chiu, and W. S. Feng, “An ultra-wideband CMOS VCO with 3–5 GHz
tuning range,” In Proceedings of the IEEE International Workshop on Radiofrequency
Integration Technology, pp. 87–90, 2005. doi: 10.1109/RFIT.2005.159888.
6. Z. Z. Chen and T. C. Lee, “The design and analysis of dual-delay-path ring oscilla-
tors,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 58, no. 3, pp.
470–478, 2010. doi: 10.1109/TCSI.2010.2072390.
7. Y. S. Tiao, M. L. Sheu, and L. J. Tsao, “Low-voltage and high-speed voltage controlled
ring oscillator with wide tuning range in 0.18 µm complementary metal oxide semi-
conductor,” Japanese Journal of Applied Physics, vol. 50, no. 4S, p. 04DE11, 2011. doi:
10.1143/JJAP.50.04DE11.
8. E. Abiri, M. R. Salehi, and S. Salem, “A low phase noise and low power 3–5 GHz fre-
quency synthesizer in 0.18 µm CMOS technology,” Microelectronics Journal, vol. 45,
no. 6, pp. 740–750, 2014. doi: 10.1016/j.mejo.2014.04.009.
9. L. Xuemei, W. Zhigong, and S. Lianfeng, “Design and analysis of a three-stage voltage
controlled ring oscillator,” Journal of Semiconductors, vol. 34, no. 11, p. 115003, 2013.
doi: 10.1088/1674-4926/34/11/115003.
Exploring the Design and Performance 35
10. C. Zhang, Z. Li, J. Fang, J. Zhao, Y. Guo, and J. Chen, “A novel high-speed CMOS
fully-differential ring VCO,” In 2014 12th IEEE International Conference on Solid-
State and Integrated Circuit Technology (ICSICT), pp. 1–3, 2014. doi: 10.1109/
ICSICT.2014.7021580.
11. J. Jin, X. Yu, X. Liu, W.M. Lim, and J. Zhou, “A wide band voltage-controlled oscil-
lator with gain linearized varactor bank,” IEEE Transactions on Components,
Packaging and Manufacturing Technology, vol. 4, no. 5, pp. 905–910, 2014. doi:
10.1109/TCPMT.2014.2312383.
12. Z. Saheb, E. El-Masry, and J. F. Bousquet, “Ultra-low voltage and low power ring
oscillator for wireless sensor network using CMOS varactor,” In 2016 IEEE Canadian
Conference on Electrical and Computer Engineering (CCECE), pp. 1–5, 2016. doi:
10.1109/CCECE.2016.7726620.
13. S. K. Saw, S. K. Yadav, M. Maiti, A. J. Mondal, and A. Majumder, “A design approach
of higher oscillation VCO made of CS amplifier with varying active load,” Microsystem
Technologies, vol. 26, no. 2, pp. 563–572, 2020. doi: 10.1007/s00542-019-04500-5
14. G. K. Sharma, A. K. Johar, T.B. Kumar, and D. Boolchandani, “Design and analysis of
wide tuning range differential ring oscillator (WTR-DRO),” Analog Integrated Circuits
and Signal Processing, vol. 103, no. 1, pp. 1–13, 2020. doi: 10.1007/s10470-020-01584-2
15. D. M. Ellaithy, “Voltage-controlled oscillator based analog-to-digital converter in 130-
nm CMOS for biomedical applications,” Journal of Electrical Systems and Information
Technology, vol. 10, p. 38, 2023. doi: 10.1186/s43067-023-00109-x.
16. M. Kumar, D. Dwivedi, N. Kumar, V. Singh, and V. Jangra, “Voltage controlled oscil-
lator with active inductive and capacitive tuning,” International Journal of Information
Technology, 16, pp. 105025–105036, 2023. doi: 10.1007/s41870-023-01670-4.
17. P. Bertsias, A. Tsimpos, and G. Souliotis, “A linear multi-band voltage-controlled oscil-
lator with process compensation for SerDes applications,” Electronics, vol. 13, p. 581,
2024. doi: 10.3390/electronics13030581
18. H. J. Son, U.-G. Choi, and J.-R. Yang, “K-band CMOS voltage-controlled oscilla-
tor using tail current modulation with half-output frequency,” AEU — International
Journal of Electronics and Communications, vol. 175, p. 155101, 2024. doi: 10.1016/j.
aeue.2023.155101
19. G. Rajakumar, A. Andrew Roobert, T. S. Arun Samuel, and D. Gracia Nirmala Rani,
“Low power VLSI architecture design of BMC, BPSC and PC schemes,” Analog
Integrated Circuits and Signal Processing, vol. 93, no. 1, pp. 169–178, 2017. doi:
10.1007/s10470-017-1025-0.
20. A. Andrew Roobert and D. Gracia Nirmala Rani, ‘‘Survey on parameter optimization
of mobile communication band low noise amplifier design’’ International Journal of
RF and Microwave Computer-Aided Engineering, vol. 29, no. 7, pp. 1–16, 2019. doi:
10.1002/mmce.21720.
21. D. Dwivedi, M. Kumar, and V. Niranjan, “Design of power-efficient CMOS based
oscillator circuit with varactor tuning control,” SN Applied Science, vol. 3, p. 487, 2021.
doi: 10.1007/s42452-021-04501-y.
22. N. Guenifi, S. B. Rahi, F. Benmahdi, and H. Chaabane, “Optimization for device figure
of merit of ferroelectric tunnel FET using genetic algorithm,” ECS Journal of Solid
State Science and Technology, vol. 12, no. 2, p. 023001, 2023.
23. G. Lakshmi Priya, N. Rawat, S. Abhishek, and M. Venkatesh, “Modeling and simula-
tion of double gate dielectric stack silicon substrate memristor circuits for low power
applications, Silicon, vol. 14, pp. 12403–12414, 2022.
36 Circuit Design for Modern Applications
24. D. Kumar, S. B. Rahi, and P. Kuchhal, “Investigation of analog parameters and miller
capacitance affecting the circuit performance of double gate tunnel field effect transis-
tors,” In Intelligent Communication, Control and Devices: Proceedings of ICICCD
2020, pp. 335–349. Springer Singapore.
25. G. L. Priya, N. Rawat, A. Sanagavarapu, M. Venkatesh, and A. Andrew Roobert,
“Hybrid silicon substrate FinFET-metal insulator metal (MIM) memristor based sense
amplifier design for the non-volatile SRAM cell,” Micromachines, vol. 14, no. 2, p. 232,
2023.
26. A. Andrew Roobert, M. Venkadesh, G. Lakshmi Priya, G. Gifta, and L. Jeart Julus,
“Challenges of conventional CMOS technology in perspective of low Power applica-
tions”, Tunneling Field Effect Transistor: Physics, Modeling and Application. 2023.
doi: 10.1201/9781003327035.
3 A 3.1 ppm/ºC Sub-1V
Bandgap Reference
in 28 nm CMOS
Technology Operating
from a 1V Supply Voltage
Rajasekhar Nagulapalli, Khaled Hayatleh,
and Nabil Yassine
DOI: 10.1201/9781003483052-3 37
38 Circuit Design for Modern Applications
Eg
VBE 4 m VT
dVBE q
(3.1)
dT T
Equation 3.2 expresses the PTAT voltage. This voltage is generated by the differ-
ence in VBE values between two BJTs with distinct current densities. In Equation
3.2, the parameter n represents the two BJTs ratio of current density. Brokaw’s pro-
posed design and methodology provided a foundation for designing BGR circuits
and achieving a reliable and scalable reference voltage by utilising the temperature-
dependent characteristics of the VBE in BJTs (Brokaw 1974; Nagulapalli et al. 2024).
VBE VT ln ln n (3.2)
Equation 3.2 shows that the TC of the PTAT voltage will be approximately 0.087
mV/K, which depends on BJT devices’ current density and emitter ratio. To achieve
0 V for the BGR TC, the scaled summation of PTAT and CTAT is necessary. It is
increasingly necessary for modern CMOS technologies to operate with high electric
fields in small geometries and decrease VDD at the same time, specifically under
a 1V supply. Brokaw’s proposed design required a supply voltage larger than 2V
(VDD) and produced an output voltage of 1.23 V (Brokaw 1974). Due to these limi-
tations, when compared to current requirements, an active research community is
looking at developing more efficient BGR circuit designs that operate under 1 V
(Nagulapalli et al. 2024).
The small PTAT voltage is created from the bandgap core, with the difference
in the emitter-base voltages (Nagulapalli and Palani 2023). This must be ampli-
fied before addition with the diode voltage to create a stable voltage, as shown in
Figure 3.1(a). The first implementation by Brokaw used pure BJT technology, which
produced an output voltage of 1.23 V (Brokaw 1974). Unfortunately, this requires a
supply voltage over 1.8 V, which may not be possible in modern CMOS technologies.
28 nm CMOS Technology 39
FIGURE 3.1 (a) Conventional BGR architecture. (b) The BGR circuit was proposed by
Banba (Banba et al. 1999).
In Figure 3.1(a), node x and y potentials are the same due to the negative feed-
back of the op-amp. Equation 3.3 shows how the current through resistor 1 can be
expressed. However, the base current neglects one major approximation in Equation
3.3 (Nagulapalli et al. 2024).
VT ln n
I R1 = (3.3)
R1
Typically, the CMOS process has vertical BJT devices formed in the N-well (form-
ing the base). The p-substrate establishes the collector while the p+ diffusion estab-
lishes the emitter. Since the base is very wide here, it will create so much carrier
recombination and decrease the BJT’s beta as fewer carriers enter the collector
(Palani et al. 2023). Such a low beta introduces the CTAT error term in the PTAT
current; hence, accuracy will be compromised. Several expensive CMOS processes
have been tailored to form the best BJT, like SOI technology, but this increases the
die size and cost; hence, this may not be a generic process option. Also, lateral BJT
in the CMOS can be exploited for better current gain. However, this structure’s small
signal parameters are very sensitive to the package stress and temperature gradient,
which requires post-packaging on-chip trimming (digital). This current has a PTAT
nature, and this will be used to mirror into the output stage to create a scaled PTAT
voltage rather than current. The constant BGR voltage will be added to the CTAT
voltage, and the final output voltage can be expressed as shown in Equation 3.4
(Nagulapalli and Palani 2023; Nagulapalli et al. 2024).
R
VBG 2 VT ln n VBE1 (3.4)
R1
Banba (Banba et al. 1999) proposed a current mode BGR, shown in Figure 3.1(b),
that operates at a supply voltage under 1 V. In Figure 3.1(b), Q1, R1, and Q2 form
the PTAT loop, and R1 and N decide the PTAT current. Resistance R2 is connected
across the VBE of the BJT; hence, it will produce the CTAT current. The sum of the
PTAT and CTAT current will be flowing through PMOS current sources (M1, M2).
By adjusting the ratio of R1 and R2 currents, one could cancel the TC of the current
40 Circuit Design for Modern Applications
and achieve zero TC. This current will be converted as voltage through external
resistance R L. From (3.7), it is clear that it will always be more than 1.2 V, which may
not be compatible with the present FinFET technologies. Recently, FinFET technol-
ogy has become very popular compared to CMOS technology due to excellent leak-
age performance, high analogue gain, low thermal noise, and high signal bandwidth.
Figure 3.1(a) shows a conventional BGR circuit, where its biggest disadvantage is a
high output voltage. However, this architecture produces high linear PTAT voltage,
which can be used to compensate for the temperature sensitivity of the differential
pair. Also, voltage control oscillator (VCO) circuits require PTAT voltage to improve
the start-up margin, where this circuit can be primarily used. Also, this BGR circuit
has only two operating points, where one is zero current, and the other one is the
desired bias current. A start-up would be required to push the circuit out of the zero
current state; hence, the circuit can be moved into the actual current and used to bias
the rest of the op-amps. A typical start circuit consists of a current comparator that
compares the fixed current and BGR current; based on this comparator output, the
BGR output will be pulled up or down to move it in the desired direction (Palani et
al. 2023).
Figure 3.1(b) shows the curvature compensated Banba to improve TC, program-
mable output voltage and accuracy (Banba et al. 1999). Current summation has been
proposed rather than voltage summation to get the programmable and lower voltage.
Two large resistors (R2) have been added across the base-emitter junction of the
BJTs, which will produce the CTAT current as the VBE has a CTAT nature and R2
has a very low-temperature coefficient. The CTAT current can be expressed as (3.5).
The PTAT current from R1 and CTAT current of R2 will be added, and the resultant
current will flow through M1 or M0, which will have zero temperature coefficient
(ZTAT), and this can be pumped into the load resistance R3 and generate the BGR
voltage. Equation 3.6 shows the output of the current mode BGR, which shows that
the achievable output voltage is lower than 1 V. Hence, this has also been named
sub-1 V BGR (Banba et al. 1999; Nagulapalli and Palani 2023; Nagulapalli et al.
2024).
VBE1
I R2 = (3.5)
R2
RL R2
VBG R VT ln n VBE1 (3.6)
R2 1
Though this works very well and is compatible with advanced CMOS technologies,
unfortunately, this has several downsides. First, the CTAT resistance R2 should be
sized to balance PTAT current gain with CTAT one. Generally, the CTAT slope is
–2 mV and the PTAT slope is 100 uV, so R2 should be 25 times higher than R1. The
R1 value decided by the bias current would typically be targeted as 10 uA, which
means R1 is 25 kΩ and R2 will be 225 KΩ. Typical CMOS process resistance sheet
resistance will be 800 ohms per square, so to emulate 225 k, one would require
28 nm CMOS Technology 41
275 um2 silicon area, which is as big as an audio ADC (Varun et al. 2021). Due to
the current summation at node z, only ZTAT nature current is available (constant
with respect to the temp). PTAT is not available; this is relatively disadvantageous
compared to the current mode BGR. Any VCO or temperature sensor in the same
chip would need another explicit PTAT generator apart from the BGR, which costs
power and valuable silicon area. In voltage mode BGR, there are only two operating
points; this is because the op-amp input terminals experience different small signal
impedances at every current value (node y experiences 1/gm and node z experi-
ences R1+1/gm), so the op-amp differential input voltage will be zero only at the two
points. One point would be zero current, and another would be at the current given
by Equation 3.3. The op-amp will move towards the desired operating point thanks
to the start-up circuit. Unfortunately, this is not the case with the current mode BGR
because the op-amp is loaded by CTAT resistors (R2). Suppose the current of the
BGR is low enough that the BJT devices Q1 and Q2 are off, so the input impedance
is mostly dominated by R2. So, the input impedance is the same at low current, and
op-amp inputs experience zero differential voltage until the current increases so that
both BJTs can be turned on. Indeed, this situation creates multiple operating points.
Designing a start-up circuit would be very challenging as it has to distinguish several
points and place the op-amp at the voltage corresponding to the appropriate current.
This is often referred to as the biggest disadvantage of the Banba BGR, and several
scenarios of large SOC or analogue systems fail in the real-time field. The solution
to this problem is to keep a digital wake circuit, which will ensure BGR is always
on (power-hungry solution) or create some mismatch between both R2 values, which
introduces a mismatch in the BGR output voltage (Desai et al. 2010).
Nagulapalli proposed a mixed-mode circuit to fix some of these problems
(Nagulapalli et al. 2020); however, it has TC problems compared to Banba. Because
it uses additional op-amp compared to the Banba structure (Banba et al. 1999);
hence there is more mismatch-induced error and TC. The proposals from Brokaw
and Banba used imbalance in the PTAT and CTA currents to improve the TC but at
the cost of stability (Banba et al. 1999; Brokaw 1974). Palani et al. introduced a cur-
vature-compensated BGR to improve the accuracy; this has added much complexity
to the circuit and is prone to PVR corner variation, which often mandates two-point
trimming (Palani et al. 2023). Varun et al. introduce a gate resistance in series with
the base of the BJT to compensate for the inaccuracy due to the limited current gain
of the BJT (β) at the cost of additional bias current (Varun et al. 2021).
3.3 PROPOSED ARCHITECTURE
In this chapter, we have proposed and implemented a curvature compensation, sub-1
V, architecture, injecting a nonlinear current into the BJT emitter to linearise this
curvature. The main issue with the Banba (Banba et al. 1999) architecture is the
CTAT resistors connected at nodes x and y (Figure 3.1), which will occupy an unac-
ceptable area and amplify the op-amp offset. Figure 3.2(a) shows the proposed cir-
cuit, where the CTAT resistors at nodes x and y have been removed, and a CTAT
current has been created using a single resistor, R2. The node-CTAT voltage has
42 Circuit Design for Modern Applications
FIGURE 3.2 (a) Proposed curvature compensated BGR. (b) Self-bias Low voltage op-amp.
CTAT characteristics, and the voltage across R1 will have PTAT characteristics. The
sum of the PTAT and CTAT currents will flow into the output resistor. The output
R R
voltage expression, Equation 3.1, includes the PTAT voltage gain term 1 2 2 .
R
p R p
By adjusting this voltage gain, the TC of PTAT, CTAT can be cancelled, and eventu-
ally minimise TC.
RL R1 R
VBGR VBE VT ln ln n 2 2 (3.7)
R2 Rp Rp
The above Equation 3.7 shows a very similar kind of output voltage expression to
Banba architecture in Equation 3.6, without having the issues listed. The op-amp
(A1) offset will affect the accuracy of the output voltage as its bias current is different
from the BJT core current. This will introduce the op-amp’s systematic offset and an
error term in the BGR output voltage. A self-bias op-amp has been the popular choice
for BGR applications as it senses the BGR core bias current (PTAT) and reuses it to
bias the op-amp; hence, the systematic offset will be nullified. In 2016, Awny et al.
proposed an increased loop gain self-bias path to decrease the offset even more, but
this requires a complex op-amp in the feedback, which is also prone to oscillations.
Also, increasing the bias circuitry’s loop gain in this architecture will decrease the
main op-amp and BGR bandwidth (Awny et al. 2016). Awny et al. proposed, in 2017,
a simple self-bias circuit, which improved the systematic offset by three times (Awny
et al. 2017). Figure 3.2(b) proposes a high gain self-bias op-amp architecture without
any oscillations problem, and its gain is decoupled from the BGR bandwidth. This
will increase the bias loop gain by at least five times and have excellent system-
atic offset performance. The first stage of the conventional single-stage op-amp is
formed by the transistors M0, M1, M9, M10, and M7; typically, the output of this op-
amp (node y) will drive the BGR current sources to minimise the systematic offset.
The transistors M2 and M3, which form a gain stage trans conductance, produce a
high voltage gain due to the negative resistance created by the cross-coupled pair M4
and M5. Due to the negative resistance developed at node y, the resultant small signal
impedance will increase, and the same amount will enhance gain. To decouple the
self-bias gain and BGR bandwidth, the self-bias op-amp (Figure 3.2b) loop has to
28 nm CMOS Technology 43
be much slower than the actual BGR loop. To achieve this, a low pass filter formed
by the RF, CF, with an extremely low cut-off frequency, is used at the output of the
self-bias loop and tail current source (M7) gate terminal. Typically, low filter resis-
tance is formed by poly resistance and capacitance, formed by the combination of
metal-oxide-semiconductor (MOS) and metal-to-metal capacitance, and the cut-off
frequency is approximately 500 KHz. The overall self-bias has improved the loop
gain of the BGR by 25 dB (Nagulapalli et al. 2021).
FIGURE 3.4 Frequency response for finding the phase margin and gain margin.
FIGURE 3.5 Frequency response of the PSRR across different PVT corners.
in a better PSRR. Figure 3.5 shows the simulated PSRR frequency response across
PVT corners (Zourob et al. 2018). At very low frequencies, the feedback loop gain
is so high that the output voltage will be independent of the power supply voltage;
hence, it will be very low (desired). As the frequency increases, the loop gain will be
degraded due to the junction and parasitic capacitance so that output voltage will be
degraded and dominated by the power supply, so degraded PSRR (around 10 MHz
frequency). As the frequency reaches a very high level, the output capacitance will
filter the power noise, and PSRR will be improved. Generally, the PSRR of the BGR
will be quantified by the mid-frequency range as it will be worse there. Across PVT
corners, it will be worse in the fast NMOS and fast PMOS corners as it will have very
low poor loop gain and high parasitic capacitance. Temperature and supply voltage
will have less impact on the PSRR because temperature increases the looping but
weakens the gain from the power supply so that the first order will be independent.
Typical BGR applications target –10 dB, worst case PSRR; in the proposed case, it
will be around –15 dB, meaning only 20% of the high-frequency power supply noise
will appear at the output.
Noise performance will also be the critical parameter impacting the BGR output
accuracy. Figure 3.6 shows the noise power spectral density (PSD) of the proposed
(blue trace) and conventional technique (red trace). Most of the noise is dominated
by the op-amp input-referred noise, also present in the proposed technique due to the
current injection into the VBE.
3.5 GENERAL SUMMARY
In 28nm CMOS technology, a 3.1 ppm/°C sub-1-V bandgap reference that operates
on a 1-V supply voltage is designed to be stable and to have a low-temperature coeffi-
cient and a high supply rejection ratio (PSRR). Make use of a core bandgap reference
architecture that can withstand temperature fluctuations and process variations. To
achieve low TC, common strategies involve combining complementary-to-absolute-
temperature circuits with proportional-to-absolute-temperature circuits. Use meth-
ods to fine-tune temperature compensation components, such as resistor trimming
or current mirror matching, to make sure the appropriate TC is maintained in a
range of environmental circumstances. Provide a high PSRR bandgap reference in
46 Circuit Design for Modern Applications
FIGURE 3.6 Noise power spectral density (PSD) of the Banba and proposed technique.
order to reduce the impact of power supply fluctuations on the output voltage. In the
design, techniques could include capacitance multipliers, active control, or filtering.
Optimising the layout is essential to reduce resistances and parasitic capacitances
that can impair performance, particularly at higher frequencies or when there are
noise sources present. Use strong design strategies and perhaps calibration systems
to address the inherent variability in threshold voltages and transistor characteristics
in CMOS technology. Make that all circuit components, taking into account thresh-
old voltages and headroom requirements, function within acceptable bounds at 1-V
supply voltage. Check the bandgap reference’s stability with respect to temperature
and load to make sure it stays linear and accurate throughout its working range. Give
digital-to-analog and analog-to-digital converters steady reference voltages. Assure
precise and stable reference voltages for sensor signal conditioning circuits; act as
a stable reference voltage for low-dropout (LDO) regulators in low-power applica-
tions. To summarise, careful consideration of TC, PSRR, and operational voltage
limitations is necessary while constructing a 3.1 ppm/°C sub-1V bandgap reference
in 28nm CMOS technology. Through the application of sophisticated design meth-
odologies and layout optimisation, engineers can attain a resilient voltage reference
that is appropriate for high-precision uses in low-power electronics.
3.6 CONCLUSIONS
A detailed explanation of bandgap reference functionality has been described. The
main problems in the Banba/current mode BGR were explained, mainly multiple
unstable operating points and unacceptable silicon occupied by the resistors. A sim-
ple modification was proposed to improve the accuracy of the BGR by introducing
the nonlinear current injection into the CTAT voltage. It improved the area efficiency
by moving the CTAT resistor taping point from the op-amp input to the output.
Also, the proposed technique preserves the PTAT current nature of the bias current
to bias the VCO or temperature sensors without requiring external PTAT current
generators. A prototype has been built, and post-layout simulations were performed
to prove the curvature-compensated nature.
28 nm CMOS Technology 47
REFERENCES
Awny, A., R. Nagulapalli, M. Kroh, J. Hoffmann, P. Runge, D. Micusik, G. Fischer, A. C.
Ulusoy, M. Ko, and D. Kissinger. 2017. “A linear differential transimpedance ampli-
fier for 100-Gb/s integrated coherent optical fiber receivers.” IEEE Transactions on
Microwave Theory and Techniques 66 (2):973–986.
Awny, A., R. Nagulapalli, D. Micusik, J. Hoffmann, G. Fischer, D. Kissinger, and A. C.
Ulusoy. 2016. “23.5 A dual 64Gbaud 10kΩ 5% THD linear differential transimped-
ance amplifier with automatic gain control in 0.13 µm BiCMOS technology for opti-
cal fiber coherent receivers.” 2016 IEEE International Solid-State Circuits Conference
(ISSCC). pp. 406–407.
Banba, H., H. Shiga, A. Umezawa, T. Miyaba, T. Tanzawa, S. Atsumi, and K. Sakui. 1999. “A
CMOS bandgap reference circuit with sub-1-V operation.” IEEE Journal of Solid-State
Circuits 34 (5):670–674.
Brokaw, A. P. 1974. “A simple three-terminal IC bandgap reference.” IEEE Journal of Solid-
State Circuits 9 (6):388–393.
Chen, Z., Q. Wang, X. Li, S. Song, H. Chen, and Z. Song. 2023. “A high-precision current-
mode bandgap reference with nonlinear temperature compensation.” Micromachines
14 (7):1420.
Desai, K., R. Nagulapalli, V. Krishna, R. Palwai, P. K. Venkatesan, and V. Khawshe. 2010.
“High speed clock and data recovery circuit with novel jitter reduction technique.” 2010
23rd International Conference on VLSI Design.
Hayatleh, K., S. Zourob, R. Nagulapalli, S. Barker, N. Yassine, P. Georgiou, and F. J. Lidgey.
2019. “A high-performance skin impedance measurement circuit for biomedical appli-
cations.” Journal of Circuits, Systems and Computers 28 (07):1950110.
Nagulapalli, R. and R. K. Palani. 2023. “A 4.5 X noise improved split-resistance current mode
bandgap with 18.4 ppm/° C in 28nm CMOS.” 2023 34th Irish Signals and Systems
Conference (ISSC).
Nagulapalli, R., R. K. Palani, and S. Bhagavatula. 2020. “A 24.4 ppm/° C voltage mode
bandgap reference with a 1.05 V supply.” IEEE Transactions on Circuits and Systems
II: Express Briefs 68 (4):1088–1092.
Nagulapalli, R., R. K. Palani, K. Hayatleh, N. Yassine, and S. Barker. 2021. “A 0.82 V supply
and 23.4 ppm/° C current mirror assisted bandgap reference.” 2021 32nd Irish Signals
and Systems Conference (ISSC).
Nagulapalli, R., N. Yassine, A. A. Tammam, S. Barker, and K. Hayatleh. 2024. “A 10.5 ppm/°
C modified sub-1 V bandgap in 28 nm CMOS technology with only two operating
points.” Electronics 13 (6):1011.
Palani, R. K., R. Nagulapalli, and S. Bhagavatula. 2023. “Dynamic averager based sub-1V
bandgap voltage reference.” 2023 IEEE 66th International Midwest Symposium on
Circuits and Systems (MWSCAS).
Varun, T. R., R. Nagulapalli, and I. Raja. 2021. “A 82μW mixed-mode sub-1V bandgap refer-
ence with 25 ppm/° C temperature Co-efficient with simultaneous PTAT generation.”
2021 25th International Symposium on VLSI Design and Test (VDAT).
Zourob, S., K. Hayatleh, S. Barker, R. Nagulapalli, N. Yassine, R. Ramsbottom, and J. Lidgey.
2018. “Increasing signal to noise ratio and minimizing artefacts in biomedical instru-
mentation systems.” Analog Integrated Circuits and Signal Processing 95:403–408.
4 Advancements
in Contemporary
Circuit Design
Exploring Alternatives
to CMOS for Enhanced
Performance
G. Boopathi Raja
4.1 INTRODUCTION TO CONTEMPORARY
CIRCUIT DESIGN CHALLENGES
In the realm of modern PCB manufacturing and design, engineers face multifac-
eted challenges demanding a delicate equilibrium between functionality, power
efficiency, and form factor optimization. Maximizing signal integrity, thermal man-
agement, and adaptability to component shortages further complicates the landscape
[1, 2]. Concurrently, the evolution of IoT devices causes meticulous attention to net-
work protocols and communication channels, all while striving for compactness,
lightness, and speed [3]. To meet these demands, there is a pressing need to har-
ness existing design technologies while simultaneously pioneering new ones. PCB
designers are tasked with navigating a complex web of conflicting requirements to
realize their design goals [4, 5].
Contemporary circuit design encounters a plethora of obstacles spanning various
technologies, notably including FinFET-, CNTFET-, and GNRFET-based circuits
[6–8]. The following are the key challenges encompassed by contemporary circuit
design:
48 DOI: 10.1201/9781003483052-4
Advancements in Contemporary Circuit Design 49
Signal Integrity and Noise: The reduction in feature sizes increases the
susceptibility to noise, crosstalk, and other forms of interference, hence
emphasizing the need for methods including shielding and noise isolation
to ensure dependable operation [11].
High-Frequency Operation: In order to meet the growing demand for
processing data at high speeds, it is necessary to create circuits that can
function at higher frequencies. However, intrinsic parasitic effects pose sig-
nificant obstacles.
Reliability and Aging: The reduction in device size and operating voltages
increases concerns about reliability and aging effects. This requires the
implementation of techniques to mitigate phenomena such as bias tempera-
ture instability and electro-migration.
Design for Manufacturability (DFM): The progression of semiconductor
technology results in a simultaneous increase in the complexity of manu-
facturing procedures. Designers must effectively manage restrictions, such
as limits in lithography and variances in the production process, to enable
cost-effective manufacturing.
Thermal Management: With the rapid increase in transistor and power den-
sities, it has become crucial to prioritize excellent thermal management in
order to prevent performance decline and maintain device reliability.
Design Automation and Tools: The increasing complexity of contemporary
circuits emphasizes the need for effective design automation tools and
approaches to simplify the design process and enhance performance.
4.2 BICMOS TECHNOLOGY
A complex semiconductor device manufacturing process produces integrated cir-
cuits and essential electronics components. Today’s electrical circuits are made on
silicon and other semiconductor wafers [14]. This manufacturing technique includes
photolithography, chemical procedures, and sophisticated electronic circuit build-
ing. Semiconductor manufacturing expanded internationally from Texas in the early
1960s, underlying current technology.
BiCMOS, a significant development in semiconductor technology, emerged dur-
ing the 1990s and facilitated surface-mount integration (SEMI). BiCMOS pioneered
the combination of bipolar junction transistors with CMOS transistors [15].
4.2.1 BiCMOS Logic
This technique combines the low power consumption of bipolar technology with the
fast operating speed of CMOS technology, resulting in the integration of NMOS and
50 Circuit Design for Modern Applications
TABLE 4.1
Various Designs and Competing Factors of Circuit Design
Design Factor Motivation Competing Factors
Size A compact size is preferred, since there Characteristics, effectiveness, overall
is a prevalent trend toward portable execution, thermal management.
consumer-grade gadgets.
Power The power of a device may be defined Thermal characteristics, dimensions,
as either the cumulative power output and mass.
of the device between charges, which
is relevant for portable devices, or the
highest power output it can achieve.
Functionality In order to increase their marketability Factors to consider for highly high-end
and appeal, devices often use a Swiss gadgets include size, weight,
army knife strategy of adding features cost-effectiveness, design intricacy,
or overlapping existing ones. and probable long-term durability.
Thermal Devices designed for prolonged use or Size, power.
Performance direct touch with people must not
generate excessive heat, since this
might hinder performance and user
comfort.
Communication There is an increasing industry emphasis Key considerations include security,
on enhancing communication efforts the availability of the network,
with the advancing IoT market to battery life for autonomous
optimize performance and enhance deployment, and extra
user happiness. electromagnetic interference (EMI)
problems.
Security Besides the promotion of IoT, customers Characteristics, effectiveness.
are seeking enhanced safeguards for
their identifying information.
PMOS. Within this paradigm, MOSFETs provide logic gates with high input imped-
ance, whereas bipolar transistors are used to amplify current greatly [16]. BiCMOS
production entails the smooth incorporation of NMOS, PMOS, and BJT technolo-
gies, using various layers throughout the manufacturing process, such as channel
stop implantation, thick layer oxidation, and protective rings. Figure 4.1 provides
a visual representation of a standard BiCMOS inverter circuit, which includes four
MOSFETs and two BJTs.
Incorporating traditional CMOS and bipolar technology into the production pro-
cess will provide significant theoretical challenges. Manufacturing problems arise
when parasitical bipolar transistors are accidentally produced during the p-well and
n-well CMOS processing phases. The BiCMOS manufacturing method involves
many additional operations that optimize the performance of the bipolar and CMOS
components. Consequently, the overall manufacturing costs increase.
Advancements in Contemporary Circuit Design 51
FIGURE 4.1 The typical BiCMOS inverter circuit comprises four MOSFETs and two BJTs.
The figure shown above shows the integration of a channel barrier into semi-
conductor devices using implantation, diffusion, or other techniques. By employing
this approach, the expansion of the channel area is restricted and the generation of
unwanted parasitic channels is prevented. Guard rings are used in areas where cur-
rent flow is limited, often because of high-impedance nodes that cause surface leak-
age currents, in order to prevent the flow of current.
FIGURE 4.2 Cross-sectional view of a bulk CMOS and SOI CMOS structure.
SOI wafers are composed of multilayer semiconductors and dielectrics, which pro-
vide sophisticated Si devices with novel functionalities [21]. By employing SOI
wafers, monolithic semiconductor circuits are assembled with dielectric isolation
in place of junctions. Figure 4.2 shows the cross-sectional view of Bulk CMOS and
SOI CMOS structure.
In other words, SOI is a semiconductor technique that involves the transfer of a
thin layer of crystalline silicon over an oxidized silicon handle substrate. SOI wafers,
in contrast to conventional bulk silicon wafers, do not depend on a continuous bulk
silicon structure. Conversely, they are comprised of a thin silicon layer over an insu-
lating layer. The interoperability between SOI and CMOS infrastructure has facili-
tated the development of commercially accessible photonic integrated circuits (PICs)
on SOI wafers [22].
4.4.3.1 CMOS Circuits
Complementary metal-oxide-semiconductor (CMOS) technology has proven to be a
crucial instrument in meeting the demands of continuously advancing technology.
The semiconductor industry currently regards Si-based CMOS as the benchmark
technology for RF devices. Fully depleted CMOS/SOI circuits, which operate at
temperatures above 300°C, are used in a vast array of processes. These circuits are
extremely appealing to the automotive, aviation, and petrochemical industries. Fully
depleted CMOS has a significantly lower leakage current and a threshold voltage that
is less temperature-sensitive (> 0.5 mV/°C) than bulk Si. At a 25 nanometer scale,
partially depleted CMOS is also extensively used in the digital industry as a low-
power, high-performance alternative to bulk silicon. Utilization of SOI MOSFET
(metal-oxide-semiconductor field-effect transistor) technology has been facilitated
by the continued demand for CMOS circuits to incorporate a more compact device,
faster operation, and more affordable components. MOSFET technology for SOI has
proven to be effective in industrial settings characterized by extreme temperatures
and radiation, as well as high frequencies. To further improve the performance of
CMOS circuits, various SOI MOSFET configurations have been created, including
ultra-thin body (UTB), strained, Schottky barrier, and multi-gate MOSFETs.
Because of their resistance to short-channel effects, UTB and ultra-thin BOX
(UTBB, UTBOX, or UTB2) SOI MOSFETs are widely regarded as some of the
most potential candidates for the ultimate device scalability. In addition, UTB SOI
MOSFETs offer back-gate control schemes and help reduce the self-heating effect.
Strained MOSFETs increase the mobility of carriers by employing strained SOI
wafers. Low Schottky barrier contacts are considered a highly promising alternative
for reducing the resistance to source/drain (S/D) contacts, establishing abrupt junc-
tions (without capacitance overlap), and significantly decreasing the thermal budget
of the CMOS process. To reduce the SCE in nanometer-scale MOSFETs, multiple-
gate architectures have emerged as one of the most promising novel device structures
because of the simultaneous control of the channel by more than one gate. A wide
variety of multi-gate MOSFETs are commercially available, such as omega-gate (γ-
G), triple-gate (TG), pi-gate (PG), and quadruple-gate (QG). Each of these structures
is constructed using a unique arrangement of SOI wafers.
In addition, SOI substrates are used to integrate CMOS electronics with MEMS
devices to produce more precise MEMS applications. The utilization of SOI sub-
strates facilitates the combination of microelectronics and MEMS devices and sim-
plifies the manufacturing processes of MEMS. The conventional microelectronics
device industry can benefit from the concealed oxide layer in SOI wafers in several
Advancements in Contemporary Circuit Design 57
4.4.4 Advantages of SOI
• High-Quality Crystalline Films: SOI overcomes limitations imposed by
crystal development by integrating high-quality crystalline films on differ-
ent base materials.
• CMOS Compatibility: CMOS methods may be used with SOI wafers,
allowing for efficient large-scale manufacturing.
• Reduced Parasitic Capacitance: Because the active silicon layer is elec-
trically isolated from the substrate, parasitic capacitance is significantly
reduced. This results in faster switching speeds and lower power con-
sumption, making SOI particularly suitable for high-speed and low-power
applications.
• Elimination of Latch-Up: SOI technology effectively mitigates the occur-
rence of latch-up, a prevalent problem seen in bulk silicon technology.
• Resistance to Radiation: SOI is the preferred choice for microelectronic
devices that need to withstand high temperatures and resist radiation. It
offers inherent radiation hardness, making it suitable for applications in
harsh environments such as aerospace and defense.
• Improved Device Performance: SOI technology helps to minimize the
effects of electrical noise and interference, leading to improved signal
integrity and device performance.
• Better Integration: SOI technology allows for tighter integration of
devices and circuits on a single chip, enabling higher levels of functionality
in a smaller footprint.
58 Circuit Design for Modern Applications
4.4.5.1 Materials in CSOI
• CSOI encompasses compound semiconductors, which are created by com-
bining elements from Group III and Group V on the periodic table.
• Integrating these innovative combinations onto low-index base materials
shows potential for improving device performance.
SOI and CSOI provide promising opportunities for the advancement of photonics
and integrated circuits. They serve as a connection between conventional microelec-
tronics and state-of-the-art optical technologies.
4.5.1 Applications of Memristors
• Non-Volatile Memory: Memristors can create next-generation non-volatile
memory devices that offer higher density, faster access times, and lower
power consumption compared to traditional Flash memory.
• Neuromorphic Computing: Memristors have shown promise in neuro-
morphic computing, which aims to mimic the structure and function of the
human brain’s neural networks. Their ability to store and process informa-
tion in a single device makes them well-suited for applications such as pat-
tern recognition and machine learning.
• Reconfigurable Logic: Memristors can implement reconfigurable logic
circuits that can adapt their functionality on-the-fly based on changing
requirements, offering flexibility and efficiency in digital circuit design.
• Analog Signal Processing: Memristors can be used in analog signal-
processing applications such as filters, oscillators, and neural network cir-
cuits because of their non-linear behavior and ability to store analog state
information.
and circuit designer, memristors are examined, including the description of the
intended device for various applications and the modeling of a general memristor
model – TEAM – to accommodate various memristive technologies. The accuracy
of the TEAM model is adequate despite its simplicity (i.e., low computational effort
requirement of 2). VerilogA is utilized to implement the TEAM model for SPICE
simulations.
A multitude of logic circuits incorporating memristors have been suggested,
accompanied by the development of design methodologies. The logic families
IMPLY (material implication), MAGIC (memristor ratioed logic), and Akers logic
arrays enable in-memory computing by being executed within memristive memo-
ries. MRL (memristor ratioed logic) is an alternative logic family that is imple-
mented in hybrid CMOS memristor logic gates in order to extend Moore’s law and
increase logic density. Figure 4.3 shows the circuit diagram of the memristor-based
Wien-bridge oscillator.
A novel memory structure called the multistate register is introduced, which has
the capability of storing multiple values within a single register. The construction
of a multistate register involves the placement of an RRAM crosspoint array atop a
relatively small-area CMOS register. A single state in a 64-state RRAM multistate
register occupies a mere 1.3% of the area of a state stored in a CMOS register. In
order to facilitate the implementation of memory-intensive architectures, including
Continuous Flow Multithreading (CFMT), the multistate register is integrated into
CPU pipelines. CFMT is a low-energy, high-performance multithreaded processor
that can be configured as straightforward as Switch on Event Multithreading (SoE
MT). Compared to SoE MT, CFMT is designed and implemented using an FPGA,
resulting in an average performance enhancement of 32% and an energy conserva-
tion of 8.5%.
4.5.3 Memristor Integration
Integrating memristors represents a recent technological advancement in nanoelec-
tronics, into PFSCL-style circuits. Two novel PFSCL designs based on memristors
are suggested:
• State-based logic circuits: The output has been kept as a resistance state.
• Voltage-based logic circuits: Scouting logic, material implication logic
(IMPLY), and memristor-assisted logic (MAGIC) should be implemented.
QCA operates based on the Coulombic repulsion and attraction between elec-
trons confined in quantum dots. Quantum dots are nanoscale semiconductor struc-
tures that confine electrons in three dimensions, creating discrete energy levels. By
arranging quantum dots in a regular grid pattern, it’s possible to encode binary infor-
mation using the spatial arrangement of electrons.
QCA is a suggested enhancement to traditional computer design (CMOS) that is
based on the ideas of cellular automata. Cellular automata are discrete dynamical
systems composed of a grid of cells, where each cell may exist in one of several
states. QCA uses quantum dots, which are nanoscale devices, to perform computa-
tions with quick switching rates and little power usage [31–33].
QCA is modeled as a cellular automaton, where cells represent individual quan-
tum dots, and the state of each cell (presence or absence of an electron) determines
the computation. Information is propagated through the QCA array by local interac-
tions between neighboring cells, similar to the rules governing cellular automata.
Logic gates and complex circuits can be constructed by arranging and configuring
the QCA cells appropriately. The essential characteristics of QCA comprise the abil-
ity to differentiate and the capacity for conditional alteration of the state.
QCA logic gates are constructed using the Coulombic interactions between
electrons in neighboring quantum dots. The basic QCA logic gates include major-
ity gates, inverter gates, and wires, which can be combined to implement complex
Boolean functions. QCA gates operate based on the polarization of charge within
the quantum dots, where the alignment of electron spins determines the logic state.
4.6.1 Quantum-Dot Cells
It is typical for cellular automata to be executed as software applications. Conversely,
a physical implementation of an automaton using quantum-dot cells was postulated
by Lent et al. in 1993. Instantaneously gaining in popularity, the automaton was
initially constructed in 1997. By integrating the discrete characteristics of cellular
automata and quantum mechanics, Lent could fabricate nanoscale devices that could
execute computations at switching velocities on the order of Terahertz while con-
suming negligible quantities of electrical power.
QCA cells are fabricated by arranging four quantum dots in a square configura-
tion. Quantum dots enable electrons to occupy precise locations via the phenomenon
of tunneling, [30]. Figure 4.4 depicts a simplified representation of a four-dot QCA
cell and Figure 4.5 illustrates the two potential states of a four-dot QCA cell.
4.6.3 Advantages
• QCA offers potential advantages over conventional CMOS technology,
including extremely low power consumption because of the absence of
static power dissipation and ultra-small device size.
• QCA devices can operate at room temperature and are highly resilient to
electromagnetic interference, making them suitable for harsh environments.
• The intrinsic quantum nature of QCA enables inherently secure computa-
tion, as quantum properties such as superposition and entanglement can be
exploited for cryptographic applications.
4.6.4 Challenges
• Despite its potential advantages, QCA faces several challenges, including
fabrication complexity, clocking and synchronization issues, and intercon-
nect challenges.
• Fabricating QCA devices with precise positioning of quantum dots and
controlling their interactions at the nanoscale is technically demanding.
• Clocking and synchronization mechanisms are essential for ensuring the
reliable operation of QCA circuits, especially as device densities increase.
• Interconnects between QCA cells must be carefully designed to minimize
signal degradation and delay, particularly in large-scale circuits.
4.6.5 Applications
• Quantum circuitry analysis (QCA) has versatile applications in logic cir-
cuits, memory systems, and many computing tasks.
64 Circuit Design for Modern Applications
Spiking Neural Networks (SNNs): SNNs are inspired by the way neurons
communicate in the brain through spikes or action potentials. They operate
in an event-driven manner, where neurons generate spikes in response to
input stimuli. SNNs are highly efficient in terms of energy consumption and
can handle asynchronous data processing. Implementations include hard-
ware-based approaches using neuromorphic chips like IBM’s TrueNorth,
Intel’s Loihi, and SpiNNaker.
Memristive Neuromorphic Systems: Memristors are resistive devices that
change their resistance based on the history of applied voltage/current.
Memristive neuromorphic systems use memristors as synapses to mimic
synaptic plasticity, enabling learning and memory functionalities. These
systems might achieve high-density integration and low-power operation.
Research focuses on developing memristive devices with desirable charac-
teristics for neuromorphic applications.
Optoelectronic Neuromorphic Computing: This approach leverages the
speed and energy efficiency of light-based communication in combination
with electronic neurons and synapses. Photonic devices such as lasers, mod-
ulators, and photodetectors are integrated with electronic circuits to imple-
ment neuromorphic functionalities. Optoelectronic neuromorphic systems
offer potential advantages in terms of speed, bandwidth, and scalability.
Bio-Inspired Neuromorphic Computing: This approach aims to mimic not
only the structure and function of biological neurons but also the principles
of neural development and organization. It involves exploring concepts such
Advancements in Contemporary Circuit Design 65
Each approach to neuromorphic computing has its own set of advantages and chal-
lenges, and ongoing research aims to address these challenges and unlock the full
potential of brain-inspired computing systems for various applications, including
artificial intelligence, robotics, sensor networks, and more. The technique involves
the integration of biological and technological elements, including brain-inspired
hardware and algorithms [32]. Neuromorphic computing has the potential in several
domains:
4.7.1 Applications
Neuromorphic computing holds promise for a wide range of applications across vari-
ous domains because of its ability to mimic the parallelism, plasticity, and energy
efficiency of the human brain. Some of the application areas of neuromorphic com-
puting include:
66 Circuit Design for Modern Applications
Integrating photonics into circuit design requires expertise in photonics and elec-
tronics, as well as specialized design tools and fabrication techniques. However,
the benefits of photonics, such as higher bandwidth, lower power consumption, and
68 Circuit Design for Modern Applications
faster data transmission, make it an attractive option for various applications in mod-
ern circuit design.
Waveguides: Waveguides are structures that guide and confine light within
the chip. They can be made from materials such as silicon, silicon nitride,
or polymers and are used to route light between different components of
the PIC.
Lasers: Laser sources are crucial components of PICs for generating coherent
light signals. Different lasers, such as semiconductor lasers or distributed
feedback lasers, can be integrated into the chip to provide the required opti-
cal sources.
Modulators: Optical modulators are used to encode information into the opti-
cal signals by modulating their intensity, phase, or polarization. Various
types of modulators, including electro-optic modulators, Mach–Zehnder
interferometers, and ring resonators, can be integrated into PICs for signal
modulation.
Detectors: Photodetectors are used to convert optical signals back into elec-
trical signals. Integrated detectors, such as PIN photodiodes or avalanche
photodiodes, enable the detection of optical signals within the PIC.
Couplers and Splitters: Optical couplers and splitters are used to divide,
combine, or distribute optical signals within the chip. Directional couplers,
multi-mode interference couplers, and Y-junction splitters are commonly
used components in PICs for signal routing and distribution.
Filters and Gratings: Optical filters and gratings are used to manipulate the
spectral characteristics of light signals. They can be integrated into PICs
Advancements in Contemporary Circuit Design 69
4.8.2 Advantages of PICs
• Speed: Because optical signals propagate at the speed of light, PICs are
more rapid compared to their electronic counterparts.
• Compactness: It is possible to incorporate many functions into a single
microprocessor.
PICs offer several advantages over discrete optical components, including compact-
ness, low power consumption, high integration density, and potential for large-scale
integration. They are used in various applications, including optical communica-
tion networks, optical interconnects for data centers, sensing systems, biomedical
devices, and quantum photonics. Edge computing, fiber-optic communication, and
autonomous vehicles are all areas in which PICs are used. PICs are fabricated using
advanced semiconductor fabrication techniques, such as CMOS processes, silicon
photonics, or III-V compound semiconductor technologies, depending on the spe-
cific requirements of the application.
The path of circuit design has great potential to advance technology and address
social problems. By embracing innovation, cooperation, and a commitment to
sustainability, researchers and engineers may pave the way for a future in which
electronic systems are not only more powerful and efficient but also secure and eco-
logically sustainable.
4.10 CONCLUSION
This chapter focuses on advanced circuit design, moving away from typical CMOS
approaches to investigate possibly better options that provide improved perfor-
mance. Despite a technological environment that is fast-changing and requires more
speed, efficiency, and flexibility, researchers and engineers are driven to investigate
novel methods to address these requirements efficiently. The chapter offers a thor-
ough examination of innovative technologies, including BiCMOS, GaAs, and SOI,
emphasizing their unique features and benefits compared to traditional CMOS sys-
tems. The chapter emphasizes the importance of adopting new approaches through
an examination of the limits of CMOS technology and an evaluation of the charac-
teristics and uses of other circuit design paradigms. Each technique is assessed for
its appropriateness in different applications, ranging from using bipolar transistors in
BiCMOS to improve performance to using the high-frequency capabilities of GaAs.
The conversation emphasizes the increasing need for sophisticated methods such as
SOI in tackling the inherent difficulties of conventional designs. This chapter seeks
Advancements in Contemporary Circuit Design 71
REFERENCES
1. Ratnesh, R. K., Goel, A., Kaushik, G., Garg, H., Singh, M., & Prasad, B. (2021).
Advancement and challenges in MOSFET scaling. Materials Science in Semiconductor
Processing, 134, 106002.
2. Raja, G. B., & Madheswaran, M. (2013). Design and performance comparison of
6-T SRAM cell in 32nm CMOS, FinFET and CNTFET technologies. International
Journal of Computer Applications, 70(21), 1–6.
3. Raja, G. B., & Madheswaran, M. (2016). Performance comparison of GNRFET based
6T SRAM cell with CMOS FINFET and CNTFET technology. International Journal
of Innovative Research in Science and Engineering, 2(05)
4. Arora, S., & Tripathi, S. L. (2022, November). Comparative performance analysis of
FinFET, CNTFET and GNRFET for low power digital logic circuit applications. In
2022 IEEE International Conference of Electron Devices Society Kolkata Chapter
(EDKCON) (pp. 284–289). IEEE.
5. Raja, G. B., & Madheswaran, M. (2013). Design and analysis of 5-T SRAM cell in
32nm CMOS and CNTFET technologies. International Journal of Electronics and
Electrical Engineering, 1(4), 256–261.
6. Florance, D. R., & Prabhakar, B. (2023). Design of joint reconfigurable hybrid adder
and subtractor using FinFET and GnrFET technologies. Integration, 88, 32–42.
7. Raja, G. B., & Madheswaran, M. (2013). Logic fault detection and correction in SRAM
based memory applications. In 2013 International Conference on Communication and
Signal Processing (pp. 215–220). IEEE.
8. Raja, G. B. (2022). Performance review of static memory cells based on CMOS,
FinFET, CNTFET and GNRFET design. In Nanoscale Semiconductors (pp. 123–140).
CRC Press.
9. Rebecca Florance, D., Prabhakar, B., & Mishra, M. K. (2022). Design and implementa-
tion of ALU using graphene nanoribbon field-effect transistor and fin field-effect tran-
sistor. Journal of Nanomaterials, 2022.
10. Raja, G. B. (2022). 5 Impact of nanoelectronics in the semiconductor field: past, pres-
ent and future. In Nanotechnology: Device Design and Applications (pp. 75–91). CRC
Press.
11. Raja, G. B., & Madheswaran, D. M. (2013). Design of improved majority logic fault
detector/corrector based on efficient LDPC codes. IJAREEIE, 2.
12. Raja, G. B. (2023). Future prospective beyond-CMOS technology: from silicon-based
devices to alternate devices. In Advanced Field-Effect Transistors (pp. 1–22). CRC
Press.
13. Boopathi Raja, G. (2023). Impact of silicon nanowire‐based transistor in IC design
perspective. Nanodevices for Integrated Circuit Design, 23–42.
14. Zimmer, T., Böck, J., Buchali, F., Chevalier, P., Collisi, M., Debaillie, B., & Zwick, T.
(2021). SiGe HBTs and BiCMOS technology for present and future millimeter-wave
systems. IEEE Journal of Microwaves, 1(1), 288–298.
15. Kissinger, D., Kahmen, G., & Weigel, R. (2021). Millimeter-wave and terahertz trans-
ceivers in SiGeBiCMOS technologies. IEEE Transactions on Microwave Theory and
Techniques, 69(10), 4541–4560.
72 Circuit Design for Modern Applications
16. Mai, A., Lopez, I. G., Rito, P., Nagulapalli, R., Awny, A., Elkhouly, M.,... & Kissinger,
D. (2017). High-speed SiGeBiCMOS technologies and circuits. International Journal
of High Speed Electronics and Systems, 26(01–02), 1740002.
17. Ng, H. J., Wang, R., & Kissinger, D. (2018). On-chip antennas in SiGeBiCMOS technol-
ogy: challenges, state of the art and future directions. In 2018 Asia-Pacific Microwave
Conference (APMC) (pp. 621–623). IEEE.
18. Chang, J. S., Facchetti, A. F., & Reuss, R. (2017). A circuits and systems perspective
of organic/printed electronics: review, challenges, and contemporary and emerging
design approaches. IEEE Journal on Emerging and Selected Topics in Circuits and
Systems, 7(1), 7–26.
19. Khoshavi, N., Ashraf, R. A., DeMara, R. F., Kiamehr, S., Oboril, F., & Tahoori, M.
B. (2017). Contemporary CMOS aging mitigation techniques: survey, taxonomy, and
methods. Integration, 59, 10–22.
20. Calhoun, B. H., Cao, Y., Li, X., Mai, K., Pileggi, L. T., Rutenbar, R. A., & Shepard, K.
L. (2008). Digital circuit design challenges and opportunities in the era of nanoscale
CMOS. Proceedings of the IEEE, 96(2), 343–365.
21. Rudenko, T. E., Nazarov, A. N., & Lysenko, V. S. (2020). The advancement of silicon-
on-insulator (SOI) devices and their basic properties. Semiconductor Physics, Quantum
Electronics & Optoelectronics, 23(3).
22. Cristoloveanu, S. (2000). State-of-the-art and future of silicon on insulator technolo-
gies, materials, and devices. Microelectronics Reliability, 40(4–5), 771–777.
23. Chang, L., Cole, G., Moody, G., & Bowers, J. (2022). CSOI: beyond silicon-on-insula-
tor photonics. Optics and Photonics News, 33.
24. Vourkas, I., & Sirakoulis, G. C. (2016). Emerging memristor-based logic circuit design
approaches: a review. IEEE Circuits and Systems Magazine, 16(3), 15–30.
25. Andrew Roobert, A., Venkadesh, L. P. and Gifta Challenges of conventional CMOS
technology in perspective of low Power applications. Tunneling Field Effect Transistor:
Physics, Modeling and Application, doi: 10.1201/9781003327035.
26. Guenifi, N., Rahi, S. B., Benmahdi, F., & Chaabane, H. (2023). Optimization for device
figure of merit of ferroelectric tunnel FET using genetic algorithm. ECS Journal of
Solid State Science and Technology, 12(2), 023001.
27. Priya, G. L., Rawat, N., Sanagavarapu, A., Venkatesh, M., & Andrew Roobert, A.
(2023). Hybrid silicon substrate FinFET-metal insulator metal (MIM) memristor
based sense amplifier design for the non-volatile SRAM cell. Micromachines, 14(2).
doi:10.3390/mi14020232.
28. Lakshmi Priya, G., Rawat, N., Abhishek, S., & Venkatesh, M. (2022). Modeling and
simulation of double gate dielectric stack silicon substrate memristor circuits for low
power applications. Silicon, 14(18), 12403–12414.
29. Merrikh-Bayat, F., & Shouraki, S. B. (2011). Memristor-based circuits for performing
basic arithmetic operations. Procedia Computer Science, 3, 128–132.
30. Kvatinsky, S., Satat, G., Wald, N., Friedman, E. G., Kolodny, A., & Weiser, U. C.
(2013). Memristor-based material implication (IMPLY) logic: design principles and
methodologies. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,
22(10), 2054–2066.
31. Mohammadi, M., Mohammadi, M., & Gorgin, S. (2016). An efficient design of full
adder in quantum-dot cellular automata (QCA) technology. Microelectronics Journal,
50, 35–43.
32. Chakrabarty, R., Mahato, D. K., Banerjee, A., Choudhuri, S., Dey, M., & Mandal, N. K.
(2018, January). A novel design of flip-flop circuits using quantum dot cellular autom-
ata (QCA). In 2018 IEEE 8th Annual Computing and Communication Workshop and
Conference (CCWC) (pp. 408–414). IEEE.
Advancements in Contemporary Circuit Design 73
33. Babaie, S., Sadoghifar, A., & Bahar, A. N. (2018). Design of an efficient multilayer
arithmetic logic unit in quantum-dot cellular automata (QCA). IEEE Transactions on
Circuits and Systems II: Express Briefs, 66(6), 963–967.
34. Bahar, A. N., & Wahid, K. A. (2020). Design of an efficient N × N butterfly switch-
ing network in quantum-dot cellular automata (QCA). IEEE Transactions on
Nanotechnology, 19, 147–155.
35. Burr, G. W., Shelby, R. M., Sebastian, A., Kim, S., Kim, S., Sidler, S., & Leblebici, Y.
(2017). Neuromorphic computing using non-volatile memory. Advances in Physics: X,
2(1), 89–124.
36. Marković, D., Mizrahi, A., Querlioz, D., & Grollier, J. (2020). Physics for neuromor-
phic computing. Nature Reviews Physics, 2(9), 499–510.
37. Pathak, S. (2019). Photonics integrated circuits. In Nanoelectronics (pp. 219–270).
Elsevier.
38. Naima, G., & Rahi, S.B., 2021. Low power circuit and system design hierarchy and
thermal reliability of tunnel field effect transistor. Silicon, 1–11.
39. Errando-Herranz, C., Takabayashi, A. Y., Edinger, P., Sattari, H., Gylfason, K. B., &
Quack, N. (2019). MEMS for photonic integrated circuits. IEEE Journal of Selected
Topics in Quantum Electronics, 26(2), 1–16.
5 STT-MRAM based
Cache Memory Design
for Multicore Systems
Yogendra Gupta, Ashish Sharma, Niketa Sharma,
Vinay Kanungo, and Amit Kumar Sharma
5.1 INTRODUCTION
The rapid advancement of microprocessor design has been significantly influenced
by the rapid evolution of computational technologies, particularly with the emer-
gence of multicore systems. The demand for high-capacity and efficient cache mem-
ory is on the rise as the number of cores in these systems continues to increase.
This demand has stimulated the investigation of emergent memory technologies that
can circumvent the constraints of conventional cache memories. Spin-transfer torque
magnetic random-access memory (STT-MRAM) has emerged as a promising candi-
date among these, providing high density, rapid access times, and negligible leakage
power.
The memory/bandwidth wall continues to be a significant obstacle in the field
of computer architecture. STT-MRAM’s distinctive characteristics offer a potential
solution to this problem. Nevertheless, the integration of STT-MRAM into contem-
porary multicore systems is not without its challenges. The objective of this book
chapter is to confront these obstacles by offering a comprehensive overview of STT-
MRAM-based cache design techniques, as seen from both the circuit and system
perspectives.
Our discussion will commence with an examination of the fundamental prin-
ciples of STT-MRAM and its advantages over traditional memory technologies. We
then explore a variety of design methodologies that have been suggested as a means
of effectively integrating STT-MRAM into multicore architectures. In this chapter,
we emphasize the advantages and potential disadvantages of these methodologies,
providing recommendations for optimizing their performance and efficiency.
Researchers, engineers, and students who are engaged in the design and devel-
opment of high-performance computing systems are the intended audience for this
chapter. We aspire to create a valuable resource that will motivate additional innova-
tion and research in this critical field by compiling and analyzing the most recent
developments in STT-MRAM-based cache memory design.
74 DOI: 10.1201/9781003483052-5
STT-MRAM based Cache Memory Design for Multicore Systems 75
We are grateful to the numerous researchers and practitioners whose work has
enhanced our understanding and knowledge of STT-MRAM technology. Their
endeavors have opened up new avenues for cache memory design, thereby expand-
ing the limits of what is feasible in contemporary computing systems.
The performance of the entire system has been largely influenced by the mem-
ory hierarchy for many years. Traditional CMOS devices get smaller and denser
with each new technology generation and as a result leakage current increases. The
sub-threshold leakage current increases exponentially as the transistor is scaled
down. The on-chip memories have a power consumption that is about 80%, which
is leakage-related [1]. The constant scaling of process technology to meet power,
bandwidth, and memory wall restrictions causes major modifications to a modern
processor’s architecture. Large capacity caches are required for current data-inten-
sive applications. The current SRAM architecture for cache memory has significant
drawbacks. Because of its low density and high leakage power, SRAM is impracti-
cal for current processors to use in lower technology nodes. Large cache memory is
necessary to lower bandwidth, and in future process technology generations, if it is
constructed using SRAM, it will consume 90% of the on-chip’s region [2].
According to Moore’s law [5], a CPU or integrated circuit transistor count doubles
every 18 months. A processor chip’s transistor count increases due to the decreas-
ing size of transistors with each technology generation. Small transistors made it
possible to drive circuits at high clock rates, which increased processing speed. We
are aware that multicore architectures have revolutionized contemporary computer
architecture. The first commercially available multicore processor was the IBM
Power 4 developed by IBM [6], and since then every desktop and laptop has a mul-
ticore processor inside it. This trend is evident in the potential for the number of
microprocessors on a single chip to double every few years. These multiprocessor
processors will be used extensively in high-end servers, embedded systems, super-
computers, and high-performance computing.
The number of processor cores that a chip contains may eventually be limited
as a result, restricting multicore scaling [3]. Large SRAM caches may also require
pricey cooling methods, which drives up the chip’s cost and complexity. Thermal
problems are also brought on by SRAM’s high leakage power. These challenges
have prompted researchers to look at cache memory construction methods other than
SRAM.
To overcome some of the difficulties related to the advancing of CMOS tech-
nology, notably in cache memory, spin-transfer-torque magneto-resistive random-
access memory (STT-MRAM) is seen as a viable substitute. The integration density
of STT-MRAM is similar to that of dynamic RAM (DRAM). Furthermore, because
of its inherent qualities—such as “non-volatility”, “high endurance” (> 1015 cycles),
radiation hardening (important in space and military applications), fast speed (~ 10
ns), scalability, and high retention time (~ 10 years) [4]. STT-MRAM has been con-
sidered a potential solution for overcoming scaling issues associated with traditional
memory technologies such as “static random-access memory (SRAM), Dynamic
Random-Access Memory (DRAM), and flash memory”.
76 Circuit Design for Modern Applications
5.2 BACKGROUND
In this section, we describe the complete memory hierarchy of a multicore system.
Then, we give an illustration of integrating cache memory using STT-MRAM. STT-
MRAM cell characteristics and integration possibilities are introduced as we wrap
up this section.
5.2.2 Why Multicore?
According to Moore’s law “the number of transistors on a single chip doubles every
18 months”, as we discovered in the previous section, designers have been able to
put 1 billion transistors onto the microprocessors that are currently in use. Here, the
challenge of how to use all these transistors in the microprocessor design arises. We
are aware that all significant computer programs are sequential in design and written
in C or C++. These programs were converted by the compiler into a set of paralleliz-
able instructions. For example, in the instruction:
x a b (c x a b c d d / f (5.1)
motivates processor designers to add more arithmetic units. However, this straight-
forward sort of parallelism is uncommon, and processor designers typically only
include up to four arithmetic cores [7]. “thread-level parallelism” is another paral-
lelism that led to the development of multicore. For instance, a “for” loop has a set
of sequential instructions that are termed a thread. A thread is the smallest group
of sequential instructions that may be managed separately by a scheduler. These
threads are independent in many programs and can be executed concurrently by the
processing units. However, because of the limitations of this thread-level parallel-
ism, it is neither advantageous nor cost-effective to expand the number of arithmetic
units in a processor beyond 3 or 4. Using transistors to build and create on-chip
memory is another way to boost the performance of the processor. We are aware
that the speed gap between the CPU and memory has widened considerably with the
development of computer systems. Computer architects refer to this problem as the
“memory wall” because memory speed has always lagged behind processor speed
[8]. Furthermore, the data bus took a while to send data from the off-chip main mem-
ory to the processor because the main memory is off-chip. CPU was always forced
to wait for data and instructions to come in. The development of on-chip memory or
cache memory solved this issue. A small, extremely quick memory called a cache is
built into the processor’s chip. There is a size restriction for cache memory that can-
not be exceeded. Computer designers are searching for fresh and workable solutions
to utilize the available transistor. This inspires the notion of duplicating the proces-
sor (cores), placing them on a single chip, and connecting them so they can cooperate
to run programs. Because a simple processing core is repeated, developing a multi-
core processor is less expensive than designing a complicated single-core processor.
in digital circuits is influenced by supply voltage, load capacitance, and clock rate.
More cooling is needed as the clock frequency rises due to the increased power dis-
sipation. Voltage reduction reduces dynamic power usage but increases transistor
leakage power. The feasible power limit for cooling common microprocessors has
been achieved. Without an expensive cooling process, the clock frequency cannot
be increased
5.3.2 Memory Wall
The performance difference between the processor clock speed and the off-chip
memory speed, which leaves the processors starving for data, has been referred to
as a “memory wall” since the late 1980s. As seen in Figure 5.3, there has been a sig-
nificant performance difference between the CPU and memory over time. Although
we are aware that CPU speeds nearly double every 18 months, memory latency does
not decrease at the same rate, which causes memory wall issues. The memory wall
was meant to come falling thanks to on-chip cache memory, which is 10 to 100 times
quicker than off-chip DRAM. Cache memories, however, come with their own set
of issues. More than half of the silicon area of processors is taken up by the L1 and
L2 caches. As a result, cache memory uses a large portion of processing resources
rather than computations.
running applications, and the mass storage memory, which is slower than primary
memory.
The memory hierarchy in contemporary computer systems is depicted in
Figure 5.4. The closer it is to the central processing unit, the better it performs in
terms of bandwidth, latency, and endurance. The CPU registers are faster and located
closer to the CPU, as illustrated in the figure. Cache memory is located next to the
CPU, after registers.
5.4.1 Magnetic Memory
Electronics often use the electric charge of an electron, which is an electron’s fun-
damental feature, to process and store information. Spintronics was created when
researchers in the 1980s discovered a further dimension of electrons: spin-dependent
electron transport [14]. A brand-new approach to information processing and storage
called spintronics takes advantage of the electron’s spin, which is an essential char-
acteristic. In spintronic devices, the information is carried by the electron spin rather
than the electrical charge. Spintronic devices are quicker, more energy-efficient, and
non-volatile than semiconductor ones.
The phenomenon known as “magnetoresistance” [15] describes how some mate-
rials, ideally ferromagnetic materials, have a propensity to modify the value of their
electrical resistance when a magnetic field is applied externally. We are aware that
the velocity of an electron in conductive materials is inversely proportional to the
applied electric field. This could be expressed as
v E (5.2)
Where E is the applied electric field, v is the electron’s velocity, and µ is the electron’s
mobility. Additionally, the Lorentz force has an impact on electron transport when a
magnetic field is present. This effect is defined as
F = evB (5.3)
80 Circuit Design for Modern Applications
Where B is the magnetic field density and e is the charge on an electron. This results
in the magneto-resistive (MR) effect, whereby the resistance of the material changes
in the presence of a magnetic field. William Thomson made the initial discovery of
this phenomenon, which is also known as anisotropic magnetoresistance, in 1856.
A few years later, scientists would identify gigantic magnetoresistance and tunnel
magnetoresistance, two more strong MR phenomena.
5.4.2 STT-MRAM Overview
STT-MRAM relies on the manipulation of electron spin to store and read data. Spin
is an intrinsic property of electrons and is used to represent the binary states (0 or 1)
in STT-MRAM cells. The basic operation involves passing a spin-polarized current
through a Magnetic Tunnel Junction (MTJ), which consists of two ferromagnetic lay-
ers separated by a thin insulating layer. The relative orientation of the magnetization
in the two ferromagnetic layers determines the resistance of the MTJ, representing
the stored data [16].
An access transistor and MTJ make up the STT-MRAM cell, as depicted in
Figure 5.5 [17]. The STT-MRAM cell’s magnetic tunnel junction is where the data
is stored. STT-MRAM cells with an MTJ (1T1J) structure and one access transistor
are the most common form. The MTJ structure is shown in Figure 5.6. An external
magnetic field can independently alter the direction of the magnetizations in either
of the two ferromagnetic films in STT-MRAM. An electrical signal is defined by the
magnetic direction of the two FM layers, which can be either parallel (low-resistance
state) or anti-parallel (high-resistance state). Turning on the Word Line allows access
to the gate terminal of the NMOS transistor. In the circuit, MTJ is referred to as a
current-dependent resistor.
Reducing the write energy of the MTJ is the main problem at the device level
[33]. STT-MRAM has a higher write energy than SRAM which will be utilized as
an on-chip cache. The critical switching current (IC) of an MTJ can be made to be
smaller than 25 nm to lower write energy and make it comparable to the write cur-
rent of SRAM.
measures are also implemented to screen out MTJs that fall outside specified resis-
tance tolerances.
To write the number “1” in, a positive voltage is placed between the Source Line
and Bit Line which represents the high-resistance anti-parallel state. The low-resis-
tance parallel state, represented by the number “0”, on the other hand, requires the
application of a negative voltage between the Source Line and Bit Line. A sensing
current is injected to create bit line voltage during a read operation. It is possible to
determine the resistance state of a Magnetic Tunnel Junction by comparing VBL to
a reference voltage.
TABLE 5.1
Comparison of 32 nm, 8MB Cache Built with
SRAM and STT-MRAM
Parameter SRAM STT-MRAM
Read latency 1:777 ns 1:916 ns
Write latency 1:777 ns 4:506 ns
Retention time – 10 years
Read energy (per access nJ) 0:475 nJ 1:364 nJ
Write energy (per access nJ) 0:485 nJ 2:787 nJ
Leakage power (mW) 385:70 133:39
Area 7:451 mm2 2:666 mm2
Memory cell Factor (F2) 50–120 16–40
with these modeling frameworks, as shown in Table 5.1. We also investigate cache
design with technology scaling. We utilize the following cache characteristics as the
primary comparison metrics: “read latency (ns), write latency (ns), read energy (nJ),
write energy (nJ), leakage power (mW ), refresh power (mW ), and area (mm2)”.
migration for subblock-sized data is made possible via Discard Unused. Our Discard
Unused approach assumes that unused subblocks won’t be used later and that the
spatial locality will be disclosed during the residency in the L1 data cache. By add-
ing an extra status bit when a cache block is in the L1 data cache, the Discard Unused
technique keeps track of used subblocks.
blocks. In a novel contribution, the working set’s write-intensive data blocks are
detected and placed into SRAM lines using a low overhead, fully hardware tech-
nique, while the working set’s non-write-intensive data blocks are candidates to be
remapped into STT-RAM blocks during system operation. Consequently, the STT-
RAM array ensures dynamic write energy, tolerable write latency, and a long life-
time, while the SRAM array ensures large capacity and nearly no leakage energy.
• Read Circuit Design: Improving the read circuitry to enhance the sensing
accuracy and speed during read operations is an ongoing research direction.
Techniques such as reference voltage optimization, sense amplifier design,
and adaptive read circuits are explored to mitigate read disturbances.
• Reliability Improvement: Addressing reliability issues such as write fail-
ures, read disturbances, and retention failures remains a crucial focus.
Researchers are exploring architectural and circuit-level techniques to
enhance the robustness of STT-MRAM caches.
• Write Endurance Enhancement: Improving the endurance of STT-
MRAM, which refers to the ability to withstand many write-erase cycles, is
an ongoing area of research. Strategies involve exploring materials, designs,
and algorithms to mitigate the impact of repeated write operations.
• Write Energy Reduction: Reducing the write energy consumption in
STT-MRAM caches is essential for improving overall energy efficiency.
Researchers are investigating novel write-assist techniques, voltage control,
and other design optimizations to minimize the energy required for write
operations.
• Performance Optimization: Enhancing the overall performance of STT-
MRAM caches is a critical research direction. This includes exploring
novel cache architectures, access schemes, and algorithms to maximize the
benefits of STT-MRAM in terms of speed and efficiency.
• Hybrid Memory Architectures: Integrating STT-MRAM with other
memory technologies, such as SRAM or non-volatile memories, in hybrid
memory architectures is being explored. This approach aims to combine
the strengths of different memory types to achieve better overall system
performance and energy efficiency.
• Data Management and Migration: Investigating efficient data manage-
ment and migration strategies within STT-MRAM caches is crucial for
optimizing performance. Researchers are exploring ways to minimize
data movement and maximize the utilization of STT-MRAM in cache
hierarchies.
• Error Correction Techniques: Developing effective error correction tech-
niques tailored for STT-MRAM is a key area of research. This involves
designing algorithms and error correction codes to address potential errors
and improve the reliability of stored data.
5.8 CONCLUSION
Emerging memory technologies including eDRAM, STT-RAM, RRAM, PCM, and
DWM offer new design opportunities because they have various desirable qualities
like low leakage power and high density. However, they also have several draw-
backs that must be fixed, including low write endurance, excessive write latency, etc.
We provided a summary of architectural approaches in this study for dealing with
problems in caches created with cutting-edge memory technology. Additionally, we
STT-MRAM based Cache Memory Design for Multicore Systems 89
REFERENCES
1. W. Xu, H. Sun, X. Wang, Y. Chen, T. Zhang, Design of last-level on-chip cache
using spin-torque transfer ram (STT RAM). IEEE Transactions on Very Large Scale
Integration (VLSI) Systems 19(3), 483–493 (2011).
2. B.M. Rogers, A. Krishna, G.B. Bell, K. Vu, X. Jiang, Y. Solihin, in Proceedings of the
36th Annual International Symposium on Computer Architecture (2009), pp. 371–382.
3. N. Hardavellas, M. Ferdman, A. Ailamaki, B. Falsafi, Power scaling: the ultimate obsta-
cle to 1k-core chips Technical Report NWU-EECS-10-05, Department of Electrical
Engineering and Computer Science, Northwestern University. (2010)
4. X. Fong, Y. Kim, K. Yogendra, D. Fan, A. Sengupta, A. Raghunathan, K. Roy, Spin-
transfer torque devices for logic and memory: prospects and perspectives. IEEE
Transactions on Computer-Aided Design of Integrated Circuits and Systems 35(1),
1–22 (2015).
5. G.E. Moore, Cramming more components onto integrated circuits, reprinted from elec-
tronics, 38(8), 114 (1965) ff. IEEE Solid-State Circuits Society Newsletter 11(3), 33–35
(2006).
6. J.M. Tendler, J.S. Dodson, J. Fields, H. Le, B. Sinharoy, Power4 system microarchitec-
ture. IBM Journal of Research and Development 46(1), 5–25 (2002).
7. V. Rajaraman, Multi-core microprocessors. Resonance 22, 1175–1192 (2017).
8. W.A. Wulf, S.A. McKee, Hitting the memory wall: implications of the obvious. ACM
SIGARCH Computer Architecture News 23(1), 20–24 (1995).
9. J.A. Carballo, W.T.J. Chan, P.A. Gargini, A.B. Kahng, S. Nath, in 2014 IEEE 32nd
International Conference on Computer Design (ICCD) (IEEE, 2014), pp. 139–146.
10. H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, D. Burger, in Proceedings
of the 38th Annual International Symposium on Computer Architecture (2011), pp.
365–376.
11. J. Zhan, N. Stoimenov, J. Ouyang, L. Thiele, V. Narayanan, Y. Xie, in Proceedings of
the 50th Annual Design Automation Conference (2013), pp. 1–6.
12. J. Zhan, J. Ouyang, F. Ge, J. Zhao, Y. Xie, in Proceedings of the 52nd Annual Design
Automation Conference (2015), pp. 1–6.
13. J. Zhan, Y. Xie, G. Sun, in Proceedings of the 51st Annual Design Automation
Conference (2014), pp. 1–6.
14. A. Fert, P. Grunberg, For the discovery of giant magnetoresistance. The Nobel Prize in
Physics 2007 (2007).
15. J. Nickel, Magnetoresistance Overview (Hewlett-Packard Laboratories, Technical
Publications Department Palo Alto, 1995).
16. S. Sugahara, J. Nitta, Spin-transistor electronics: an overview and outlook. Proceedings
of the IEEE 98(12), 2124–2154 (2010).
17. Y. Xie, Emerging Memory Technologies: Design, Architecture, and Applications
(Springer Science & Business Media, 2013).
18. P. Zhou, B. Zhao, J. Yang, Y. Zhang, in Proceedings of the 2009 International
Conference on Computer-Aided Design (2009), pp. 264–268.
19. M. Sato, Y. Shoji, Z. Sakai, R. Egawa, H. Kobayashi, An adjacent-line-merging write-
back scheme for STT-RAM-based last-level caches. IEEE Transactions on Multi-Scale
Computing Systems 4(4), 593–604 (2018).
90 Circuit Design for Modern Applications
20. J. Ahn, S. Yoo, K. Choi, in 2014 IEEE 20th International Symposium on High
Performance Computer Architecture (HPCA) (IEEE, 2014), pp. 25–36.
21. S.P. Park, S. Gupta, N. Mojumder, A. Raghunathan, K. Roy, in Proceedings of the 49th
Annual Design Automation Conference (2012), pp. 492–497.
22. H. Kim, S. Kim, J. Lee, Write-amount-aware management policies for STT-RAM
caches. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25(4),
1588–1592 (2016).
23. X. Wu, J. Li, L. Zhang, E. Speight, Y. Xie, in 2009 Design, Automation & Test in
Europe Conference & Exhibition (IEEE, 2009), pp. 737–742.
24. J. Li, C.J. Xue, Y. Xu, in 2011 IEEE/IFIP 19th International Conference on VLSI and
System-on-Chip (IEEE, 2011), pp. 31–36.
25. O. Coi, G. Patrigeon, S. Senni, L. Torres, P. Benoit, in 2017 IEEE/ACM International
Symposium on Nanoscale Architectures (NANOARCH) (IEEE, 2017), pp. 39–44.
26. X. Wu, J. Li, L. Zhang, E. Speight, R. Rajamony, Y. Xie, Hybrid cache architecture
with disparate memory technologies. ACM SIGARCH Computer Architecture News
37(3), 34–45 (2009).
27. A. Jadidi, M. Arjomand, H. Sarbazi-Azad, in IEEE/ACM International Symposium on
Low Power Electronics and Design (IEEE, 2011), pp. 79–84.
28. C. Liu, Y. Cheng, Y. Wang, Y. Zhang, W. Zhao, in 2018 IEEE International Symposium
on Circuits and Systems (ISCAS) (IEEE, 2018), pp. 1–5.
29. A.M.H. Monazzah, H. Farbeh, S.G. Miremadi, Ler: least-error-rate replacement
algorithm for emerging stt-ram caches. IEEE Transactions on Device and Materials
Reliability 16(2), 220–226 (2016).
30. G. Sun, X. Dong, Y. Xie, J. Li, Y. Chen, in 2009 IEEE 15th International Symposium
on High Performance Computer Architecture (IEEE, 2009), pp. 239–249.
31. M. Talebi, A. Salahvarzi, A.M.H. Monazzah, K. Skadron, M. Fazeli, Rocky: a robust
hybrid on-chip memory kit for the processors with stt-mram cache technology. IEEE
Transactions on Computers 70(12), 2198–2210 (2020).
32. M. Rahbari, H. Farbeh, CRP: Conditional replacement policy for reliability enhance-
ment of STT-MRAM caches. IEEE Transactions on Magnetics 58(7) (2022).
33. T. Na, S.H. Kang, S.O. Jung, STT-MRAM sensing: a review. IEEE Transactions on
Circuits and Systems II: Express Briefs 68(1), 12–18 (2020).
34. N. Muralimanohar, R. Balasubramonian, N.P. Jouppi, CACTI 6.0: a tool to model large
caches. HP Laboratories 27, 28 (2009).
35. X. Dong, C. Xu, Y. Xie, N.P. Jouppi, Nvsim: a circuit-level performance, energy, and
area model for emerging nonvolatile memory. IEEE Transactions on Computer-Aided
Design of Integrated Circuits and Systems 31(7), 994–1007 (2012).
36. G.P. Devaraj, R. Kabilan, J.Z. Gabriel, U. Muthuraman, N. Muthukumaran, R. Swetha,
Design and analysis of modified pre-charge sensing circuit for STT-MRAM, in Third
International Conference on Intelligent Communication Technologies and Virtual
Mobile Networks (ICICV) (Tirunelveli, India, March 2021), pp. 507–511.
37. G.L. Priya, P. Saran, S.K. Padhy, P. Agarwal, A. Andrew Roobert, L.J. Julus, Novel low
power cross-coupled FET-based sense amplifier design for high-speed SRAM circuits.
Micromachines, MDPI 14(3), 581 (2023).
38. G.L. Priya, N. Rawat, A. Sanagavarapu, M. Venkatesh, A. Andrew Roobert, Hybrid
silicon substrate FinFET-metal insulator metal (MIM) memristor based sense amplifier
design for the non-volatile SRAM cell. Micromachines, MDPI 14(2), 232 (2023).
39. A.A. Roobert, K. Praghash, S.E. Rajavel, A.A. Bridjith, Design and analysis of demulti-
plexer based CPG for high speed shifting memory, in Proceedings of the International
Conference on Intelligent Computing and Control Systems (ICICCS 2017), IEEE
Explore. doi: 10.1109/ICCONS.2017.8250680.
STT-MRAM based Cache Memory Design for Multicore Systems 91
6.1 INTRODUCTION
The evolution of digital circuits has been consistently driven by the relentless
progression of technology, which has pushed the boundaries of performance,
efficiency, and miniaturization [1]. In recent decades, the necessity to decrease
energy consumption and noise in very large scale integration (VLSI) circuits has
prompted a greater emphasis on low-power design solutions [2]. This emphasis has
resulted in the development of innovative methodologies that have fundamentally
altered the digital circuit design landscape, with a particular emphasis on optimiz-
ing the efficacy of functional units, including adders, multipliers, and arithmetic
logic units (ALUs).
Moore’s law, which anticipates a doubling of integrated circuit efficiency and
component density every two years, continues to influence the design and optimiza-
tion of VLSI circuits [3]. The delicate balancing act of managing energy consump-
tion and performance is a significant challenge for designers as transistors become
more numerous and compact [4]. The performance of the ALU, a critical component
capable of executing both arithmetic and logic operations, is intrinsically linked to
the efficacy of the latest processors, which is pivotal to modern computing.
The adder is a fundamental component of an ALU, unique among the numerous
components. This investigation examines the efficacy of Parallel Prefix Adders, with
a particular emphasis on those that employ pass transistor logic (PTL). Insights into
the efficiency and potential for development of these adders are obtained by com-
paring them to key performance metrics, including power consumption, delay, and
area [5].
This chapter introduces a modified Parallel Prefix Adder architecture that tran-
scends conventional designs in order to achieve optimal performance [6]. This
improved architecture not only satisfies rigorous performance standards but also
provides substantial benefits for industrial applications. Our objective is to advance
the field of low-power VLSI design by investigating these innovative approaches,
92 DOI: 10.1201/9781003483052-6
Optimal Approaches for Enhancing Energy Efficiency In Circuits 93
6.2.1 Pre-Computation
In the pre-processing stage, the computation of propagate and generate functions is
dependent on the input signals provided. The equation accomplishes the propagate
functions.
Pi Ai Bi (6.1)
Equation 6.1 demonstrates how an XOR logic gate processes the input signals A and
B. The above equation accomplishes the propagate functions.
Gi Ai Bi (6.2)
According to Equation 6.2, the input signals that make up the AND logic gate are A
and B. Although the previously mentioned equations are executed in parallel, there is
no noticeable rise in the computation of area consumption, and the delay is entirely
dependent on a specified bit size at the input.
6.2.2 Prefix-Computation
This prefix-computation stage, instantly computes the carry signal groups using the
input and values that are calculated during the first pre-computation step [18]. When
creating carry signals, the delay increases by default because more than two inputs
are utilized. Equations 6.3 and 6.4 describe the carry-propagation and carry-gener-
ation functions.
6.2.3 Post-Computation
In this stage, the sum result is generated by an Ex–OR process that incorporates
the carry-generating stage values (In prefix-computation). The final sum output is
calculated by Equation 6.5.
Si:k Pi Ci 1 (6.5)
6.3 LADNER-FISCHER ADDER
In this chapter, first, the traditional Ladner-Fischer Adder prefix circuit is analyzed.
Based on the simulated results observation, a new proposed efficient adder is intro-
duced. The Ladner-Fischer Adder has a tree-like structure that provides excellent
arithmetic operation performance and is adaptable for accelerating binary addition
[19]. Device development is made easier by research on binary operation charac-
teristics. As a result, their increased speed of microprocessor-based applications
such as DSP, telephone service, and mobile devices field programmable gate arrays
[FPGA’s] have become growing in popularity. The Ladner-Fischer Adder’s structure
consists of the following important three stages called the pre-processing stage, the
carry-generation stage and the post-processing stage.
Gray and black cells make up the Ladner-Fischer Adder. Two AND gates and one
OR gate contribute to each black cell. There are several inputs and one output in this
combinational circuit. There is only a single AND gate in every gray cell. P stands
for propagate, whereas there is only one AND gate in it. G stands for generate, and it
has one AND gate and one OR gate. The structure of Ladner-Fischer Adder is shown
in Figure 6.1.
A conventional 8-bit Ladner-Fischer Adder is designed using the Xilinx 14.7 ISE
design tool. The RTL schematic view of a conventional 8-bit Ladner-Fischer Adder
is shown in Figure 6.2 and the simulated output results are displayed in Figure 6.3.
In Figure 6.4, a technology schematic view of the 8-bit Ladner-Fischer Adder
is displayed. From the figure we can easily identify how many internal blocks are
FIGURE 6.5 8-bit Ladner-Fischer Adder power, delay, and area summary report.
Optimal Approaches for Enhancing Energy Efficiency In Circuits 97
available in this traditional 8-bit Ladner-Fischer Adder design. These blocks con-
sume more area as well as more power. The overall design summary report is shown
in Figure 6.5.
The conventional Ladner-Fischer Adder has 18 LUTs in Spartan 3E FPGA imple-
mentation. So it has a maximum combinational path delay of 9.973 ns. Similarly it
consumes 53.11 mW power from its power source. It consumes 52.28 mW power as a
Static power and 0.83 mW power as a Dynamic power. Based on observations above
the efficient Ladner-Fischer Adder is designed using pass transistor logic.
6.6 SIMULATION METHODOLOGY
In this chapter, Spartan 3E FPGA implementations are used to analyze the Ladner-
Fischer Prefix Adder in parallel with respect to specific performance metrics (Area,
Power, and Delay). Xilinx ISE 14.7 tool has been used to implement pass transis-
tor logic-based Ladner-Fischer Parallel Prefix Adder. Initially, the adder is designed
using Hardware Description Language based on its hierarchical structure. The
behavioral simulation is done using the Xilinx ISE 14.7 tool to verify its simulated
functional specifications. The design moves on to the next stage if the requirements
are satisfied; if not, behavioral modeling is repeated. The design constraints (output
and input delay, clock period, etc.) for synthesis are then provided. Next, the post-
synthesis simulation is evaluated for functioning. If it succeeds in the test, then the
design moves on to the next phase, but if not, its behavioral modeling is examined
again for modification. The implementation stage comes next; at this phase, the tool
handles the placement, routing, and functional correctness checks. At last, we have
STA (Static Timing Analysis), Power, and Area from the implementation overview.
FIGURE 6.11 8-bit PTL Ladner-Fischer Adder power, delay, and area summary report.
6.7 COMPARATIVE ANALYSIS
The power, area & delay comparative analysis chart of conventional and proposed
Ladner-Fischer Adder is shown in Figure 6.12.
Optimal Approaches for Enhancing Energy Efficiency In Circuits 101
The above comparative analysis chart clearly shows proposed efficient pass tran-
sistor logic-based Ladner-Fischer Adder has better performance in terms of area,
delay and power consumption than the traditional Ladner-Fischer Adder.
6.8 CONCLUSION
The latest technique for creating an effective Ladner-Fischer Adder focuses on gate
levels in order to increase speed and reduce power usage. The fabrication of inte-
grated circuits has consistently demanded the best performance in terms of speed,
consumption of power, and area usage in every IC. This analysis chapter found that
the implementation of the pass transistor logic would decrease the propagation delay,
power consumption and the layout design area. Furthermore, when compared to a
basic logic gate schematic, the pass transistor logic design is able to reduce the com-
plexity of the circuit while using fewer transistors overall. Meanwhile, less time on
the propagation delay and reduce the adder’s power consumption.
REFERENCES
1. R. Ladner and M. Fischer, ‘Parallel prefix computation,’ J. ACM, Vol. 27, pp. 831–838,
1980.
2. R.P Brent and H.T. Kung, ‘A regular layout for parallel adders’, IEEE Trans. Comput.,
Vol. C-31, pp. 260–264, 1982.
3. G.L. Priya, P. Saran, S.K. Padhy, P. Agarwal, A. Andrew Roobert, and L.J. Julus, ‘Novel
low power cross-coupled FET-based sense amplifier design for high-speed SRAM cir-
cuits, Micromachines, Vol. 14, No. 3, p. 581, 2023.
4. P. Kogge and H. Stone, ‘A parallel algorithm for the efficient solution of general class
Recurrence equations,’ IEEE Trans. Comput., Vol. C-22, pp. 786–793, 1973.
5. E. Aradhana Raju, R. Patnaik, R. Kurian Babu, and P. Mahato, ‘Parallel prefix adders
— a comparative study for fastest response’, Proc. IEEE International Conference on
Communication and Electronics Systems (ICCES), Coimbatore, India, pp. 1–6, 2016.
6. G.L. Priya, N. Rawat, A. Sanagavarapu, M. Venkatesh, and A. Andrew Roobert,
‘Hybrid silicon substrate FinFET-metal insulator metal (MIM) memristor based sense
amplifier design for the non-volatile SRAM cell’, Micromachines, Vol. 14, No. 2, p.
232, 2023.
7. S. Rakesh and K.S. Vijula Grace, ‘A comprehensive review on the VLSI design perfor-
mance of different parallel prefix adders’, Mater. Today Proc., Vol. 11, pp. 1001–1009,
2019.
8. S. Daphni and K.S.V. Grace, ‘Design and analysis of 32-bit parallel prefix adders for
low power VLSI applications’, Adv. Sci. Technol. Eng. Syst. J., Vol. 4, No. 2, pp. 102–
106, 2019.
9. A.R. Rajakumar, ‘Design of low power VLSI architecture of line coding schemes’,
Wireless Personal Commun., Vol. 99, No. 4, pp. 1455–1473, 2018.
10. S. Gauhar, A. Sharif, and N. Alam, ‘Comparison of parallel prefix adders based
on FPGA & ASIC implementations’, IEEE Students Conference on Engineering &
Systems (SCES), July 10–12, 2020.
11. N.H.E. Weste, D. Harris, and A. Banerjee, CMOS VLSI Design: A Circuits and Systems
Perspective, 3rd Edition, 2008, pp. 303–360. Pearson Education.
102 Circuit Design for Modern Applications
INTRODUCTION
The demand for efficient and high-performance interconnected networks has been
substantially elevated as a result of the accelerated evolution of parallel computing.
Multi-stage interconnect networks (MINs) are essential for the optimal distribution
of data across computing nodes, thereby ensuring minimal latency and maximum
bandwidth. The demand for architectures that are more compact, energy-efficient,
and high-performance is increasing in tandem with the growth of computational
demands. Quantum-dot cellular automata (QCA) is a prospective technology that
aims to address these requirements by providing improved performance and reduced
energy dissipation through its distinctive computational paradigm.
This chapter, “Design and Analysis of Optimized Area and Energy-Efficient
QCA-based 8 × 8 Butterfly Switching Network”, explores the innovative design of a
QCA-based 8 × 8 butterfly switching network (BSN), a critical variant of MINs in
parallel computing systems. The 8 × 8 BSN is essential for the efficient implementa-
tion of internal bus architectures and the dynamic distribution of data, as it facilitates
the organization of system components into stages.
Our investigation commences with the fundamental 2 × 2 switching element (SE)
that is constructed using a five-input majority gate and configured with fixed logic
inputs. This SE is the fundamental component for the development of higher-order
switching networks, which are necessary for the realization of 4 × 4 and 8 × 8 BSNs.
Two distinct design approaches are the primary focus: the proposed-1 design, which
is an optimized version of the traditional BSN, and the proposed-2 design, which
utilizes gate polarization and a specific arrangement sequence of majority gates to
accomplish significant improvements.
Literature Review
Interconnection networks are an appealing and cost-effective way to make things in
a computer system communicate with each other. Due to advancements in similar
computing, these networks have become a useful choice for handling the increasing
demands of powerful computers [1]. MINs are used to connect different parts of a
computer with multiple processors or network switches [4, 5]. The MINs offer the
highest data transfer capacity to the components while ensuring minimal interrup-
tion to access memory modules. These networks are seen as a knowledgeable solu-
tion because they can be customized to let data move between different parts of the
computer in a flexible way [3].
Modern infrastructures widely embrace MINs to connect microprocessors and
other resources, such as memory. MINs find usage in networks on chips to connect
processors and memory for multiprocessor systems [4, 9]. MIN uses simple switches
with two input and two output parts that can be used to create multiple pathways.
These networks can have many paths in their design, or extra paths can be created
by altering the network’s configuration [5]. The switching complexity of a MIN can
be determined by considering several switching stages, the number of switches per
stage, and the size of the individual switching elements [7, 10]. Another aspect to
evaluate the cost of a MIN network is its link complexity, which depends on the
presence of intrastate links and the number of stages. Link complexity is significant
because it impacts the network’s ability to handle input and output, which can be a
limiting factor at each integration stage [4, 11].
QCA relies on encoding digital information based on the configuration of charges
within the cells. Processing capabilities are derived from the Coulombic interaction
among QCA cells [12]. QCA-based MINs for parallel computing are discussed in
[4–6]. QCA is one of the replacing technologies for CMOS, it uses less energy and
works faster, especially when building tiny circuits [13, 14]. This makes QCA tech-
nology very promising for the future. In the context of designing an 8 × 8 switching
network, a modified majority gate called M(x, y) is employed. This gate stands out
because it consists of two hard-coded cells placed along the select line to choose one
input at a time. It operates based on the configured cells [x, y] to switch the inputs at
the output, which makes it adaptable to various scenarios. This adaptability proves
crucial in complex switching networks where signal routing patterns can vary sig-
nificantly [15, 16].
The M(x, y) gate makes decisions based on majority input values and enhances net-
work efficiency by facilitating load balancing and optimizing signal paths. Butterfly
networks are normally used as interconnection networks in high-performance com-
puting structures and resemblant processing infrastructures. Butterfly networks may
be hired in packet switching structures, which assist with the inside powerful switch
of information packets among one-of-a-kind community nodes. BSNs may be hired
in large-scale information facilities to produce scalable and powerful communica-
tion infrastructures [10, 17]. QCA stands out as a highly promising and extensively
studied nanotechnology with the potential to advance the development of compact
digital circuits.
106 Circuit Design for Modern Applications
Tougaw et al. introduced the processing of digital data in QCA computing. Several
nanoscale QCA cells and a semiconductor QCA have been fabricated successfully.
They are capable of functioning at a temperature of 293K [12]. Researchers were
motivated by these findings to develop more complex architectures for the next gen-
eration, such as adders, multipliers, computation sense units, periodic-resemblance
recollections, microprocessors, and nanoscale networks [18]. Various studies con-
cluded that the efficiency of a multiprocessor relies not just on the CPU’s speed but
also on the configuration of the machine architecture [19–23].
The rest of the chapter is organized as follows: The basics of QCA and switching
networks are discussed in Section 7.3. Implementation of the proposed-1 and pro-
posed-2 SE and BSNs are discussed in Section 7.4. The obtained results are analyzed
in Section 7.5 along with conclusions derived in Section 7.6.
METHODOLOGY
QCA has emerged as a swiftly advancing nanotechnology, offering a well-suited
platform for designing high-performance, compact, and low-energy digital circuits.
The basic building block of QCA technology is the QCA cell, composed of four small
quantum dots arranged in a square lattice. Each cell has two independent electrons,
usually positioned diagonally due to Coulomb repulsion [1]. The cell polarizations
are P = –1 and P = +1, depending on the position of the electrons used to represent the
binary values “1” and “0”. Figures 7.1(a) and (b) represent two distinct polarized cell
types. Coplanar crossovers generally use 45° rotated cells. QCA cells can function as
wires, inverters, and logical devices like majority gates, AND, and OR [4]. In a series
arrangement of QCA cells, the polarization of the series is influenced by the input
[5]. This configuration represents a binary wire in QCA. Only five QCA units are
needed to complete the logic functions of our overall setup, as shown in Figure 7.2.
The crossovers in QCA can be either coplanar or multi-layer. A coplanar crossing
can be formed using either normal cells or rotated cells, referred to as a single-layer
crossing. In contrast, a multi-layer crossing involves a minimum of three layers [24].
FIGURE 7.1 (a) Distinct polarized QCA cell, (b) 45° rotated cells.
Design and Analysis of Optimized Area and Energy-Efficient 107
Switching Networks
2 × 2 Switching Element: The 2 × 2 switching element presented in [19], has
been designed using six majority gates, the design utilizes the basic logical
operations to switch the inputs at the output. To overcome multiple uses of
majority gates an SE presented in [1], introduced a concept of MMV, where
the design uses only two majority gates with configured cells (x, y) polar-
ized as [1, –1] as shown in Figure 7.4.
The 2 × 2 SE consists of three inputs S, X1, and X2, and the outputs Y1
and Y2, the system-level representation of 2 × 2 SE is shown in Figure 7.5.
Input is selected by controlling two fixed hardcode cells (x, y) and selec-
tion bit “S”. When S = 0, X1 will appear at Y1, and X2 will appear at Y2.
Similarly, when S = 1, X1 will appear at Y2, and X2 will appear at Y1.
4 × 4 Butterfly Switching Network: The 4 × 4 BSN consists of two stages
and four switches each stage consists of two switches. The high-level dia-
gram of 4 × 4 BSN is shown in Figure 7.6. Here the four inputs and four
outputs are controlled by four select lines S11, S12, S21, and S22. If the select
lines S11 and S22 are hard-coded as 1, then input X1 will appear at output Y4.
Similarly, when S12 and S21 are hard-coded as 0, then input X3 will appear at
output Y2. Based on the required switching, the select bits are hard-coded
so that the input bits can be directed to any of the output pins.
8 × 8 Butterfly Switching Network: The 8 × 8 BSN was designed by extend-
ing the 4 × 4 BSN. The design consists of three stages and 12 switches,
each stage consists of four switches. A high-level representation of 8 × 8
BSN is shown in Figure 7.7. The output of 8 × 8 BSN was controlled by 12
select lines S11 to S43, by hard coding the required select bits the input bits
are switched to output pins.
For instance, in a 2 × 2 SE, with two majority gates, two crossovers, and a latency
of 1.25 CC, the QCA cost is calculated as 12.5. The cost function is analyzed by the
equation presented in [1]. Taking into account the complexity of physical implemen-
tation, the expense associated with multi-layer crossing is three times greater than
that of coplanar crossing. Table 7.1 compares QCA parameters, such as cell count,
cell area, total area, latency, area usage, and cost analysis, with the switching ele-
ment presented in [1].
From Table 7.1, it can be analyzed that the proposed-1 2 × 2 SE requires a total of
69 cells and has a device area of 0.06 μm². Compared to SE presented in [1], the total
number of crossovers is reduced to 2, and area usage increases to 34% from 28.75%.
Area usage refers to the efficiency with which cells are organized in the device layout
(higher the usage is better).
Proposed-2 2 × 2 SE signifies a notable improvement over proposed-1, present-
ing a novel architecture that reduces the cell count by 21%. The design introduces a
TABLE 7.1
QCA Parameter Analysis of Various Switching Elements
2 × 2 Switching Elements
QCA
Parameters [1] Proposed-1 Proposed-2
Cell count 72 69 55
Total area (μm²) 0.08 0.06 0.04
Cell area (μm²) 0.023 0.022 0.017
Latency (CC) 1.25 1.25 1.25
Area usage (%) 28.75 34 42.5
QCA cost 20.31 12.5 12.5
Design and Analysis of Optimized Area and Energy-Efficient 115
unique structure, reducing the device area by 50%, and increasing area usage to 43%.
The proposed-2 design uses 55 QCA cells, with a sequential arrangement of MMVs
and no crossover between inputs. Additionally, a separation of two cells between
connecting wires is implemented to avoid cell-to-cell interaction.
The sequential arrangement in proposed-2 leads to a change in the hard code of
the reconfigured cells in MMV. If the polarization of reconfigured cells in MMV
didn’t change, having the same polarization in the reconfigured cells of MMV would
block the switching process at the outputs. To match the delay in both outputs, an
extra cell is added at the first output (Y1). Logical clocking maintains consistent
circuit delay, with Y1 appearing first. Table 7.1 provides a thorough analysis of the
cost functions, offering a comparative study between the proposed SE and reference
designs. In contrast to the configuration outlined in [1], the proposed SE showcases a
notable 39% decrease in QCA cost. Additionally, when compared with the most opti-
mal current SE design, the proposed SE displays significant enhancements, achiev-
ing a 43% reduction in area usage.
Table 7.2 presents a summary of the data that highlights the significant enhance-
ment of the proposed-1 4 × 4 BSN. Compared to reference [1], it has 310 cells with
a total size of 0.3 μm², there are nine fewer crossovers, and the area utilization has
increased by 33.3%. The proposed-2 4 × 4 BSN is an improved version of BSN
presented in [1] as well as proposed-1, the cell count is reduced by 20% over 48%
total device area has been reduced, and 42% of the device area has been utilized. No
additional cells are added to achieve isolation at the first stage outputs, this can be
achieved by clocking between two wire crossings. The first valid output will appear
after a delay of 3.25 CC. Table 7.2 also provides a notable improvement of 8 × 8 BSN
over the reference [1] and proposed-1. The simulation results of the 8 × 8 BSN are
presented in Figure 7.15.
Inputs for simulating the 8 × 8 BSN circuit were applied by creating the vector
table. A vector can be created by the add option presented in the simulation type
setup [27]. The design requires 860 cells and a device area of 0.76 μm². Since the
design is a single-layer and clock-based coplanar crossing, it produces a delay of 5.25
CC to generate the first valid output.
TABLE 7.2
QCA Parameter Analysis of Various Butterfly Switching Networks
4 × 4 BSN 8 × 8 BSN
QCA
Parameters [1] Proposed-1 Proposed-2 [1] Proposed-1 Proposed-2
Cell count 349 310 245 1164 1086 860
Total area (μm²) 0.36 0.30 0.19 1.37 1.17 0.76
Cell area (μm²) 0.11 0.10 0.079 0.377 0.351 0.278
Latency (CC) 3.25 3.25 3.25 5.25 5.25 5.25
Area usage (%) 30.5 33.3 41.5 27.51 30 36.65
QCA cost 2462 1532 1532 79,380 51,597 47,738
116 Circuit Design for Modern Applications
The 2 × 2 SE serves as a basic building block for the 8 × 8 BSN, and the design
framework of the 4 × 4 BSN is employed in the construction of the 8 × 8 BSN. The
design utilizes area efficiently by 36.6% and over 30% of crossovers are reduced.
The overall cell area required for the proposed design is 0.27 μm², whereas the BSN
in [1] and proposed-1 uses 0.37, and 0.35 μm² respectively.
Energy dissipation is a loss of electrical energy as it flows through the various
components of the circuit, this energy is lost in the form of heat. Optimizing the
design can help reduce energy dissipation. Table 7.3 presents the total and aver-
age energy dissipation for the proposed BSNs. The proposed architecture is recon-
structed in the CAD tool QCADesigner-E to analyze energy dissipation, considering
the loss of energy through its conversion into heat. The proposed designs provide
more than 40% lower generation of total and average energy dissipation.
Total energy dissipation represents the overall energy loss converted to heat
within the circuit, while average energy loss per unit indicates the mean energy dis-
sipation. These metrics are crucial in evaluating the performance of the designs. The
proposed-1 and proposed-2 8 x 8 BSNs generate lower energy dissipation of 11%,
and 40% compared to BSN presented in [1].
cell area, and over 39.5% reduction in both QCA cost and average energy dissipation
compared to the reference BSN. The proposed 4 × 4 BSN can be used as a building
block for higher-order BSNs, and the cost function holds well for N × N BSN. These
proposed designs highlight significant improvements in area usage, cell count, and
device area while reducing energy dissipation compared to previous designs. The
design can be expanded to higher-order N × N BSN configurations and holds the
potential to restructure switching networks by offering ultra-secure communication.
REFERENCES
1. B. A. Nawaz and K. A. Wahid, “Design of an efficient N × N butterfly switch-
ing NETWORK (BSN) in quantum-dot cellular automata,” IEEE Transactions on
Nanotechnology, vol. 07, pp. 147–155, 2020.
2. P. D. Tougaw and C. S. Lent, “Logical devices implemented using quantum cellular
automata,” Applied Physics, vol. 75, no. 3, pp. 1818–1825, 1994.
3. B. S. Premananda, S. H. Manalogoli, and K. J. Nikhil, “Area and energy optimized
QCA based shuffle-exchange network with multicast and broadcast configuration,”
Advances in Electrical and Electronic Engineering, vol. 19, no. 4, pp. 322–332, 2021.
4. C. P. Krusk, and M. Snir, “The performance of multistage interconnection networks for
parallel processors,” IEEE Transactions on Computers, vol. 5, pp. 1091–1098, 2021.
5. E. Stergiou, E. Liarokapis, D. Glavas, E. Angelis, and C. Margariti, “Reliability study
of U-type multistage interconnection networks,” IOP Conference Series: Materials
Science and Engineering, vol. 575, pp. 01–12, 2018.
6. L. R. Coke, and G. J. Lipovski, “Banyan networks for partitioning multiprocessor sys-
tems,” Annual Symposium on Computer Architecture, vol. 15, pp. 21–28, 2020.
7. J. C. Das, and D. E. De, “Computational fidelity in reversible quantum-dot cellu-
lar automata channel routing under thermal randomness,” Nano Communication
Networks, vol. 18, pp. 17–26, 2018.
8. C. Lent, B. Isaksen, and M. Lieberman, “Molecular quantum-dot cellular automata,”
Journal of American Chemical Society, vol. 125, pp. 1056–1063, 2003.
9. K. Walus, G. A. Julien, and V. S. Dimitrov, “Computer architectures for quantum cel-
lular automata,” IEEE Asilomar Conference on Signals, Systems, and Computers, vol.
67, pp. 1435–1439, 2018.
10. S. Nadooshan, and Reza, “Introducing an optimal QCA crossbar switch for baseline
network,” Facta Universitatis—Series Electronics and Energetics, vol. 08, pp. 445–
460, 2018.
11. K. J. Nikhil, and B. S. Premananda, “Area and energy optimized QCA-based binary to
gray code converter,” Springer Lecture Notes in Networks and Systems, vol. 430, pp.
197–205, 2022.
12. P. B. Siddaiah, M. Puttaswamy, and N. Kamat, “Compact and energy efficient QCA
based hamming encoder for error detection and correction,” Advances in Electrical
and Electronic Engineering, vol. 21, no. 2, pp. 120–126, 2023.
13. B. S. Premananda, U. K. Bhargav, and Kaza Sai Vineeth, “Compact QCA-based
serial-parallel multiplier for signal processing applications,” International Journal of
Scientific and Technology Research, vol. 09, pp. 31–38, 2020.
14. B. S. Premananda, U. K. Bhargav, and Kaza Sai Vineeth, “Design and analysis of com-
pact QCA based 4-bit serial-parallel multiplier,” IEEE International Conference on
Electrical, Electronics, Communication, Computer Technologies and Optimization
Techniques, pp. 1014–1018, 2018.
Design and Analysis of Optimized Area and Energy-Efficient 119
15. S. S. Kavitha, and N. Kaulgud, “QCA design for the realization of basic logic gates,”
International Conference on Electrical, Electronics, Communication, Computer, and
Optimization Techniques, vol. 56, pp. 314–317, 2017.
16. A. N. Bahar, F. Ahmad, S. Wani, S. Al-Nisa, and G. M. Bhat, “New modified-majority
voter-based efficient QCA digital logic design,” International Journal of Electronics,
vol. 106, pp. 333–348, 2019.
17. C. Skanda, B. Srivatsa and B. S. Premananda, “Design of compact and energy effi-
cient banyan network for nano communication,” IEEE International Conference on
Distributed Computing, VLSI, Electrical Circuits and Robotics, pp. 135–140, 2021.
18. B. S. Premananda, T. N. Dhanush, and Vaishnavi S. Parashar, “Area and energy effi-
cient QCA based compact serial concatenated convolutional code encoder,” Journal of
Physics: Conference Series, vol. 2161, 012025, pp. 1–11, 2022.
19. M. A. Tehrani, F. Safaei, M. H. Moaiyeri, and K. Navi, “Design and implementa-
tion of multistage interconnection networks using quantum dot cellular automata,”
Microelectronics of Journal, vol. 42, no. 6, pp. 913–922, 2011.
20. G. L. Priya, N. Rawat, A. Sanagavarapu, M. Venkatesh, and A. Andrew Roobert,
“Hybrid silicon substrate FinFET-metal insulator metal (MIM) memristor based sense
amplifier design for the non-volatile SRAM cell,” Micromachines, vol. 14, no. 2, pp.
232, 2023.
21. A. A. Roobert, K. Praghash, S. E. Rajavel, and A. A. Bridjith, ‘Design and analy-
sis of demultiplexer based CPG for high speed shifting memory’ Proceedings of the
International Conference on Intelligent Computing and Control Systems (ICICCS
2017), IEEE Explore. DOI: 10.1109/ICCONS.2017.8250680.
22. G. Naima and S. B. Rahi, “Design and optimization of heterostructure double gate
tunneling field effect transistor for ultra low power circuit and system,” Electrical and
Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions,
pp.19–36, 2021.
23. M. Venkatesh, M. Suguna, and N.B. Balamurugan, Influence of germanium source
dual halo dual dielectric triple material surrounding gate tunnel FET for improved
Analog/RF performance,” Silicon, vol. 12, pp. 2869–2877, 2020.
24. K. Hennessy and C. S. Lent, “Clocking of molecular quantum-dot cellular automata,”
Journal of Vacuum Science & Technology, vol. 19, pp. 1752–1755, 2021.
25. M. M. Arjmand, M. Soryani, and K. Navi, “Coplanar wire crossing in quantum cellular
automata using a ternary cell,” IET Circuits, Devices, and Systems, vol. 7, no. 5, pp.
263–272, 2013.
26. S. H. Shin, J. C. Jeon, and K. Y. Yoo, “Design of wire-crossing technique based on
difference of cell state in quantum-dot cellular automata,” International Journal of
Control and Automation, vol. 7, pp. 153–164, 2019.
27. T. N. Sasamal, A. K. Singh, and A. Mohan, “Quantum-dot cellular automata based
digital logic circuits: a design perspective,” Studies in Computational Intelligence, vol.
879, pp. 149–176, 2020.
8 Advanced Operational
Amplifier with Emerging
CMOS Technology
Shiromani Balmukund Rahi,
Young Suh Song, and Jyoti Kandpal
FIGURE 8.1 The structure and operation principle of op-amp. VCC and VDD mean power
supply (usually, positive voltage). On the other hand, VEE and VSS mean additional power sup-
ply (usually, negative voltage).
TABLE 8.1
Important Characteristics for Designing OP-AMP
Type of Description
characteristic
Gain (AV) Gain explains how much an op-amp amplifies the output signal compared to the
input signal. Op-amps are engineered to provide high gain, ensuring that even
small input signals are amplified to the desired levels. Typically specified in
decibels (dB) or as a ratio, gain is pivotal in determining an op-amp’s overall
signal amplification capability.
Bandwidth (BW) Bandwidth denotes the frequency range over which an op-amp can accurately
amplify signals without distortion. It is crucial for applications requiring precise
signal processing across various frequencies. Op-amps with wider bandwidths
can handle higher frequency signals, making them suitable for tasks like audio
amplification, data transmission, and high-speed signal processing.
Linearity Linearity reflects an op-amp’s capacity to produce an output signal directly
proportional to the input signal. A linear response ensures accurate signal
amplification and faithful reproduction of the input signal waveform. Op-amps
with superior linearity characteristics minimize distortion, providing precise
signal amplification ideal for applications like audio equipment, instrumentation,
and communication systems.
Input and output Input and output impedance are essential parameters that dictate an op-amp’s
impedance interaction with external components and circuits. Input impedance refers to the
resistance presented by an op-amp’s input terminals to the incoming signal. High
input impedance minimizes the loading of the input signal source, preserving
signal integrity. Output impedance, on the other hand, pertains to the resistance
observed by an op-amp’s output terminals. Low output impedance facilitates
efficient driving of external loads, minimizing signal loss or distortion. Proper
management of input and output impedance is crucial for designing op-amp
circuits that perform optimally across various applications.
Noise Noise performance and power consumption are key considerations in op-amp
performance design and selection. Noise refers to unwanted electrical signals that can degrade
and power output signal quality. Op-amps with low noise performance mitigate the impact of
consumption noise, ensuring clean and accurate signal amplification. Power consumption,
especially in battery-powered or low-power applications, is another critical factor.
Op-amps with low power consumption help conserve energy and extend the
battery life of electronic devices. Achieving a balance between noise performance
and power consumption is vital for optimal op-amp circuit performance.
active components on the same chip, enabling the implementation of complex signal-
processing functions within the op-amp itself. This integration of passive compo-
nents such as resistors and capacitors, along with active components like transistors,
enables the realization of highly integrated op-amp solutions with improved perfor-
mance and reduced external component count.
126 Circuit Design for Modern Applications
Moreover, CMOS integration offers improved power efficiency and lower power
consumption compared to traditional op-amp technologies. CMOS transistors have
inherently low power consumption characteristics, making them well-suited for
battery-powered and low power applications. By leveraging CMOS technology,
designers can develop energy-efficient op-amp solutions that prolong battery life and
reduce overall system power consumption.
Furthermore, CMOS integration enables the implementation of advanced features
and functionalities in op-amps. With the ability to integrate digital control circuitry
alongside analog signal-processing functions, CMOS op-amps can offer features such
as programmability, adaptive biasing, and built-in self-calibration. These advanced
features enhance the flexibility and performance of op-amp circuits, allowing for
more sophisticated signal-processing tasks and adaptive system behavior.
Overall, the integration of emerging CMOS technology in operational amplifiers
provides numerous benefits, including scalability, flexibility, power efficiency, and
advanced functionality. These advantages make CMOS-integrated op-amps well-
suited for a wide range of applications in fields such as consumer electronics, tele-
communications, medical devices, and industrial automation.
Recently, various topologies for op-amps have been developed. Each has its own
advantages and disadvantages [25–27]. The detailed explanation can be found in
Table 8.2. In addition, various parameters utilized for recent op-amp design have
been explained in Table 8.3 and Table 8.4 [28, 29].
TABLE 8.2
Different Op-Amps with Different Current Mirrors [25–27]
TABLE 8.3
Parameters for Different Op-Amplifier Topologies [28, 29]
Parameters Inverter-Based Bulk Driven
Pro Ultra-Low VDD Compatibility Low VDD compatibility Wide CM
Good GBW Low Complexity
Contra Narrow CM range Low GBW
Dependence on VDD of AC characteristic
FOM 1.6 1.03
Applications Wireless application and Biomedical Application and
Energy harvesting Energy Harvesting
Architecture
(Circuit
Topologies)
Future Direction The technology scaling favors digital The bulk-driven amplifier is
for Working circuits, by improving speed and well-suited for low voltage and low
reducing dynamic power dissipation. power, too, although they require a
Moreover, the voltage supply is voltage supply larger than the
constantly reduced, making the analog inverter-based topology.
design challenging.
Future direction The rail-to-rail topologies can reach a Voltage regulator is another fundamental The NMOS only amplifier is attractive because in
for Working high voltage gain and present a very analog building block, based on an error recent technologies NMOS native transistors (i.e.,
wide common mode input range, too. amplifier and a power transistor which with extremely low threshold voltage) are available
Advanced Operational Amplifier with Emerging CMOS Technology
Moreover, they can reach a trade-off drives the loads. In low voltage and and therefore an architecture based only on NMOS
between bandwidth and power low-power applications, it presents the transistors allows for a very low voltage supply.
consumption, depending on the major challenge of achieving a good
application. dynamic response with a low quiescent
current.
129
130 Circuit Design for Modern Applications
smaller chip footprints while ensuring optimal performance across key parameters
like gain, bandwidth, and linearity. However, heightened integration often neces-
sitates trade-offs in performance, prompting designers to carefully navigate these
compromises to effectively meet design requirements.
Additionally, incorporating passive components within op-amp designs poses
supplementary challenges. Passive components such as resistors and capacitors play
pivotal roles in shaping op-amp circuit performance. However, integrating these
components alongside active components on the same chip presents compatibility
and performance hurdles. Designers must contend with issues like process varia-
tions, temperature effects, and aging phenomena that can impact the performance
and reliability of integrated passive components. This underscores the need for inno-
vative design solutions and meticulous calibration techniques.
companies, research institutions, and end-users play a pivotal role in propelling inno-
vation and addressing the evolving demands of the market.
8.8 CONCLUSION
In essence, op-amps stand as indispensable elements within electronic circuits,
offering a blend of versatility, top-notch performance, and broad applicability across
diverse industries. From enhancing audio signals and processing data to interfac-
ing with sensors, powering communication systems, and aiding in data acquisition,
op-amps serve as vital enablers for accurate signal amplification, conditioning, and
processing.
Over time, op-amps have undergone substantial evolution, marked by notable
enhancements in performance, integration, and semiconductor technology. Present-
day op-amps tout impressive features, like high-gain, expansive bandwidth, mini-
mal noise, and full rail-to-rail operation, rendering them ideal for demanding tasks
requiring precision signal processing and faithful replication of input signals.
Nevertheless, the integration of sophisticated functionalities and semiconductor
technologies into op-amp design poses its own array of hurdles and compromises.
Design engineers must navigate through challenges related to optimizing perfor-
mance, balancing integration density, managing passive component integration,
and scaling semiconductor technology to deliver op-amps that meet exacting per-
formance criteria while addressing market demands for smaller footprints, reduced
power consumption, and enhanced capabilities.
Despite these challenges, the future prospects for operational amplifiers appear
promising, buoyed by ongoing advancements in semiconductor technology, inno-
vative design approaches, and evolving market dynamics. Collaboration among
semiconductor manufacturers, research entities, and end-users is poised to play a
pivotal role in steering the course of op-amp development, ensuring these crucial
components continue to evolve in sync with the ever-changing demands of modern
electronic systems.
Acknowledgment
In this chapter, the knowledge and information shown in various previous research
have been widely and broadly utilized, and the source of information is listed as ref-
erence format (e.g., [1], [2], …). The author would like to sincerely thank the authors
who have put their sincere effort into publishing many articles and research related
to this chapter. This chapter has been written by utilizing various information, and
the sources of information can be found in references.
REFERENCES
1. K. Ishida et al., “22.5 dB open-loop gain, 31 kHz GBW pseudo-CMOS based opera-
tional amplifier with a-IGZO TFTs on a flexible film,” 2014 IEEE Asian Solid-State
Circuits Conference (A-SSCC), Kaohsiung, Taiwan, 2014, pp. 313–316, doi: 10.1109/
ASSCC.2014.7008923.
134 Circuit Design for Modern Applications
2. X. Jin and J. He, “Design and analysis of two-stage CMOS operational amplifier for
fluorescence signal processing,” 2020 7th International Conference on Information
Science and Control Engineering (ICISCE), Changsha, China, 2020, pp. 2345–2348,
doi: 10.1109/ICISCE50968.2020.00460.
3. L. Xie, “Two-stage operational amplifier with Class A and B output stage,” 2021
3rd International Academic Exchange Conference on Science and Technology
Innovation (IAECST), Guangzhou, China, 2021, pp. 260–263, doi: 10.1109/
IAECST54258.2021.9695771.
4. H. Wang, Z. Qiao, Y. Xu and G. Zhang, “Design procedure for a folded-cascode and
class AB two-stage CMOS operational amplifier,” 2019 IEEE International Conference
of Intelligent Applied Systems on Engineering (ICIASE), Fuzhou, China, 2019, pp. 40–
43, doi: 10.1109/ICIASE45644.2019.9074153.
5. H. Kim et al., “Chopper-stabilized low-noise multipath operational amplifier with dual
ripple rejection loops,” IEEE Transactions on Circuits and Systems II: Express Briefs,
vol. 67, no. 11, pp. 2427–2431, Nov. 2020, doi: 10.1109/TCSII.2020.2977664.
6. S. R. Madabhushanam, L. Malladi and S. R. Gudepu, “Design high gain dual stage
operational amplifier using CMOS 45nm technology,” 2020 Fourth International
Conference on I-SMAC (IoT in Social, Mobile, Analytics and Cloud) (I-SMAC),
Palladam, India, 2020, pp. 1184–1188, doi: 10.1109/I-SMAC49090.2020.9243590.
7. T. Oyama, A. Hiroki and T. Sano, “Macromodeling of operational amplifiers for sound
effect circuit design,” 2014 IEEE International Meeting for Future of Electron Devices,
Kansai (IMFEDK), Kyoto, Japan, 2014, pp. 1–2, doi: 10.1109/IMFEDK.2014.6867058.
8. D. Tripathy and P. Bhadra, “A high speed two stage operational amplifier with high
CMRR,” 2018 3rd IEEE International Conference on Recent Trends in Electronics,
Information & Communication Technology (RTEICT), Bangalore, India, 2018, pp.
255–259, doi: 10.1109/RTEICT42901.2018.9012268.
9. P. Li, Q. Wei and L. Luo, “Slew-rate enhancement circuit of CLASS AB operational
transconductance amplifier (OTA) by auxiliary circuit,” 2022 IEEE 4th International
Conference on Circuits and Systems (ICCS), Chengdu, China, 2022, pp. 1–5, doi:
10.1109/ICCS56666.2022.9936249.
10. N. N. Prokopenko, I. V. Pakhomov, A. V. Bugakova and N. V. Butyrlagin, “Zero level
of BiJFet-differential difference operational amplifiers and methods of its decrease in
conditions of low temperatures and radiation effect,” 2016 International Conference
on Signals and Electronic Systems (ICSES), Krakow, Poland, 2016, pp. 131–134, doi:
10.1109/ICSES.2016.7593836.
11. F. Esparza-Alfaro, A. J. Lopez-Martin, G. Palumbo and S. Permisi, “CMOS class-AB
tunable voltage-feedback current operational amplifier,” 2014 21st IEEE International
Conference on Electronics, Circuits and Systems (ICECS), Marseille, France, 2014, pp.
140–143, doi: 10.1109/ICECS.2014.7049941.
12. A. Soltani, M. Yaghmaie, B. Razeghi, R. Pourandoost, S. I. Tous and A. Golmakani,
“Three stage low noise operational amplifier design for a 0.18 um CMOS process,”
2012 9th International Conference on Electrical Engineering, Computing Science
and Automatic Control (CCE), Mexico City, Mexico, 2012, pp. 1–4, doi: 10.1109/
ICEEE.2012.6421117.
13. G. Ogihara et al., “Summing node test method: Simultaneous multiple AC characteris-
tics testing of multiple operational amplifiers,” 2020 IEEE 29th Asian Test Symposium
(ATS), Penang, Malaysia, 2020, pp. 1–6, doi: 10.1109/ATS49688.2020.9301550.
14. S. Z. Ali, A. De Luca, R. Hopper, S. Boual, J. Gardner and F. Udrea, “A low-power,
low-cost infra-red emitter in CMOS technology,” IEEE Sensors Journal, vol. 15, no.
12, pp. 6775–6782, Dec. 2015, doi: 10.1109/JSEN.2015.2464693.
Advanced Operational Amplifier with Emerging CMOS Technology 135
9.1 INTRODUCTION
Innovative solutions are constantly being developed to improve patient care and
diagnostic accuracy, as the rapid evolution of technology has had a significant impact
on a variety of sectors, including healthcare. In the field of urology, the accurate
measurement of urine flow is crucial for the diagnosis and treatment of a variety of
urinary disorders. Traditional methods, on the other hand, frequently fail to meet
expectations due to their patient discomfort and burdensome nature, resulting in
reduced compliance and inaccuracies.
The current chapter, “Circuit Design for Accurate Digitized Urine Weight
Measurement”, is the first stage in the development of a comprehensive system-on-
chip (SoC) solution that is designed to address these challenges. This work establishes
the groundwork for a connected, accurate, and non-intrusive urine flow measure-
ment system by capitalizing on the symbiosis between a load cell, the HX711 preci-
sion analog-to-digital converter, and the ESP8266 microcontroller.
The load cell functions as a transducer, converting mechanical force into electri-
cal signals that are subsequently amplified and digitized by the HX711 with high
precision and low noise. The ESP8266 microcontroller is renowned for its cost-effec-
tiveness and versatility, as it manages data acquisition, processing, and communi-
cation. This ensures reliable data transmission over Wi-Fi networks and seamless
integration. This design prioritizes patient comfort and simplicity of use in addition
to enhancing the accuracy and reliability of urine weight measurements.
Our objective is to create a system that is user-friendly, scalable, and robust, and
that can be seamlessly implemented into a variety of medical applications. This
system will facilitate real-time monitoring and remote access to critical data. This
preface emphasizes the significance of precise urine flow measurement in urology
and the potential impact of advanced technological solutions on the enhancement of
patient care, as well as the motivation and voyage that led to the research.
We aspire for this work to serve as a catalyst for additional advancements and
innovations in healthcare technology, thereby facilitating the development of more
sophisticated and integrated solutions that improve both patient experiences and
diagnostic capabilities.
Healthcare is constantly evolving, driven by technological advancements that
strive to enhance diagnostics, monitoring, and patient care. In the realm of urology,
the precise measurement of urine flow plays a pivotal role in understanding and
diagnosing various urinary disorders [1]. Traditional methods of urine flow measure-
ment often involve cumbersome and intrusive devices, leading to discomfort and
potential inaccuracies. In this context, the integration of a load cell weight sensor
[2], specifically the HX711 ADC, with the Node MCU ESP8266 microcontroller
emerges as a groundbreaking solution, promising non-intrusive, accurate, and con-
nected urine flow measurements [3]. Monitoring urine flow is a crucial aspect of
urological assessments and is employed in diagnosing conditions such as urinary
obstruction, prostate disorders, and overactive bladder.
To develop an IoT [4] enabled system that continuously monitors the urine volume
collected via the urine collection system and an IoT [5] urine scale to measure fluid
volume collection over a wide-ranging frequency of time, from seconds to hours
depending on the user’s preference, and transmits the data directly to the physi-
cian or other healthcare provider [6]. This comprehensive circuit design for accurate
digitized urine weight measurement aims to detect the continuous urine output mea-
surement using an IoT [7] based system for hospitalized patients who are bedridden,
patients undergone spinal cord surgery, old people and in Intensive Care Units (ICU)
which can be used for detection and prevention of urine related disease.
Urine output and the characteristics of urine can provide valuable information
for diagnosing and monitoring various medical conditions [8]. It can be a significant
component of a broader diagnostic process. Healthcare professionals use urine anal-
ysis as one of the tools to aid in diagnosing and monitoring these and other medical
conditions [9]. Accurate measurements aid healthcare professionals in understanding
the dynamics of the urinary system, allowing for timely interventions and personal-
ized treatment plans. The conventional methods of urine flow measurement [10],
often involving uroflowmetry devices with mechanical components, present limita-
tions in terms of accuracy, patient comfort, and data accessibility [11].
The intersection of IoT technology with healthcare [12] has opened up avenues
for innovative solutions that prioritize patient comfort, real-time data access, and
remote monitoring. In the context of urine flow measurement, the integration of a
load cell weight sensor [13] with a microcontroller becomes a promising approach
to address the shortcomings of traditional methods. By leveraging the power of the
HX711 ADC [14], weight sensor and the NodeMCU ESP8266 [15], healthcare pro-
fessionals can obtain accurate and real-time urine flow data, enabling more informed
decision-making.
The effectiveness and compactness of the disclosed Internet of Things-enabled
urine flow monitoring system would be greatly improved by turning it into a system-
on-chip. A single chip that combines the functions of the load-cell weight sensor,
HX711 ADC, and NodeMCU ESP8266 microcontroller would simplify the physical
footprint of the system and improve its dependability while consuming less power.
By streamlining the manufacturing process, improving data processing rates, and
Circuit Design for Accurate Digitized Urine Weight Measurement 139
facilitating a smooth integration into a variety of medical devices, this SoC solu-
tion would provide patients with more comfort and convenience when it comes to
real-time, precise urine flow measurements. These developments have the potential
to transform continuous patient monitoring and urological diagnostics, providing
healthcare professionals with a scalable and affordable option.
9.2 PROBLEM FORMULATION
Urine output refers to the volume of urine that an individual’s body produces and
excretes from the bladder within a specific period, usually measured in milliliters
(ml) or liters (L) per unit of time (e.g., milliliters per hour or liters per day). It is a
critical measure of kidney function and overall health [16]. Urine output is an essen-
tial parameter in medical and clinical settings, and it is used to assess various aspects
of a person’s health, including hydration status, kidney function, and the effects of
medical treatments [17].
Normal urine output can vary depending on factors such as age, sex, body size,
and individual health, but in adults, a typical range is about 800 to 2000 millili-
ters (mL) of urine produced per day [18]. This output may be distributed through-
out the day and night, with more urine produced during the day and less at night.
Measuring urine output is vital in various clinical situations [19]. In healthcare set-
tings, monitoring urine output is essential for maintaining a patient’s fluid balance.
In the postoperative period, monitoring urine output is important to detect early
signs of complications, such as inadequate organ perfusion or bleeding [20]. In ICUs,
continuous urine measurement is vital for assessing a patient’s overall health and
ensuring that they are receiving appropriate fluid and medication management [21].
The measurement of urine output provides valuable information that helps health-
care professionals make informed decisions regarding patient care and treatment.
In critical care and intensive care settings, continuous urine output monitoring is
vital for assessing AKI (acute kidney injury) and managing patients with severe ill-
nesses, trauma, or surgeries [22]. It helps ensure that patients receive the appropriate
fluid and medication management. Certain medications and treatments can affect
urine output and composition [23]. Monitoring urine output helps assess the effects
and potential side effects of postoperative treatments. For postoperative patients,
monitoring urine output is essential to detect early signs of complications such as
inadequate organ perfusion, bleeding, AKI, or sepsis [24]. Accurate urine output
measurement is essential in clinical research and clinical trials, where precise data
collection is necessary to study the effects of new medications or interventions on
renal function and urinary biomarkers [25].
Continuous urine measurement can provide individualized data that allows
healthcare professionals to tailor treatment plans and interventions based on the
patient’s specific needs and responses. Overall, measuring urine output is a fun-
damental and routine part of patient care in healthcare settings [26]. In order to
estimate the volume of urine collected, nurses typically measure the pee by hand
by looking at the gradation lines on the urine collecting bag. Urine is collected in a
bag using the Foley catheter, which is placed into the patient’s bladder through the
140 Circuit Design for Modern Applications
urethra. The urine output is measured visually and computed as milliliters per hour
(mL/h). This is labor-intensive, and it creates the need for developing urine output
measurements [27].
TABLE 9.1
Specification Table
S.NO. COMPONENTS POWER SUPPLY QUANTITY
1. LOAD CELL (5KG) 5V 1
2. HX711 ADC 5V 1
3. NODE MCU ESP8266 3.3–5 V 1
4. PCB 5V 1
to HX711 and finally the output was viewed in Blynk IoT connected with NodeMCU
ESP8266 via Wi-Fi to show the real-time urine output.)
9.3.1 Load Cell
A metal bar with strain gauges connected is what makes up strain gauge load cells
(see the strain gauges behind the white glue in the top picture). An electrical sensor
known as a strain gauge converts an applied force or load into an electrical signal by
measuring the strain or force on an item. Load cells are known for their exceptional
accuracy and precision. High-sensitivity load cells can measure forces that are both
extremely small and huge. When an external force is applied to an object, the object’s
(in this case, the metal bar) shape deforms, causing variations in the strain gauges’
resistance. Because the resistance changes in direct proportion to the applied stress,
we can determine an object’s weight. Table 9.2 shows the load cell’s four colors of
wires and their indications are given.
9.3.2 HX711 ADC
The HX711 is a precise 24-bit analog-to-digital converter (ADC) designed for direct
interaction with bridge sensors in industrial control applications and weighing
scales. It features a low noise programmable gain amplifier (PGA) that receives a
differential input from the input multiplexer, allowing selection between Channel
A or B. When a 5-V supply is connected to the AVDD analog power supply pin,
Channel A can be configured with gains of 128 or 64, corresponding to full-scale
differential input voltages of ± 20 mV or ± 40 mV, respectively. Channel B has a
fixed gain of 32. In the absence of an external supply regulator, the HX711 can be
powered through the on-chip power supply regulator, simplifying the setup. Clock
input options include the on-chip oscillator, an external clock source, or a crystal.
Digital interface initialization is streamlined thanks to on-chip power-on-reset cir-
cuitry, eliminating the need for manual programming of internal registers.
Each HX711 control is facilitated through dedicated pins. Notable features of the
ADC include an on-chip active low-noise PGA with configurable gains (32, 64, and
128), two selectable differential input channels, an on-chip power supply regulator
TABLE 9.2
Load Cell Wire and Their Indication
Load Cell connection
indicating with wire color Indication
Red VCC (E+)
Black GND (E–)
White OUTPUT– (A–)
Green OUTPUT+ (A+)
142 Circuit Design for Modern Applications
for both the load cell and ADC’s analog power supply, and an on-chip oscillator with
optional external crystal. The digital control and serial interface are pin-driven, and
users can choose between output data rates of 80 or 10 bps. The ADC also provides
simultaneous 50 and 60 Hz supply rejection. The HX711 boasts low current con-
sumption during regular operation (< 1.5 mA) and power-down mode (< 1uA). The
operating supply voltage ranges from 2.6 V to 5.5 V, and the operational temperature
spans from –40°C to +85°C. Encased in a 16-pin SOP-16 package, the HX711 finds
widespread application in industrial process control and weighing scales. Figure 9.2
will show the illustration of a standard weighing scale’s application block diagram.
Options for Power Supplies: The MCU power supply and the digital power supply
(DVDD) ought to be the same. The external transistor that is employed determines
the regulator’s dropout voltage when employing an internal analog supply regulator.
The formula for the output voltage is VAVDD = VBG*(R1+R2)/ R1. A minimum of
100 mV below the VSUP value should be included in the design of this voltage. The
Circuit Design for Accurate Digitized Urine Weight Measurement 143
VSUP pin should be connected to either AVDD or DVDD, depending on whether the
voltage is higher, if the on-chip analog supply regulator is not being used. Pin BASE
turns NC and Pin VFB should be linked to Ground.
Clock Source Options: The on-chip oscillator can be turned on by connecting pin
XI to the Ground. When utilizing the internal oscillator, the nominal output data rate
is either 10 (RATE = 0) or 80 SPS (RATE = 1). The use of a crystal or external refer-
ence clock is an option if a precise output data rate is required. The XI and XO pins
can be directly linked to a crystal. Through the use of a 20 pF AC coupled capacitor,
the XI pin can be connected to an external clock. It’s not necessary for this external
clock to be a square wave. It can have an amplitude as low as 150 mV and originate
straight from the MCU chip’s crystal output pin. Upon utilizing an external clock or
a crystal, the internal oscillator shuts down automatically.
Output Data Rate and Format: The output data rate with the on-chip oscillator
is usually 10 (RATE = 0) or 80 SPS (RATE = 1). The output data rate is exactly
proportional to the clock or crystal frequency when an external clock or crystal is
used. The output data rate is precisely 10 (RATE = 0) or 80 SPS (RATE = 1) when
using an 11.0592 MHz clock or crystal. 24 bits of data are output in the format of 2’s
complement. The output data will become saturated at 800,000h (MIN) or 7FFFFFh
(MAX) if the input differential signal leaves the 24-bit range and stays there until it
returns to the input range.
Serial Interface: Power-down controls, input selection, gain selection, and data
retrieval are all done via pins PD_SCK and DOUT. Digital output pin DOUT is
high when the output data is not yet ready to be retrieved. PD_SCK, the serial clock
input, ought to be low. Data is ready for retrieval when DOUT drops to zero. Data is
shifted out of the DOUT output pin by supplying 25–27 positive clock pulses at the
PD_SCK pin. Up until all 24 bits are shifted out, each PD_SCK pulse moves one bit
out, beginning with the MSB bit. The DOUT pin will return to high upon the 25th
pulse at the PD_SCK input. The number of PD_SCK pulses in the input determines
the input and gain selection. To prevent producing a serial communication fault, the
number of PD_SCK clock pulses in a conversion period should not be fewer than 25
or greater than 27.
Reset and Power-Down: Upon activation, the chip will be reset by the on-chip
power-on reset circuitry. The input value is low. HX711 goes into power-down mode
when the PD_SCK pin goes from low to high and remains there for more than 55 µs.
Figure 9.3 shows the Power-down control. Both the HX711 and the external trans-
ducer will shut off when the internal regulator is utilized for both. The chip will reset
and go into a regular operating state when PD_SCK goes back to low. The input
option defaults to Channel A with a gain of 128 following a reset or power-down
event.
It is used to interface with load cells to measure urine output weight and convert
the analog signal into a digital format that can be read by a microcontroller unit. The
144 Circuit Design for Modern Applications
HX711 used is a small dual in-line package (DIP) or surface mount device (SMD)
package. HX711’s “HX” stands for “Half of X”, indicating that it offers half of the
24-bit resolution—still a very high resolution for a urine output measurement. The
standard pinouts in the HX711 used for urine output measurement are: A– (negative
differential input): Connects A– pin of HX711 to the white wire (output–) of the load
cell. A+ (positive differential input): Connects the A+ pin of HX711 to the green wire
(output+) of the load cell. E+ (excitation positive): Connects the positive excitation
voltage of HX711 to the red wire (VCC) of the load cells. E– (excitation negative):
Connects the negative excitation voltage of HX711 to the black wire (GND) of the
load cells. VCC (power supply): VCC of HX711 is connected to the positive supply
voltage (3.3 V) of NODE MCU ESP8266. It powers the HX711 chip. GND (ground):
GND of HX711 is connected to the ground of ESP NODE MCU8266. DT (DATA):
The serial data output. DT of HX711 is connected to a digital input (GPIO11) on the
NODE MCU ESP8266 to transmit the converted digital data. SCK (serial clock):
The serial clock input. SCK of HX711 is connected to a digital input (GPIO12) on
the ESP NODE MCU8266 to receive clock pulses from the NodeMCU ESP8266 to
synchronize data transmission.
Figure 9.3 illustrates the power-down control of HX711, when the input value is
low HX711 goes into power-down mode. When the PD_SCK pin goes from low to
high and remains there for more than 55 µs. The chip will reset and go into a regular
operating state when PD_SCK goes back to low.
9.3.4 Node MCU
The GPIO12, GPIO13, GND, and VCC (power supply) pins on the Node MCU
development board are commonly used for interfacing with external devices and
components. The features of GPIO12 (general purpose input/output 12) and GPIO13
(general purpose input/output 13) are it is a digital output pin, supports digital sig-
nals (HIGH/LOW), it is used for interfacing with digital devices (HX711 and mobile
phone). The GND (ground) pin is for reference or 0 V. Serves as the common ground
for the entire circuit. All components in the circuit are in a common ground to ensure
proper reference levels and stable operation. VCC (Voltage Supply) is the power sup-
ply pin. Provides power to the whole circuit. It also ensures that the voltage supplied
is within the specified operating range to prevent damage to the board.
Circuit Design for Accurate Digitized Urine Weight Measurement 145
TABLE 9.3
Connections with the Terminals of All the Three Components
LOAD CELL WIRE COLOR HX711 (indicates) HX711 (refers to) ESP2866
RED (E+) E+ GND GND
BLACK (E–) E– DT D6
WHITE (A–) A– SCK D7
GREEN (A+) A+ VCC 5V
9.4.5 Methodology
Prepare the wooden stand which will hold the load cell in place. As the urine bag is
to be hung the length of the stand must be respective to the urine bag length. Solder
the connections between the load cell and HX711 (as given in the design). After this
step connect the HX711 with the NODE MCU ESP8266 (as given in the design). In
Table 9.3 below connections with the terminals of all three components are given.
After the connection and the soldering are done, in ARDUINO IDE the calibra-
tion will be done with a known weight value. Then the load cell has to be calibrated
with a calibration factor formula, calibration factor = (reading)/(known weight) then
the code has to be dumped into the NODE MCU ESP8266. After calibration, the
NODE MCU ESP8266 has to be connected with Blynk via Wi-Fi, and then the real-
time urine output measurement can be displayed on the connected device.
TABLE 9.4
Existing Device for Urine Output Measurement
Sensica Urine Accuryn
EXISTING Renal Sense Clarity Output Monitoring
DEVICE RMS Sensor Kit [28] System [29] System [30]
RESULT Provides accurate real-time urine Provide urine Provide urine
output measurement and temperature output data and output and
measurement. alerts when intra-abdominal
AKI is detected pressure.
DISCUSSION Uses a patented sensor that costs 5000 Recalled due to Was approved and
USD, and 85 USD for each inaccuracies being used only in
single-use specialized temperature- Israel, not in the
sensing urine collection bag. Thermal United States.
transfer is the foundation of the
technology. Most hospitals cannot
afford this equipment, thus only a
small number of hospitals use it.
Figure 9.4 illustrates the real-time output obtained from the designed urine out-
put measurement system using HX711 ADC using a 5 kg load cell and NodeMCU
ESP8266.
Table 9.4 provides an explanation of existing devices for urine output measure-
ment. The history of urine output measurement reflects the ongoing development of
medical knowledge and technology. This makes a need to develop a real-time, reli-
able, accurate, and cost-effective urine output system.
Errors in measurement can occur in load cells. The essentially mathematical dif-
ference between the value represented by the sensor output and the actual value of
the measured variable, or known reference loads, is what constitutes these structural
uncertainties. Numerous things can lead to measurement inaccuracies, including:
The load cell has a “calibration curve” or “characteristic curve” that describes how
the sensor reacts to an input. Figure 9.5 shows the calibration curve is non-linear.
By comparing the sensor output under reference weights and modifying the sensor
response to an ideal linear output, we may verify the sensor’s zero offset and linear-
ity via a routine calibration utilizing the load cell calibration equipment. In addition,
the load cell calibration apparatus verifies temperature shift, repeatability, and hys-
teresis upon client request for certain vital force measuring uses.
Circuit Design for Accurate Digitized Urine Weight Measurement 147
A yearly recalibration is needed for strain gauge load cells due to their exposure
to continuous usage, aging, output drift, overload, and incorrect handling. Regular
recalibration aids in determining whether the sensor has retained its accuracy over
time. However, load cells may need even more frequent calibrations when the sensor
is utilized in severe environments and important applications.
In HX711 ADC the E+ and E– pins are used to provide a stable excitation volt-
age to the load cells, and the A– and A+ pins are used to connect the load cells in a
differential configuration. The DT and SCK pins handle the digital communication
between the HX711 and the microcontroller. Proper wiring and configuration are
essential for accurate weight measurements.
The HX711 offers high resolution, allowing for precise urine output measure-
ments. It incorporates a low-noise PGA to amplify weak input signals from load
cells without introducing significant noise. The HX711 two differential inputs A–
and A+, help cancel out common-mode noise from the external environment. The
device includes an on-chip oscillator and digital filter to improve the stability and
accuracy of the ADC readings. HX711 communicates with NODE MCU ESP8266
using a two-wire serial interface (clock and data lines). The communication protocol
is simple and well-documented.
9.7 CONCLUSION
Measuring urine output using a load cell, HX711 ADC, and Node MCU offers a
promising method for accurate and continuous monitoring in medical and research
settings. The load cell, typically used for weight measurement, can be adapted to
measure the changing weight of a urine collection container. By integrating the load
cell with the HX711 ADC, the analog weight data is converted to a digital signal
suitable for processing by the Node MCU, a microcontroller capable of interfacing
with various sensors and transmitting data wirelessly. This setup allows real-time
monitoring of urine output, which is crucial in critical care environments for assess-
ing kidney function, fluid balance, and overall health status. The Node MCU can be
programmed to send data to a centralized monitoring system or display it locally,
enabling healthcare professionals to make timely interventions based on accurate
urine output measurements. This approach is more convenient and potentially more
accurate than traditional methods relying on manual measurements or visual estima-
tion. In summary, leveraging load cell technology with IoT capabilities through the
HX711 ADC and Node MCU holds significant promise for advancing urine output
148 Circuit Design for Modern Applications
measurement and enhancing patient care in healthcare settings. When this system is
converted into an SoC, it will be comfortable and convenient for the patients.
REFERENCES
1. X. Mao, S. Xu, S. Zhang, X. Ye and B. Liang, “An Integrated Flexible Multi-Sensing
Device for Daily Urine Analysis at Home,” 2021 IEEE Sensors, Sydney, Australia,
2021, pp. 1–4, doi: 10.1109/SENSORS47087.2021.9639836.
2. V. Kamble, V. Shinde, J. Kittur, Research Scholar and Professor, “Overview of Load
Cells,” 2021.
3. J. Mesquita, D. Guimarães, C. Pereira, F. Santos and L. Almeida, “Assessing the
ESP8266 WiFi Module for the Internet of Things,” 2018 IEEE 23rd International
Conference on Emerging Technologies and Factory Automation (ETFA), Turin, Italy,
2018, pp. 784–791, doi: 10.1109/ETFA.2018.8502562.
4. S. Tozlu, M. Senel, W. Mao and A. Keshavarzian, “Wi-Fi Enabled Sensors for Internet
of Things: A Practical Approach,” IEEE Communications Magazine, vol. 50, no. 6, pp.
134–143, June 2012, doi: 10.1109/MCOM.2012.6211498.
5. V. T. K. Gannavaram, U. M. Kandhikonda, R. Bejgam, S. B. Keshipeddi and S.
Sunkari, “A Brief Review on Internet of Things (IoT),” 2021 International Conference
on Computer Communication and Informatics (ICCCI), Coimbatore, India, 2021, pp.
1–6, doi: 10.1109/ICCCI50826.2021.9451163.
6. A. Lee, M. Lee and H.-J.J. Yeh, “An IoT-Based Automatic and Continuous Urine
Measurement System,” BioMedInformatics, vol. 3, pp. 446–454, 2023, https://doi.org
/10.3390/ biomedinformatics3020030.
7. S. Madakam, R. Ramaswamy and S. Tripathi, “Internet of Things (IoT): A Literature
Review,” Journal of Computer and Communications, vol. 3, pp. 164–173, 2005,
10.4236/jcc.2015.35021.
8. A. Goldman, H. Azran, H. Stern, M. Grinstein and D. Wilner, “A Novel Electronic
Device for Measuring Urine Flow Rate: A Clinical Investigation,” Clinical Medicine
Insights. Trauma and Intensive Medicine, vol. 8, p. 1179560317730032, 2017.
9. C. Rose, A. Parker, B. Jefferson and E. Cartmell, “The Characterization of Feces and
Urine: A Review of the Literature to Inform Advanced Treatment Technology,” Critical
Reviews in Environmental Science and Technology, vol. 45, no. 17, pp. 1827–1879,
2015, doi: 10.1080/10643389.2014.1000761.
10. M. Hersch, S. Einav and G. Izbicki, “Accuracy and Ease of Use of a Novel Electronic
Urine Output Monitoring Device Compared with Standard Manual Urinometer in the
Intensive Care Unit,” Journal of Critical Care, vol. 24, p. 629.e13-7, 2019, doi: 10.1016/j.
jcrc.2008.12.008.
11. R. Arshad, H. Yu and S. McLaughlin, “Integrated Systems for Urine Flow Measurement:
A Critical Review,” 2017 23rd International Conference on Automation and Computing
(ICAC), Huddersfield, UK, 2017, pp. 1–6, doi: 10.23919/IConAC.2017.8082014.
12. M. B. Blake, “An Internet of Things for Healthcare,” IEEE Internet Computing, vol. 19,
no. 4, pp. 4–6, July–Aug. 2015, doi: 10.1109/MIC.2015.89.
13. I. Muller, R. M. de Brito, C. E. Pereira and V. Brusamarello, “Load Cells in Force Sensing
Analysis — Theory and a Novel Application,” IEEE Instrumentation & Measurement
Magazine, vol. 13, no. 1, pp. 15–19, February 2010, doi: 10.1109/MIM.2010.5399212.
14. M. Snelgrove, “ADC Architectures and Technology,” IEEE Compound Semiconductor
Integrated Circuit Symposium, 2004., Monterey, CA, USA, 2004, pp. 27–30, doi:
10.1109/CSICS.2004.1392476.
Circuit Design for Accurate Digitized Urine Weight Measurement 149
15. Y. S. Parihar, “Internet of Things and Nodemcu: A Review of Use of Nodemcu ESP8266
in IoT Products,” Journal of Emerging Technologies and Innovative Research (JETIR),
vol. 6, no.6, pp. 1085–1088, 2019.
16. D. Kasugai, T. Nakashima and T. Goto, “Clinical Implications of Urine Output-Based
Sepsis-Associated Acute Kidney Injury,” Intensive Care Medicine, vol. 49, no. 10, pp.
1263–1265, 2023.
17. L. A. Stevens and A. S. Levey, “Measurement of Kidney Function,” Medical Clinics,
vol. 89, no. 3, pp. 457–473, 2005.
18. E. Macedo. “Urine Output Assessment as a Clinical Quality Measure,” Nephron, vol.
131, no. 4, pp. 252–254, 2016.
19. S. L. Goldstein, “Urine Output Assessment in Acute Kidney Injury: The Cheapest and
Most Impactful Biomarker,” Frontiers in Pediatrics, vol. 7, p. 565, 2020.
20. A. Panagiotou, F. Garzotto, S. Gramaticopolo, P. Piccinni, C. Trentin, D. N. Cruz, and
C. Ronco, “Continuous Real-Time Urine Output Monitoring for Early Detection of
Acute Kidney Injury,” Hemodialysis, vol. 171, pp. 194–200, 2011.
21. A. W. Solomon, C. J. Kirwan, N. D. E. Alexander, K. Nimako, A. Jurukov, R. J. Forth,
and T. M. Rahman, “Urine Output on an Intensive Care Unit: Case-Control Study,”
BMJ, p. 341, 2010.
22. A. Zuk and J. V. Bonventre, “Acute Kidney Injury,” Annual Review of Medicine, vol. 67,
pp. 293–307, 2016.
23. D. R. Finco, “Kidney Function,” in Clinical Biochemistry of Domestic Animals (Fifth
Edition), Kaneko, J. J., Harvey, J. W, Bruss., M. L. (editors),pp. 441–484. Academic
Press: San Francisco, CA, 1997.
24. R. Bellomo, J. A. Kellum, and C. Ronco, “Acute Kidney Injury,” The Lancet, vol. 380,
no. 9843, pp. 756–766, 2012.
25. W. K. Han, S. S. Waikar, A. Johnson, R. A. Betensky, C. L. Dent, P. Devarajan and J.
V. Bonventre, “Urinary Biomarkers in the Early Diagnosis of Acute Kidney Injury,”
Kidney International, vol. 73, no. 7, 863–869, 2008.
26. K. Jin, R. Murugan, F. E. Sileanu, E. Foldes, P. Priyanka, G. Clermont and J. A. Kellum,
“Intensive Monitoring of Urine Output Is Associated with Increased Detection of Acute
Kidney Injury and Improved Outcomes,” Chest, vol. 152, no. 5, 972–979, 2017.
27. J. Minor, A. Smith, F. Deutsch and J. A. Kellum, “Automated versus Manual Urine
Output Monitoring in the Intensive Care Unit,” Scientific Reports, vol. 11, no. 1, p.
17429, 2021.
28. Clarity RMS Critical Care Monitoring System—RenalSense.
29. Sensica™ Urine Output System—SCCS1002 | BD.
30. Accuryn® Monitoring System—Accuryn.
31. A. R. Rajakumar, “Area and Power Optimized Hardware Design of Bi-Phase Space
Coding Technique / Phase Coding Technique for Wireless Sensor Applications,” Asian
Journal of Information Technology, vol. 15, no. 19, pp. 3657–3663, 2016, doi: 10.36478/
ajit.2016.3657.3663.
32. M. Venkatesh, P. Parthasarathy and U. Arun Kumar, “Surface Potential Analysis of
Dual Material Gate Silicon-Based Ferroelectric TFET for Biosensing Application,”
ECS Journal of Solid State Science and Technology, vol. 13, p. 017001, 2024.
10 Low-Power Energy-
Efficient CNTFET-Based
Quaternary Multipliers
Sarada Musala, G. V. S. Ajay, and Neelima N.
10.1 INTRODUCTION
Binary logic systems, which operate with only two discrete values, 0 and 1, have
historically dominated the landscape of digital electronics and circuit design.
Nevertheless, the constraints of binary logic become increasingly apparent as
technology continues to develop and the demand for more intricate, efficient, and
compact electronic systems increases. Multiple-valued logic (MVL) is a promising
alternative that addresses critical issues such as chip area reduction and connectiv-
ity concerns by providing more than two discrete states. The utilization of Carbon
Nanotube Field-Effect Transistors (CNTFETs) in this context is a revolutionary
approach that capitalizes on their distinctive properties to improve the performance
and efficiency of MVL circuits.
This research explores the creation of an energy-efficient, low-power quaternary
multiplier that employs CNTFET technology. The transistor count is minimized by
designing the quaternary multiplier, a fundamental component in the construction
of quaternary arithmetic and logic circuits, using multiple quaternary multiplexers.
The circuit’s performance metrics, such as power dissipation, propagation delay, and
power-delay product (PDP), are optimized by this innovative approach, which also
reduces its complexity.
The importance of this research is its potential to revolutionize the design and
implementation of digital circuits, particularly in applications where power effi-
ciency and speed are critical. The proposed designs provide a viable solution to the
challenges encountered by traditional binary systems by utilizing CNTFETs and
quaternary logic.
The proposed quaternary multipliers and the 2 × 4 multiplier exhibit superior per-
formance in simulations conducted using Cadence Spectre with a 32-nm CNTFET
technology. The design’s efficacy is confirmed by the substantial improvements in
power consumption, latency, and PDP across various supply voltages.
This previous section establishes the groundwork for a thorough examination
of the empirical validations, design methodologies, and theoretical foundations of
10.1.2 Advantages of MVL
The use of multi-valued logic provides us with many opportunities to improve the
design of present-day circuits. When signals in the circuit may assume more than
two states instead of only two, there could be a substantial reduction in difficult
situations of a limited number of connections between an integrated circuit and the
external world and in a limited number of connections within the circuit encoun-
tered in some VLSI circuit synthesis. In addition, using multiple-valued numbers as
a representation for many applications has a clear mathematical appeal. In normal
binary addition and subtraction, ripple-through carriers are involved. Using residue
and redundant number systems, it is possible to reduce or eliminate these carries,
thus resulting in high-speed computations.
10.1.3 Types of MVL
There are three groups in multi-valued logic: ternary, quaternary, and pentanary,
each with three, four, and five states [2]; “0”, “1”, and “2” are the three states in
ternary logic. Quaternary logic consists of “0”, “1”, “2”, and “3” states, whereas the
pentanary logic consists of the following states “0”, “1”, “2”, “3”, and “4”. The major-
ity of this study is conducted in the ternary field,. When designing microprocessors,
the quaternary logic between the highest and lowest levels in the MVL circuits can
be thought of as a good choice. Ternary, quaternary, and pentanary circuits make use
of ternary, quaternary, and pentanary logics, respectively.
152 Circuit Design for Modern Applications
10.1.5 Quaternary Logic
As was previously mentioned, four values – 0, 1/3 VDD, 2/3 VDD, and VDD volt-
age levels – are represented by the digits “0”, “1”, “2”, and “3” in quaternary logic
functions [8, 10, 11]. The work utilized three quaternary inverters: the intermediate
quaternary inverter (IQI), the negative quaternary inverter (NQI), and the positive
quaternary inverter (PQI) [1, 3]. Table 10.1 shows the truth table for these inverters.
The circuit diagrams [8] of NQI, IQI, and PQI inverters are shown in Figures 10.1,
10.2, and 10.3.
TABLE 10.1
Truth Table of Quaternary Inverters (Rahmati et al., 2021)
Input NQI out IQI out PQI Out
0 3 3 3
1 0 3 3
2 0 0 3
3 0 0 0
10.1.6 CNTFET
A pipe composed of one-atom-thick graphene tubes is called a carbon nanotube
(CNT). Based on their rotating axis, the nanotube plates can be classified as semi-
conductive or conducting. The chiral vector is defined by C = ma1 + na2 where m and
n are integers and a1 and a2 are the unit vectors of the hexagonal lattice [4–6]. The
pair of integers (m, n) determines the structure and electrical properties of a CNT
which can be termed as chirality of the tube. If both m and n are equal or the product
of m, n is a multiple of 3 then it shows metal conductivity. In every other scenario,
it will display a semi-conductive characteristic. The biggest benefit of CNTFETs is
that the threshold voltage changes as the carbon tube diameter changes.
where “a” is the distance (a = 2.49 Å) between two carbon atoms, “e” is the unit
electron charge, and “Vπ” = 3.033 eV is the carbon π–π bond energy between two
carbon atoms [10, 13] (Figure 10.4).
10.1.8 Multiplier
One of the most significant and often used arithmetic circuits in the arithmetic logic
unit is the multiplier operator [8–10]. It takes two or more numbers as inputs to give
the product and carry. An array of half adders and full adders [11] is used in an
array multiplier, a type of digital combinational circuit, to multiply two numbers.
The many product keywords involved are added almost simultaneously using this
array. To generate the various product terms, an array of AND gates is used before
the adder array. One by one bit by bit checking of the multiplier and generation of
partial products is a sequential process requiring a sequence of add and shift micro-
operations. By using a combinational circuit that generates the product bits simulta-
neously, two binary values can be multiplied in a single micro-operation. Because
all that is required is for the signals to propagate through the gates that make up the
multiplication array, this is a quick method of multiplying two values. On the other
hand, until integrated circuits were developed, an array multiplier was not economi-
cally viable due to the large number of gates that are required.
Low-Power Energy-Efficient CNTFET-Based Quaternary Multipliers 155
TABLE 10.2
Quaternary Multiplier’s Values for Product and Carry
In Product Out In Carry out
A/B 0 1 2 3 A/B 0 1 2 3
0 0 0 0 0 0 0 0 0 0
1 0 1 2 2 1 0 0 0 0
2 0 2 0 2 2 0 0 1 1
3 0 3 2 1 3 0 0 1 2
10.1.9 Quaternary Multipliers
This multiplier [12] takes inputs as quaternary numbers and gives product and carry
as outputs [13–25]. The truth table of the quaternary multiplier of two inputs is
shown in Table 10.2.
In CMOS circuit design, switches such as transmission gate logic and pass transistor
logic (PTL) are employed. While TGL employs an NMOS and a PMOS device in
tandem, PTL implements logic functions using a single NMOS or PMOS transistor.
Signals can either be blocked or allowed to pass through the transmission gate or
pass the transistor, depending on the control signal applied to it. Comparing PTL
with TGL, the former requires half as many transistors. PTL experiences voltage
deterioration, which is resolved in TGL.
10.2 QUATERNARY MULTIPLIERS
10.2.1 Existing Quaternary Multiplier-3
The circuit for the quaternary multiplier the author proposes in this study [10]
employing a 4:1 multiplexer is displayed in Figure 10.5(C). There are 24 transistors
in it. Table 10.2 displays the product and carry values of the multipliers.
156 Circuit Design for Modern Applications
FIGURE 10.5 Existing quaternary multiplier-3, (A) block diagram of product multiplier,
(B) carry multiplier, (C) 4:1 multiplexer, (D) unary operator A3 , (E) unary operator AR.
The truth table demonstrates that, regardless of A, both outputs will be 0 when
B = 0. When A = 0/1/2/3 and B = 1, carry = 0 and product = 1. When A = 0/1/2/3,
Product = 0/2/0/2 and carry = 0/0/1/1 for B = 2 and A = 0/1/2/3. And product =
0/3/2/1 and carry = 0/0/1/2 for B = 3 and A = 0/1/2/3.
Low-Power Energy-Efficient CNTFET-Based Quaternary Multipliers 157
Signal A’s NQI, IQI, and PQI functions are denoted by the symbols AN, AI, and
AP. The signal to be transferred to the output is determined by the selection signal.
D1/D2/D3/D4 data signals will be transmitted for B = 0/1/2/3.
To construct the multiplier, 53 transistors in total are needed. Fewer transistors
are used in the implementation of the carry block. There is an issue with the sug-
gested carry circuit diagram in Figure 10.5(B) [10]. The carry output for A = 3 and
B = 2 should be logic “1”, but since every PCNFET in the circuit is switched, logic
“2” is produced instead.
10.3 PROPOSED CIRCUITS
This section discusses the various proposed circuits.
FIGURE 10.6 Existing quaternary multiplier-2, (A) block diagram of quaternary decoder,
(B) block diagram of product, (C) block diagram of carry.
transistors are used to realize the multiplexer [13]. The schematic diagram of the
proposed 4:1 multiplexer is shown in Figure 10.9(A). The MUX uses multi-voltage
levels. All other blocks which are shown in Figure 10.5 are used to implement the
quaternary multiplier except Figure 10.5(D), instead another block is used shown in
Figure 10.9(D). A modified carry block is used instead of the existing carry block. A
total of 46 transistors are used to realize it. The block diagrams of product and carry
blocks are shown in Figure 10.9.
Z0 is switched to output when the MUX operates with select = “0”, which turns
transistors L0, L1, L3, L4, and L5 ON and transistors L2, L4, L5, and L6 OFF.
Transistors L1, L2, and L3 are ON when select = “1”, while transistors L0, L4, and
L5 are OFF. Z1 is to be shifted to output based on the previous operation. Selecting
option “2” activates transistors L2, L3, and L4, and disables transistors L0, L1, and
L5. The Z2 signal is consequently sent to the output. Z3 is copied to the output as
Low-Power Energy-Efficient CNTFET-Based Quaternary Multipliers 159
FIGURE 10.7 Existing quaternary multiplier-1, (A) block diagram of Product, (B) block
diagram of carry.
soon as select = “3” is chosen since transistors L2, L4, and L5 are ON and transistors
L0, L1, and L3 are OFF.
FIGURE 10.9 Proposed quaternary multiplier-1, (A) circuit diagram of 4:1 quaternary mul-
tiplexer, (B) block diagram of 4:1 multiplexer, (C) block diagram of product multiplier, (D)
circuit diagram of unary operator AJ, (E) circuit diagram carry multiplier.
Low-Power Energy-Efficient CNTFET-Based Quaternary Multipliers 161
FIGURE 10.10 Proposed quaternary multiplier-2, (A) block diagram of 2:1 multiplexer,
(B) circuit diagram of 2:1 quaternary multiplexer, (C) proposed block diagram of product
multiplier.
TGL comprises p-type and n-type CNTFETs. The symbol for the multiplexer and its
circuit diagram is shown in Figure 10.10 [14]. Figure 10(C) shows the block diagram
of the product block, whereas the carry block diagram is shown in Figure 10.9(E).
The 2:1 multiplexer operates as follows: the output will be I0 in all other situa-
tions, and select = 0 will result in an output of I1. The output of NQI will be three
if select = 0. T0, T1, and T2 transistors are turned on and T2 and T3 transistors are
turned off when the number “0” is selected. Hence, contingent upon the threshold
voltage, the input of I0 is transferred to the output. When select = 1, NQI will pro-
duce a value of 0. Transistors T3, T2, T1, and T0 are therefore activated, and the
input of I1 is routed to the output. There are six transistors in use, and 46 transistors
are used in the multiplier.
FIGURE 10.11 Proposed quaternary multiplier-3, (A) Circuit diagram of 2:1 quaternary
multiplexer, (B) Block diagram of product multiplier.
The operation of the 2:1 MUX is as follows, if select = 0, then on applying to the
NQI, its output will be which switches on the transistor T0 and its data signal I0 will
be sent to the output. The output of the NQI will be 0 which activates T1 and its cor-
responding data signal I1 will appear at the output for select = 1. 40 transistors are
used to implement the multiplier using this approach.
10.3.5 2 × 4 multiplier
A 2 × 4 multiplier is implemented whose structure is shown in below Figure 10.12.
This structure has a multiplier in the M block, a half adder, a sum unit, and a multi-
plier in the MA1 block. A multiplier, a complete adder, and a sum unit are all found
in an MA2 block. The sum unit is in [10]. The multiplier used in this structure is
a proposed multiplier. The block diagrams of MA1 and MA2 are shown in Figure
10.12, and the circuit diagrams of the full adder and half adder are in [10].
10.4 SIMULATION RESULTS
Figure 10.13(A) displays the transient results of each proposed 1-bit multiplier at an
operating voltage of 0.9 V. The 32-nm technology Cadence Spectre software is used
to perform the simulation.
The transient response of a 2 × 4 multiplier using all the proposed multipliers is
shown in Figure 10.13(B) at 0.9 V supply voltage. The inputs applied to the 2 × 4
multiplier are 11 × 0110 and 10 × 1010 and the corresponding outputs are 001110 and
010000 respectively.
10.5 Performance Comparison
All three of the proposed circuits’ performances are compared to the existing circuit
[10] in this section. Table 10.3 illustrates how the power, delay, and power-delay
product are compared when the voltages are changed from 0.7 V to 1.2 V. From
Table 10.3, it can be observed that all proposed quaternary multipliers have better
Low-Power Energy-Efficient CNTFET-Based Quaternary Multipliers 163
FIGURE 10.12 (A) Structure of 2 × 4 multiplier, (B) block diagram of MA2, (C) block
diagram of MA1.
FIGURE 10.13 (A) Transient response of quaternary multiplier, (B) transient response of 2
× 4 multiplier.
164 Circuit Design for Modern Applications
TABLE 10.3
Performance Comparison of Proposed Circuits with Existing Circuits (Circuit
from Rahmati et al., 2021)
Power Dissipation Propagation
1-bit Quaternary Multiplier VDD(V) (UW) Delay (ns) PDP(fJ)
Existing circuit (10) 0.7 2.363 2.044 4.829
Proposed quaternary multiplier-1 2.329 2.038 4.746
Proposed quaternary multiplier-2 2.328 2.060 4.795
Proposed quaternary multiplier-3 2.327 2.039 4.744
Existing circuit (10) 0.8 4.001 2.019 8.078
Proposed quaternary multiplier-1 3.910 2.019 7.894
Proposed quaternary multiplier-2 3.910 2.025 7.917
Proposed quaternary multiplier-3 3.907 2.019 7.888
Existing circuit (10) 0.9 6.178 2.015 12.44
Proposed quaternary multiplier-1 6.015 2.014 12.11
Proposed quaternary multiplier-2 6.004 2.018 12.11
Proposed quaternary multiplier-3 4.415 2.015 8.89
Existing circuit (10) 1.0 9.255 2.012 18.62
Proposed quaternary multiplier-1 9.011 2.012 18.13
Proposed quaternary multiplier-2 8.895 2.015 17.92
Proposed quaternary multiplier-3 8.880 2.014 17.88
Existing circuit (10) 1.1 13.48 2.021 27.24
Proposed quaternary multiplier-1 13.15 2.010 26.43
Proposed quaternary multiplier-2 12.81 2.013 25.78
Proposed quaternary multiplier-3 12.76 2.012 25.63
Existing circuit (10) 1.2 18.83 2.010 37.84
Proposed quaternary multiplier-1 18.38 2.010 36.94
Proposed quaternary multiplier-2 17.69 2.010 35.55
Proposed quaternary multiplier-3 17.57 2.011 35.33
performance than circuit [10], and moreover proposed quaternary multiplier-3 has
least power and energy.
Table 10.4 shows that, in comparison to the existing circuit, the proposed circuits
consume less power. In contrast to the [10] circuit, the proposed circuits share the
same latency. All the circuits that are being proposed have low power-delay prod-
ucts. Here, the power, delay, and PDP performances of a 2 × 4 multiplier utilizing
every proposed multiplier are examined. Table 10.4 displays the results of the 2 × 4
multiplier with the proposed quaternary multiplier-3 with better energy efficiency.
Different types of devices can be used to improve the efficiency in future works
[26–32].
Low-Power Energy-Efficient CNTFET-Based Quaternary Multipliers 165
TABLE 10.4
Power, Delay and PDP of Proposed 2 × 4 Multiplier with Existing 2 × 4
Multiplier (Circuit from Rahmati et al., 2021)
2 × 4 Multiplier Power (uW) Delay (us) PDP (nJ)
2 × 4 multiplier with existing multiplier (10) 72.93 2.00487 0.146
2 × 4 multiplier with proposed quaternary multiplier-1 73.66 2.00486 0.146
2 × 4 multiplier with proposed quaternary multiplier-2 81.87 2.00472 0.164
2 × 4 multiplier with proposed quaternary multiplier-3 72.91 2.00245 0.145
10.6 CONCLUSION
Because of its tremendous capabilities, nanotechnology has been used by many
researchers to construct MVL circuits in the past ten years. By utilizing MVL
logic on the carbon nanotube FET, computational circuits speed up and chip size is
reduced. This study introduced a novel carry block. Several MUXs are used in the
design of the quaternary multiplier. Furthermore, a 2 × 4 multiplier block was imple-
mented. According to the simulation results, under various operating settings, the
suggested 2 × 4 and quaternary multipliers have reduced power, latency, and PDP.
Furthermore, the proposed quaternary multiplier’s transistor counts were far lower
than those of the existing quaternary multipliers.
REFERENCES
1. E. Dubrova. 1999. Multiple-Valued Logic in VLSI: Challenges and Opportunities.
Proceedings of NORCHIP’99.
2. S. Gadgil and C. Vudadha. 2020. Design of CNTFET-Based Ternary ALU Using 2:1
Multiplexer Based Approach. IEEE Transactions on Nanotechnology, vol. 19, pp. 661–
671, doi: 10.1109/TNANO.2020.3018867.
3. A. N. Gupte and A. K. Goel. 1991. Study of Quaternary Logic versus Binary Logic.
Proceedings. First Great Lakes Symposium on VLSI, pp. 336–337, doi:10.1109/
GLSV.1991.143992
4. F. Zahoor, F. A. Hussin, F. A. Khanday, M. R. Ahmad, and I. M. Nawi. 2021. Ternary
Arithmetic Logic Unit Design Utilizing Carbon Nanotube Field Effect Transistor
(CNTFET) and Resistive Random Access Memory (RRAM). Micromachines, vol. 12,
no. 11, p. 1288, https://doi.org/10.3390/mi12111288
5. A. Javey, J. Guo, Q. Wang, M. Lundstrom, and H. Dai. 2023. Ballistic Carbon Nanotube
Field-Effect Transistors. Nature, vol. 424, no. 6949, pp. 654–657.
6. G. E. Moore. 2006. Cramming More Components onto Integrated Circuits.
Reprinted from Electronics, vol. 38, no. 8, April 19, 1965, pp. 114 ff. IEEE Solid-
State Circuits Society Newsletter, vol. 11, no. 3, pp. 33–35, Sept. 2006, doi:
10.1109/N-SSC.2006.4785860.
7. S. Sinha and S. Chaudhury. 2014. Advantage of CNTFET Characteristics over MOSFET
to Reduce Leakage Power. Proceedings of the IEEE International Caracas Conference
on Devices, Circuits and Systems, ICCDCS, doi: 10.1109/ICDCSyst.2014.6926211.
166 Circuit Design for Modern Applications
8. D. Etiemble. 2022. CNTFET Quaternary Multipliers Are Less Efficient than the
Corresponding Binary Ones, doi: 10.48550/arXiv.2206.03252.
9. P. Dalmia, Vikas, A. Parashar, A. Tomar and N. Pandey. 2018. Novel High Speed Vedic
Multiplier Proposal Incorporating Adder Based on Quaternary Signed Digit Number
System. 31st International Conference on VLSI Design and 2018 17th International
Conference on Embedded Systems (VLSID), 2018, pp. 289–294, doi: 10.1109/
VLSID.2018.78.
10. S. Rahmati, E. Farshidi and J. Ganji. 2021. Low Energy and Area Efficient Quaternary
Multiplier with Carbon Nanotube Field Effect Transistors. ETRI Journal, vol. 43, doi:
10.4218/etrij.2020-0045.
11. S. Musala, P. D. Vasavi, B. Spandana, A. Srinivasulu and C. Ravariu, 2022. A Novel
2:1 Multiplexer Based Quaternary Full Adder. IEEE International Symposium on
Smart Electronic Systems (iSES), Warangal, India, 2022, pp. 372–377, doi: 10.1109/
iSES54909.2022.00082.
12. G. V. S. Ajay and S. Musala. 2024. Quaternary Multiplier with Modified Carry Using
Carbon Nanotube FETs. Flexible Electronics for Electric Vehicles. FLEXEV 2022.
Lecture Notes in Electrical Engineering, vol 1065. Springer, Singapore, https://doi.org
/10.1007/978-981-99- 4795-9_11.
13. M. Moaiyeri, K. Navi and O. Hashemipour. 2012. Design and Evaluation of CNFET-
Based Quaternary Circuits. Circuits, Systems, and Signal Processing, p. 31, doi:
10.1007/s00034-012-9413-2.
14. F. Sharifi, M. Moaiyeri, K. Navi and N. Bagherzadeh. 2016. Ultra-Low-Power Carbon
Nanotube FET-Based Quaternary Logic Gates. International Journal of Electronics
Letters, p. 103, doi: 10.1080/21681724.2016.1138506.
15. S. Musala and P. D. Vasavi. 2022. A Novel Quaternary Multiplexer using CNTFET. 6th
International Conference on Devices, Circuits and Systems (ICDCS), pp. 196–199, doi:
10.1109/ICDCS54290.2022.9780772.
16. E. Roosta and S. Hosseini. 2019. A Novel Multiplexer-Based Quaternary Full Adder
in Nanoelectronics. Circuits, Systems, and Signal Processing, p. 38, doi: 10.1007/
s00034-019-01039-8.
17. P. Patel, N. Doddapaneni, S. Gadgil and C. Vudadha. 2019. Design of Area Optimised,
Energy Efficient Quaternary Circuits Using CNTFETs. IEEE International Symposium
on Smart Electronic Systems (iSES) (Formerly iNiS).
18. Y. A. Stepchenkov, Y. G. Diachenko, Y. V. Rogdestvenski, D. Y. Diachenko, Y. I.
Shikunov. 2020. Self-Timed Multiply-add-subtract Unit Alternates. IEEE Conference
of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus).
19. F. Sharifi et al. 2016. Ultra-Low-Power Carbon Nanotube FET-Based Quaternary
Logic Gates. International Journal of Electronics, vol. 103, no. 9, pp. 1524–1537.
20. C. Vudadha, A. Surya, S. Agrawal and M. B. Srinivas. 2018. Synthesis of Ternary Logic
Circuits Using 2:1 Multiplexers. IEEE Transactions on Circuits and Systems I: Regular
Papers, vol. 65, no. 12, pp. 4313–4325, Dec. 2018, doi: 10.1109/TCSI.2018.2838258.
21. D. Das, A. Banerjee and V. Prasad. 2018. Design of Ternary Logic Circuits Using
CNTFET. International Symposium on Devices, Circuits and Systems (ISDCS), pp.
1–6, doi:10.1109/ISDCS.2018.8379661.
22. F. Sharifi, M. H. Moaiyeri, and K. Navi. 2015. A Novel Quaternary Full Adder Cell
Based on Nanotechnology. International Journal of Modern Education & Computer
Science, 7.3.
23. V. Patel and K. S. Gurumurthy. 2011. Design of High Performance Quaternary Adders.
41st IEEE International Symposium on Multiple-Valued Logic, pp. 22–26, doi: 10.1109/
ISMVL.2011.65.
Low-Power Energy-Efficient CNTFET-Based Quaternary Multipliers 167
24. S. Bodapati and S. Krishnamurthy. 2016. Carbon Nanotube FET-Based Low-Delay and
Low-Power Multidigit Adder Designs. IET Circuits, Devices & Systems, p. 11. 10.1049/
iet-cds.2016.0013.
25. S. Musala, R. M. Gajula, S. V. R. S. Reddy and P. P. Reddy. 2023. High-Speed Low
Power Energy Efficient 1-trit Multiplier with Less Number of CNTFETs. Multimedia
Tools and Applications, Vol 83, pp 23297–23309, https://doi.org/10.1007/s11042- 023
-16403-9.
26. G. Naima and S. B. Rahi. 2021. Design and Optimization of Heterostructure Double
Gate Tunneling Field Effect Transistor for Ultra Low Power Circuit and System.
Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges
and Solutions, pp. 19–36.
27. D. Kumar, S. B. Rahi and P. Kuchhal. 2021. Investigation of Analog Parameters and
Miller Capacitance Affecting the Circuit Performance of Double Gate Tunnel Field
Effect Transistors. In Intelligent Communication, Control and Devices: Proceedings
of ICICCD 2020, pp. 335–349. Springer Singapore.
28. M. Navaneetha Velammal and A. Andrew Roobert. Design of High-Speed
Nanoscale Adder Logic Circuit for Low Power Consumption. 2021 IEEE Pune
Section International Conference (PuneCon), IEEE Xplore, pp. 1–6, doi: 10.1109/
PuneCon52575.2021.9686474.
29. G. Naima and S. B. Rahi. 2021. Low Power Circuit and System Design Hierarchy and
Thermal Reliability of Tunnel Field Effect Transistor. Silicon, Vol 14, pp.3233–3243.
30. G. L. Priya, P. Saran, S. K. Padhy, P. Agarwal, A. Robert and L. J. Julus. February 2023.
Novel Low Power Cross-Coupled FET-Based Sense Amplifier Design for High-Speed
SRAM Circuits. Micromachines, vol. 14, no. 3, p. 581.
31. A. Rajakumar, A. Samuel and G. Nirmala Rani. October 2017. Low Power VLSI
Architecture Design of BMC, BPSC and PC Schemes. Analog Integrated Circuits and
Signal Processing, vol. 93, no.1, pp. 169–178, https://doi.org/10.1007/s10470-017-1025-0.
32. G. L. Priya, M. Venkatesh, N. Balamurugan and T. A. Samuel. Triple Metal Surrounding
Gate Junctionless Tunnel FET Based 6T SRAM Design for Low Leakage Memory
System, https://doi.org/10.21203/rs.3.rs-227831/v1.
11 Advanced
Semiconductor Devices
FET Down-scaling and
Next-Gen Transistors
Mohamed Salah Benlatreche, Billel Smaani,
Ismahan Mahdi, Omari Tahar, and
Cheriet Mohammed El Amine
11.1 INTRODUCTION
The evolution of semiconductor technology has been marked by continuous advance-
ments aimed at improving performance, reducing power consumption, and enhanc-
ing integration densities. As traditional complementary metal-oxide-semiconductor
(CMOS) technology approaches physical limits, the emergence of two-dimensional
(2D) and three-dimensional (3D) field-effect transistors (FETs) represents a pivotal
shift toward achieving these goals and unlocking new possibilities in electronics.
This chapter, “Advanced Semiconductor Devices: FET Down-scaling and Next-Gen
Transistors”, explores the forefront of semiconductor innovation by examining the
latest developments, design strategies, and potential applications of these revolution-
ary transistor architectures. This introduction presents the motivations, scope, and
objectives that underpin the exploration of these transformative semiconductor tech-
nologies. Traditional CMOS technology, while highly successful, faces challenges
in scaling down to nanometer dimensions due to issues such as leakage currents
and power dissipation. The advent of 2D materials such as graphene and transition
metal dichalcogenides (TMDs), along with 3D transistor structures like FinFETs and
Nanowire FETs, offers new avenues for overcoming these limitations. These tech-
nologies promise significant improvements in speed, efficiency, and scalability, posi-
tioning them at the forefront of next-generation semiconductor devices. This chapter
aims to comprehensively explore the fundamentals of 2D and 3D transistors, design
methodologies, applications across industries, integration challenges and solutions
and comparative analysis with conventional CMOS technologies to assess the advan-
tages and limitations of emerging 2D and 3D FETs, offering insights into their feasi-
bility and scalability in practical applications. “Advancing Semiconductor Devices:
FET Down-scaling and Next-Gen Transistors” represents a critical exploration into
TABLE 11.1
Evolution of the Gate Length and the Switching Energy [3]
Year 2012 2014 2016 2018 2020 2022 2024 2026 2028 2030
Gate length 22 18 15 13 11 9 7 6 5 4
(nm)
Switching 41 21 15 10 7.5 6 4 2 1.5 1
energy
Advanced Semiconductor Devices 171
TABLE 11.2
Main Issues Appear at the Device Level [6–13]
Issue Description
quantum The problem of quantum tunnelling is mainly related to the transistor oxide thickness.
tunnelling Moreover, following ITRS, the reduction in oxide thickness affects the flow of current
limit by the gate. As a result, the oxide thickness is exponentially associated with the gate
current where carrier tunneling via the insulator potential barrier causes a current. In
addition, the barrier distance reduces, and the tunnelling effect increases more quickly
(exponentially) [6, 7].
Short- This issue has been identified as a serious problem limiting transistor down-scaling.
channel When the device channel length is reducing to smaller or equal to 50 nm, various
effects undesirable short-channel effects are observed: drain-induced barrier lowering,
mobility degradation, velocity saturation, and threshold voltage roll-off [8, 9].
Threshold We have to know that the transistor threshold voltage does not decrease compared to
voltage the down-scaling of the transistor. Hence, when the device channel length is strongly
effect reduced to below 50 nm, the threshold voltage current does not become zero instantly,
but it is reduced exponentially, and this decrease is inversely proportional to thermal
energy. Therefore, a higher leakage-current is observed due to the higher threshold
voltage [10, 11].
Gate-oxide There are two principal limitations related to the gate-oxide thickness. The first one is
thickness the thin oxide layer, which increases the leakage-current. This effect is linked to the
tunneling effect that appears when the thickness of oxide is aggressively decreased.
Furthermore, the tunnelling current of the large oxide layer is insignificant compared
to the chip current in the “on state”. Second, since the thickness of the oxide layer is
such that the inversion charge waste and transconductance loss resulting from the
depletion effect of the poly-silicon gate and the quantization effect of the inversion
layer are present [12, 13].
tunnel FETs. The second is higher permittivity gate dielectrics, which are neces-
sary to reduce the gate leakage-current effect and to keep on increasing the gate-to-
channel capacitive coupling. These two topics will be detailed in the next sections
[18–24].
On the other hand, the scaling-down of CMOS circuits is also related to the tech-
nology nodes that are evaluated generally in two-year cadence.
11.3 SUBTHRESHOLD-SWING
Circuit speed improves with increasing ION; therefore, it is probably best to use
a small Vt. Only one cannot arbitrarily decrease the value of Vt. At Vgs < Vt, an
N-channel MOSFET is inside the off-state. However, leakage is a current which can
flow between the drain and the source. The MOSFET modern discovered at Vgs < Vt
is referred to as the subthreshold current or the subthreshold drain-current; it is the
current that flows between the source and drain. It is the principal contributor to the
OFF-state current of the MOSFET, IOFF [18].
Advanced Semiconductor Devices 173
IOFF is the ID measured at Vgs = 0 and Vds = Vdd. This energy intake in CMOS
circuits in large part results from the charging and the discharging of the inner node
capacitances and may be reduced quadratically with the aid of decreasing delivery
voltage Vdd [19–27].
11.4 INTEREST IN SUBTHRESHOLD-SWING
The subthreshold conduction of transistors has previously been smaller, but as tran-
sistors have been scaled down, leakage has become more prevalent. Across a thresh-
old voltage of 0.2 V, leakage can account for 50% of total power consumption [16]. It
is essential to maintain IOFF smaller in an effort to decrease the static energy that a
circuit attracts while in sleep mode. For example, if IOFF is a modest 100 nA in keep-
ing with the transistor, a cell phone chip containing a 100 million transistors could
draw 10 A even on standby. The battery might drain in a couple of minutes without
receiving or transmitting calls. A desktop PC processor could expend more energy
as it includes extra transistors and faces costly chip and device cooling issues. It is
widely recognized that CMOS circuits function at lower voltages and stay practical
even if Vdd drops under the threshold voltage Vth. Recently, there was interest in the
subthreshold operation, to begin with, for analog circuits [24, 25] and more recently
for digital processors, demonstrating operation at Vdd beneath 200 mV [22–29].
Perfecting the slope below the sill:
Recall that the slope below the threshold is defined by :
nkT
SS lnln 10 (11.1)
e
C
Where 1 dep is the substrate factor.
Cox
Where:
CSi CSi
C Cox CBox
1 si (11.2)
COx CSi
1
C
Box
FIGURE 11.2 3D structure of a FinFET transistor; horizontal and vertical sections of the
FinFET [25].
11.5.1 FinFET
The FinFET is a 3D device whose structure consists of a vertical silicon film (very
thin). Grid surrounds both sides of “End”, allowing channels to be created on either
side; Figure 11.2 illustrates the three-dimensional structure of a FinFET transistor,
as well as the horizontal and vertical sections of the FinFET [25, 30].
The transistor horizontal portion is comparable to that of a DG-MOSFET, but the
height of the transistor end corresponds to the width of a DG-MOSFET channel. The
production of the FinFET is more compatible with that of the bulk MOSFET than
that with the DG-MOSFET.
In the last 20 years, the FinFET technology has been known as a solid consid-
eration by a variety of companies’ production, such as Intel, TSMC and Samsung,
which are targeting the higher performance of microprocessors below 14 nm [31].
The reason is that FinFET devices are very attractive for the fabrication of nanoscale
CMOS circuits. This kind of transistor provides a better scalability characteristic
due to its exceptional immunity to SCEs. Also, the FinFET device offers better elec-
trical potential control over the channel, which improves the device’s performance
[32–35]. Figure 11.3 a provides an overview of the general cross-sectional structure
of the SOI FinFET.
The subthreshold-swing (SS) defines the transistor transition from the OFF to ON
state and the ratio between the ON- and the OFF-current:
K T
SS Log 10 (11.3)
q
Advanced Semiconductor Devices 175
Coxfb Csi
1 (11.4)
Cgf Cox Csi Coxfb Cox Coxfb
Where Cox is the oxide capacitance, Csi is the bulk silicon capacitance, Cgf is the
gate to find capacitance, and Coxfb is the fine-to-bulk capacitance.
Injecting the body-factor of Equation 11.2 in Equation 11.1, we get an approxi-
mated solution for the subthreshold-swing (SS) in FinFET devices as:
1 Coxfb Csi K T
Log 10 (11.5)
C gf Cox Csi Coxfb Cox Coxfb q
Table 11.3 presents the extracted subthreshold-swing parameter for the FinFET
devices.
Figure 11.4 illustrates the impact of varying the number of fins on the ON-current
of SOI FinFET. As the number of fins is increased from 1 to 3, the ON-current exhib-
its a threefold increase.
It should be noted that the SS parameters vary as a function of the fin’s width and
fin’s thickness, as described in Table. 11.4.
TABLE 11.3
Review of SS Parameters of FinFET
References [31] [33] [34] [35]
SS (mV/decade) 74.03 62.5 65 77
176 Circuit Design for Modern Applications
FIGURE 11.4 ON-current (ION) variation as a function of fins’ number, reproduced from
[31].
TABLE 11.4
SS of FinFETs with Different Fins
Geometrical Value SS (mV/decade)
parameter
WNF 30 63
45 56
80 71
150 72
TFIN 4 74
5 87.5
6 102
7 123.5
Table 11.4. Review on SS of FinFET with different fin width (WFIN) and thick-
ness (TFIN).
11.5.2 Tunnel Transistors
The Tunnel FET (TFET) is one of the best transistors for reducing leakage-current.
However, these types of components suffer from minor ON-current compared to the
classical MOSFET devices [28].
Figure 11.5 shows the 2D schematic view of the silicon/Tunnel FET under study.
Where LEXT is the source/drain extension length, TEXT is the source/drain thick-
ness, TOX is the gate-oxide thickness, LG is the gate length and TSI is the channel
thickness. The Vgs and Vds denote the gate-bias and drain-bias, respectively.
Figure 11.6, Shows the drain-voltage characteristics of tunnel double-gate transis-
tors for a week and higher value of the drain-bias (Vds = 0.1 and 1.0 V). Increasing
the drain-bias Vds from 0.1 to 1V, the vertical electrical field became strong. For a
smaller channel thickness (TSI = 5nm), the ON-current is equal to 0.87 and 1.8 mA,
respectively.
Advanced Semiconductor Devices 177
FIGURE 11.6 Drain-current (Ids) against gate-bias (VGS) of TFET (Device parameters:
LG = 20 nm, LEXT = 20 nm, TOX = 2 nm, and TSI = 5 nm).
FIGURE 11.7 Impact of high-k gate dielectric (HfO2) on the transfer characteristics of
TFET (Device parameters: LG = 20 nm, LEXT = 20 nm, TOX = 2 nm, and TSI = 5 nm).
11.5.3 Nanosheet
Recently, nanosheet transistors have been proposed as a solid alternative to FinFET
technology for possible CMOS scaling down below 7 nm of technology nodes. The
stacked-nanosheet FETs have been proposed to increase the drivability of the current,
decrease the parasitic capacitances and reduce the short-channel effects [36–42].
178 Circuit Design for Modern Applications
FIGURE 11.9 Drain-current (Ids) with different drain-bias (Vds) in stacked Nanosheet at
LG = 16 nm, WNS = 14 nm TNS = 7 nm, TSP = 6 nm, and TPHY = 3.2 (HfO2).
TABLE 11.5
Comparison of ION, IOFF, ION/IOFF Ratio, and SS
Parameter of Stacked Nanosheet FET
ION [µA/µm] ION/ ION ratio [A] SS (mV/decade) Reference
67 2.7 × 107 72 [39]
698 1.2 × 105 70.5 [40]
1408 1.38 × 105 72 [41]
799 2.5 × 107 70 [42]
Advanced Semiconductor Devices 179
TABLE 11.6
Emerging MOSFET Architectures: Advantages and Disadvantages
Structures Advantages Disadvantages
Dual Planar Larger current Uniformity of silicon thickness
Grid Good channel control conduction Low silicon thickness
Absence of DIVSB effect Alignment of the two grids
FinFET Good control of the conduction channel Difficult lithograph
Manufacturing processes close to that of the Width uniformity
conventional MOSFET Small silicon film width
Self-alignment of grids Corner effect
Possibility of operation in symmetrical and
asymmetrical mode
GAA Excellent conduction channel control The manufacturing process is
Very reduced short channel effect with No not compatible with that of
corner effect the conventional MOSFET
Nanofil Excellent conduction channel control Need for small silicon diameter
MOSFET Very reduced short-channel effect High cost of production
Higher current Half-match issue
TABLE 11.7
An Overview of DC Performance of NS FET (WNS: Nanosheet Width, SS
Subthreshold-Swing, LG Gate Length)
LG WNS Channel ION
Ref (nm) (nm) materiel µA/µm ION/IOFF SSmV/dec
44 12 15 Si – 106 75
44 12 15 Si – 106 85
45 14 25 Si 290.5 – 68.10
45 14 50 Si 283.7 – 10.01
46 7 10 Si 68 2.6*107 71
47 60 135 SiGe 37.6 1.8*105 86
of TFETs and not of MOSFETs; we need to imagine and then carry out character-
izations, making it possible to differentiate the two injection mechanisms [48–56].
Many simulations have been performed with different materials in order to study
TFETs due to their electrical properties. These enthusiastic simulations of a TFET
performed on graphene indicate slopes at 0.19 mV/dec that would cause the blocking
transistor to saturate in less than 50 mV. But with VG = 0 V or a negative gate voltage,
a saturation of at least 100 millivolts has been found [49–66].
After the studies and simulations that we have done, we suggest developing TFETs
due to their multiple advantages. Some studies guess the application of negative
Advanced Semiconductor Devices 181
capacitance technology, according to the study by Wei Cao and Kaustav Banerjee
[51], whose analysis and conclusions provide invaluable NC-FET design guidance
that could not only prevent the further generation of scientifically misleading claims
but also avoid wasting millions of dollars in research and expenditures develop-
ment. Moreover, it could help identify a practical low-voltage operating device that
really operates NC. We will discuss the remaining topics in forthcoming chapters
and volumes.
REFERENCES
1. D. Mamaluya, X. Gao, “The fundamental downscaling limit of field effect transistors”,
Applied Physics Letters, vol. 106, no. 193503, 2015. https://doi.org/10.1063/1.4919871
2. R. K. Ratnesh, A. Goel, G. Kaushik, H. Garg, Chandan, M. Singh, B. Prasad,
“Advancement and challenges in MOSFET scaling”, Materials Science in
Semiconductor Processing, vol. 134, no. 106002, 2021. https://doi.org/10.1016/j.mssp
.2021.106002
3. International Technology Roadmap for Semiconductors, 2012, 2015-editions, http://
www.itrs2.net/itrs-reports.html
4. G. G. Shahidi, “Chip power scaling in recent CMOS technology nodes”, IEEE Access,
vol. 7, pp. 851–856, 2019. https://doi.org/10.1109/ACCESS.2018.2885895
5. A. Stillmaker, B. Baasa, “Scaling equations for the accurate prediction of CMOS device
performance from 180 nm to 7 nm”, Integration, vol. 58, pp. 74–81, 2017. https://doi.org
/10.1016/j.vlsi.2017.02.002
6. B. Smaani, S. B. Rahi, S. Labiod, “Analytical compact model of nanowire junctionless
gate-all-around MOSFET implemented in verilog-a for circuit simulation”, Silicon, vol.
14, pp. 10967–10976, 2022.
7. R. J. Martin-Palma, “Quantum tunnelling in low-dimensional semiconductors medi-
ated by virtual photons”, AIP Advances, vol. 10, no. 015145, 2020. https://doi.org/10
.1063/1.5133039.
8. L. Tang, B. P. Nadappuram, P. Cadinu, et al., “Combined quantum tunnelling and
dielectrophoretic trapping for molecular analysis at ultra-low analyte concentrations”,
Nature, vol. 12, no. 913, 2021. https://doi.org/10.1038/s41467- 021-21101-x
9. J. P. Linas, A. Fairbrother, G. B. Barin, et al., “Short-channel field-effect transistors
with 9-atom and 13-atom wide graphene nanoribbons”, Nature Communication, vol. 8,
no. 633, 2017. https://doi.org/10.1038/s41467- 017- 00734-x
10. B. Smaani, S. Latreche, B. Iniguez. “Compact drain-current model for undoped cylin-
drical surrounding-gate metal-oxide-semiconductor field-effect transistors including
short channel effects”, Journal of Applied Physics, vol. 114, no. 224507, 2013. https://
doi.org/10.1063/1.4844395
11. I. Starkov, H. Enichlmair, S. Tyaginov, T. Grasser, “Analysis of the threshold voltage
turn-around effect in high-voltage n-MOSFETs due to hot-carrier stress”, in IEEE
International Reliability Physics Symposium (IRPS), pp. XT.7.1–XT.7.6, Anaheim, CA,
USA, April 2012. https://doi.org/10.1109/ IRPS.2012.6241937
12. D. Jimenez, X. Cartoixa E. Miranda, J. Sune, F.A. Chaves, S. Roche, “A simple
drain current model for Schottky-barrier carbon nanotube field effect transistors”,
Nanotechnology, vol. 18, no. 025201, 2006. https://doi.org/10.1088/0957- 4484/18/2
/025201
13. T. Sakurai, “Perspectives on power-aware electronics”, in IEEE International Solid-
State Circuits Conference, pp. 26–29, San Francisco, CA, USA, February 2003. https://
doi.org/10.1109/ ISSCC.2003.1234195
182 Circuit Design for Modern Applications
30. C. Mead, Analog VLSI and Neural Systems, Boston, MA: Addison-Wesley, 1989.
31. B. Ghosh, P. Bal, P. Mondal, “A junctionless tunnel field effect transistor with a low
subthreshold slope”, Journal of Computer Electronics, vol. 12, pp. 428–436, 2013.
https://doi.org/10.1007/s10825- 013- 0450-2
32. M. Yadav, “Performance analysis of tunnel FET based ring oscillator using sentau-
rus TCAD”, Silicon, vol. 14, pp. 7769–7779, 2022. https://doi.org/10.1007/s12633- 021
-01509-2
33. S. Kumar, Y. Singh, B. Singh, P. K. Tiwari, “Simulation study of dielectric modulated
dual channel trench gate TFET-based biosensor,” IEEE Sensors Journal, vol. 20, no.
21, pp. 12565–12573, 2020. https://doi.org/10.1109/JSEN.2020.3001300.
34. S. N. Boukortt, B. Hadri1, S. Patane et al., “Electrical Characteristics of 8-nm SOI
n-FinFETs”, Silicon, vol. 8, pp. 497–503. Springer, 2016.
35. A. Aditya, S. Basu, S. Khandelwal, C. Mukherjee, S. Panda, B. Maji, “Threshold volt-
age roll-off for triple gate FinFET analysis based on several semiconductors used as
substrate,” in 2014 International Conference on High Performance Computing and
Applications (ICHPCA), Bhubaneswar, India, 2014, pp. 1–6. https://doi.org/10.1109/
ICHPCA.2014.7045355.
36. A. Es-Sakhi, M. H. Chowdhury, “Analytical model to estimate the subthreshold swing
of SOI FinFET,” in 2013 IEEE 20th International Conference on Electronics, Circuits,
and Systems (ICECS), 2013, pp. 52–55. https://doi.org/10.1109/ ICECS.2013.6815343.
37. M. S. Benlatreche, F. Rahmoune, O. Toumiat “Experimental investigation of Si–SiO2
interface traps using equilibrium voltage step technique”, Informacije MIDEM, vol. 41,
2011.
38. Q. Dai, J-H. Lee,” Subthreshold characteristics of AlGaN/GaN MIS-FinFETs with con-
trolling threshold voltages”, Electronics, vol. 9, no. 11, pp. 1967, 2020. https://doi.org/10
.3390/electronics9111967
39. C. Convertino, C. B. Zota, D. Caimi et al., “InGaAs FinFETs 3D sequentially inte-
grated on FDSOI Si CMOS with record performance,” IEEE Journal of the Electron
Devices Society, vol. 7, pp. 99, 2019. https://doi.org/ 10.1109/JEDS.2019.2928471.
40. N. Loubet et al., “Stacked nanosheet gate-all-around transistor to enable scaling beyond
FinFET,” in 2017 Symposium on VLSI Technology, Kyoto, Japan, 2017, pp. T230–T231.
https://doi.org/10.23919/ VLSIT.2017.7998183.
41. Y. M. Lee et al., “Accurate performance evaluation for the horizontal nanosheet stan-
dard-cell design space beyond 7nm technology,” in 2017 IEEE International Electron
Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 29.3.1–29.3.4. https://doi
.org/10.1109/ IEDM.2017.8268474.
42. J.-S. Yoon, J. Jeong, S. Lee, R. -H. Baek, “Punch-through-stopper free nanosheet FETs
with crescent inner-spacer and isolated source/drain,” IEEE Access, vol. 7, pp. 38593–
38596, 2019. https://doi.org/10.1109/ACCESS.2019.2904944.
43. M. S. Benlatreche, O. Boukhemis, K. Smaili, B. Bouaoina, “Synthesis of nisi nano-
crystals from Ni films on Si(111)substrates by low-temperature microwave annealing”,
Digest Journal of Nanomaterials and Biostructures, vol. 12, no. 3, pp. 759–763, 2017.
44. V. Jegadheesan, K. Sivasankaran, A. Konar, “Impact of geometrical parameters and
substrate on analog/Rf performance of stacked nanosheet field effect transistors”,
Mater. Sci. Semicond. Process, vol. 93, pp. 188–195, 2019. https://doi.org/10.1016/j
.mssp.2019.01.003.
45. M.-J. Tsai et al., “Fabrication and characterization of stacked poly-Si nanosheet with
gate-all-around and multi-gate junctionless field effect transistors,” IEEE Journal of
the Electron Devices Society, vol. 7, pp. 1133–1139, 2019. https://doi.org/10.1109/JEDS
.2019.2952150.
184 Circuit Design for Modern Applications
12.1 INTRODUCTION
This chapter discusses the challenges in CMOS-based circuit design for mmWave
range and THz range applications, and the possible solutions. The frequency thresh-
old at which CMOS technology encounters serious difficulties in radio frequency
(RF) circuit design is not constant; instead, it varies depending on a number of vari-
ables, including the demands of the particular application, circuit design methodolo-
gies, and technology nodes. The following broad observations can be made from the
literature. Up to 10 GHz of frequency the CMOS-based circuits can be designed with
reasonable effort and performance. After a certain frequency, CMOS technology
begins to exhibit notable difficulties; these difficulties usually peak around 30 GHz.
This is where the effects of noise, parasitics, and transistor performance restrictions
increase. From 30 GHz to 100 GHz, CMOS is frequently pushed to its boundar-
ies in the mmWave region, necessitating extremely sophisticated design methods
and cutting-edge technological nodes to attain satisfactory performance. Above 100
GHz, without major performance trade-offs, CMOS technology is widely regarded
as inadequate for many high-performance RF applications in the THz range. Hybrid
solutions or alternative technology are frequently chosen.
In this chapter, a three-stage low-noise amplifier designed for a 60 GHz applica-
tion has been taken as an example to discuss the issues faced in CMOS-based design.
As an alternate solution, the germanium source surrounding gate tunnel FET has
been designed and suggested based on the characteristics of the tunnel field effect
transistor (TFET).
12.2.1 Configuration Information
As shown in Figure 12.1, a cascoded pair, which consists of two transistors wired
in series, makes up each of the three phases. Whereas the upper transistor func-
tions as a common-gate (FET), the lower transistor functions as a common-source
(FET). The upper transistor increases the output impedance, enhancing gain and
bandwidth, while the lower transistor handles the primary amplification.
Since the noise figure of the first stage of the LNA has the biggest influence on the
overall LNA noise figure, it is optimized for low-noise performance as per Equation
12.1. Thus the inductive source degeneration is used to reduce the noise figure. Stages
2 and 3 are mainly concerned with boosting gain while preserving bandwidth and
stability. In addition to that, stage 3 focuses on the linearity of the LNA.
FIGURE 12.2 Simulation Results of the LNA. a). Noise Figure. b). Input return loss (S11). c).
Forward gain (S21). d). Output return loss (S22). e). Third-order input intercept point (IIP3).
190 Circuit Design for Modern Applications
TABLE 12.1
Comparison of the Proposed LNA with State-of-the-Art LNAs
Freq. S21 NF PDC IIP3
Ref Process Topology (GHz) (dB) (dB) (mW) (dBm)
Kim 65 3 Stage Common-Source 60 30 4.6 8.9 –26
et al.4
Lin 65 3 Stage Cascode 60 20.3 7.6 37.2 –11
et al.5
Wang 130 2 stage differential 60 20 9 7.2 –15
et al.6
This 90 3 stage cascode 60 33 4.08 24.61 –21
work
In this LNA, we have used 90 nm CMOS technology with ideal passive elements.
There are three possibilities to improve the above-mentioned parameters. Additional
optimization techniques can be used or lower technology nodes can be selected or
new active devices can be used.
When we consider the optimization techniques the noise figure can be optimized
by investigating optimization strategies like noise cancellation, employing transis-
tors with reduced noise, enhancing matching networks, or refining the biasing strat-
egy. The input matching can be improved by adjusting the input-matching network
to attain a higher S11 at the designated 60 GHz operating frequency, which will
guarantee less reflection and improved signal transfer. The linearity can be enhanced
by the strategies like linearization, improved biasing, or the use of feedback mecha-
nisms to improve linearity and raise the IIP3 value. To attain a well-balanced LNA
performance appropriate for the intended application, continuous iteration-based
optimization on the design while taking the trade-offs between gain, noise figure,
linearity, and matching into consideration will be helpful.
Another possible strategy to boost the efficiency of your LNA is to reduce the
CMOS size. Transistors that are smaller in size typically possess higher fT values,
which enable them to function at higher frequencies without much loss of stabil-
ity or gain. They have lower parasitic capacitances, which can enhance bandwidth
and lessen signal attenuation to improve high-frequency performance. Lower gate-
capacitance can result from smaller CMOS devices, which can increase transistor
switching speed. They have lower thermal noise. With less junction capacitance and
better control over the channel length, they can have lower nonlinear distortions,
which improves linearity and raises third-order intercept points (IIP3). Moreover,
they usually use less power, which is advantageous for battery-powered or energy-
efficient applications.
For the past three decades, CMOS devices have driven the semiconductor indus-
try due to the continuous growth of semiconductor processing and technology. Over
Investigation of the Challenges in Analog/RF Circuit Design 191
the years, the CMOS device's physical dimensions were reduced to nanometer scale
and further scaling is limited by short channel effects (SCE). To overcome such chal-
lenges, multi-gate devices are proposed which show excellent immunity to SCE and
yield better scalable operations. Still, these multi-gate devices suffer from DIBL and
threshold voltage roll-off effects discussed by Reddick et al. (1995). To overcome
the above problems, recently TFET was proposed which has gained wider signifi-
cance because of its low subthreshold slope and small leakage current. Moreover,
the conventional tunnel FET also suffers from low ON drive current and requires
abrupt junctions for tunneling. To overcome the fabrication challenges posed by the
MOS and TFET devices, a new transistor called halo-doped TFETs which over-
comes reverse short channel effects is proposed to achieve better ON and OFF states
reported by Wang et al. (2004).
Even though the halo doping device has better scalable performance than the
MOSFETs, still it suffers from the low subthreshold slope. To counter the above
challenges, the surrounding gate tunnel field effect transistor (SG-TFET) is pro-
posed, which exhibits a better subthreshold slope of 7 mV/decade and DIBL of 38
mV/V as compared to conventional TFET. Further, most of the research is carried
out investigating the analog performance metrics like transconductance (gm), unity-
gain cut-off frequency (f T ), output-conductance (gd ) and intrinsic gain (gm/gd ) for
the n-type and p-type triple material high-K surrounding gate TFET reported by
Zhang et al. (2006). The impact of geometric variability on the performance of gate-
stacked and silicon-based single halo-doped TM-SG-TFETs (Si-SH-TM-SG-TFETs)
is investigated and proposed for better performance. The effect of the triple material
gate (TMG) and channel engineering approach on the performance of Ge(SRC)-DH-
DD-TM-SG-TFET is studied.
In Tunnel FETs, current is generated by charge carriers entering channel from the
source through band-to-band tunneling and due to this phenomenon, Tunnel FETs
do not suffer from the limitations imposed on subthreshold swing like in conven-
tional MOSFETs. The influence of parameter fluctuations caused by process varia-
tions on the RF stability performance of germanium source dopingless tunnel FET
(DL-TFET) is reported by Kanchan Cecil et al. (2016). The influence of high-k mate-
rial on the RF stability performance of a double gate junctionless FET is studied
and proposed an optimized structure for better RF performance. Most of the studies
focused on analyzing the behavior of TM-SG-TFETs with and without high-k mate-
rials for improving the DC and analog performance but not on the stability aspects
of the device reported by Tirkey et al.20
In the following section, we have analyzed the RF stability performance of the
Ge(SRC)-DH-DD-TM-SG-TFET for Al2O3/TiO2 high-K dielectric material. Further,
the effect of geometrical variations toward the critical frequency is also analyzed
for proposing the optimized device. The second configuration describes the issues
and problem statements of the proposed structure. The third configuration describes
the RF stability performance of the Ge(SRC)-DH-DD-TM-SG-TFET for Al2O3/TiO2
high-K dielectric material
192 Circuit Design for Modern Applications
FIGURE 12.3 (a) Schematic representation of a germanium source dual halo dual dielectric
triple material surrounding gate tunnel field effect transistor. (b) 3D ATLAS TCAD device
simulator of the proposed device. (c) Silvaco ATLAS simulated mesh profile of Ge(SRC)-
DH-DD-TM-SG-TFET considered for simulation.
Substituting Equations 12.3 to 12.6 in Equation 12.2 will further simplify the K,
which is given by Equation 12.7,
fT U
fk (12.8)
gdsgm R gs V UV(gm R gd 1)
2
where, f T is the unity-gain cut-off frequency, which is one of the important metrics
of analog characteristics of the device, specifies the frequency at which the current
gain reaches unity and is expressed as,
gm
fT (12.9)
2Cgg
Cgs
U= (12.10)
Cgg
Cgd
V= (12.11)
Cgg
gate-source capacitance (Cgs) and gate-drain capacitance (Cgd ) without taking into
account of overlap capacitance.
The internal fringing field (Cfint) as well as the external fringing field (Cfext) is
expressed as
Here, Ɛeffox,Al2O3-TiO2 and ƐGe(SRC) are dual high-K dielectric constants of gate oxide
and germanium source materials. Where W, tGe(SRC), teffox,Al2O3-TiO2 and tgate are germa-
nium width, germanium source thickness, effective oxide thickness, and gate mate-
rial thickness of titanium dioxide and aluminum oxide, respectively. Φf and VFB are
Fermi potential and flat band voltage, respectively.
The energy band profile of Ge(SRC)-DH-DD-TM-SG-TFET and Silicon-based
Single halo TM-SG-TFET in OFF state is presented in Figure 12. 4(a). The energy
gap between the valence band and conduction band is more, due to which tunneling
probability of charge carriers through the tunneling region is negligible. Hence, the
current flow in the device is small and is only due to the leakage current flowing in
the P+–I–N+ diode. The ON-energy band profile is shown in Figure 12.4(b), it clearly
shows that the narrow bandgap present between the channel and source, due to which
tunneling width (λ) is small, subsequently the tunneling rate of the electrons flowing
from source to channel is increased and thereby increasing the device ON current.
FIGURE 12.4 (a) Off-State Energy Band Profile (Vgs = 0 V and VDS = 1 V) and (b) On-State
Energy Band Profile (VGS = 1 V and VDS = 1 V) conditions For Ge(SRC)-DH-DD-TM-SG-
TFET as well as Si-SH-TM-SG-TFET at 1 nm.
Investigation of the Challenges in Analog/RF Circuit Design 195
It is reported that for TFET devices, ON current can be improved with the use of
high-k materials as gate dielectric. Even though the high-k gate dielectrics give bet-
ter device characteristics, but they also lead to dielectric/silicon interfacing defects
when they are placed over the silicon surface. These interface effects are taken into
consideration during the device simulation. TiO2 (Ɛr = 80) and Al2O3 (Ɛr = 9) are
the dual dielectric high-K materials considered for the simulations with an effective
oxide thickness of 2 nm.
FIGURE 12.5 Plot of transconductance (gm) and transconductance generation factor (TGF
V–1) of Ge(SRC)-DH-DD-TM-SG-TFET and Si-SH-TM-SG-TFET at VDS = 1 V.
196 Circuit Design for Modern Applications
FIGURE 12.6 Plot of output transconductance (gd ) as a function of VDS for Ge(SRC)-DH-
DD-TM-SG-TFET and Si-SH-TM-SG-TFET at two fixed bias VGS = 0.4 V and 1 V.
TABLE 12.2
Performance metrics of a Ge(SRC)-DH-DD-TM-SG-TFET for Different
High-K Dielectric Materials at VCGS = VDS = 1V
High-k dielectric ION gm Cgg fT fk
materials (µA/µm) (µS) (fF) (GHz) (GHz)
SiO2 0.1 0.5 2.69E-01 2.6 8.5
Al2O3 0.5 3.09 3.75E-01 4.31 150
ZrO2 5.71 28.4 4.95E-01 24.5 270
TiO2 34.9 145 6.33E-01 150.7 330
Figure 12.7(a and b) shows the plot of maximum frequency of oscillation (fmax) and
gain bandwidth product against gate-to-source voltage (Vgs). It is defined as the fre-
quency at which point the power gain is unity. The f max depends on the ft and Cgd
as shown in the above plot. To achieve high f max, ft should be high and parasitic
capacitance should be minimum. The proposed device Ge(SRC)-DH-DD-TM-SG-
TFET exhibits a higher peak in maximum frequency of oscillation compared to
Investigation of the Challenges in Analog/RF Circuit Design 197
FIGURE 12.7 Plot of (a) maximum frequency of oscillation and (b) product of gain band-
width for both a Ge(SRC)-DH-DD-TM-SG-TFET and a Si-SH-TM-SG-TFET at VDS = 1 V.
12.4 CONCLUSION
In this chapter, the design and optimization methods for a 60 GHz LNA have been
examined, with particular attention to important performance indicators like linear-
ity, noise figure, input reflection coefficient, forward gain, and output return loss. The
difficulties in designing high-frequency LNAs and methodical ways to solve them
using sophisticated circuit design and optimization techniques have been discussed.
In order to improve the performance of the LNA, we also investigated the possibility
of switching to lower nanometer (nm) technology nodes. Smaller technology nodes
have benefits like lower parasitic capacitances and faster electron mobility, but they
can have drawbacks like more flicker noise and process fluctuations. A strict layout
and sophisticated circuit design can help to minimize these problems and maximize
the advantages of lower nm technology.
Furthermore, we have investigated the influence of dual halo dual high-k dielec-
trics on the analog/RF stability performance of 40 nm channel Ge(SRC)-DH-DD-
TM-SG-TFET. From the simulation results, it is observed that the device with low-k
(Al2O3) dielectric attains stability at lower frequencies, but yields low ON current.
It is also noticed that high-k dielectric (TiO2) is responsible for obtaining lower fk.
In addition, the effect of geometrical variability toward fk is studied and results
show a decreasing trend with the increase in channel length, and effective gate oxide
thickness, but an increased trend for drain voltage. The practical elements of device
modeling and bringing it to the circuit simulation have been discussed.
BIBLIOGRAPHY
1. S. Ahmad, N. Alam, and M. Hasan, “Robust TFET SRAM cell for ultralow power IoT
application”, AEU-International Journal of Electronics and Communications, vol. 89,
pp. 70–76, 2018.
2. P. Banerjee, and S. K. Sarkar, “3-D analytical modeling of dual-material triple-gate
silicon-on-nothing MOSFET”, IEEE Transactions on Electron Devices, vol. 64, no. 2,
pp. 368–375, 2017.
3. J.-P. Colinge, “Multiple-gate SOI MOSFETs”, Solid-State Electronics, vol. 48, no. 6,
pp. 897–905, 2004.
Investigation of the Challenges in Analog/RF Circuit Design 199
4. K. J. Kim, S. H. Lee, S. Park, and K. H. Ahn, “60 GHz CMOS gain-boosted LNA with
transformer feedbacked neutraliser,” Electronics Letters, vol. 51, pp. 1461–1462, 2015.
5. Y. S. Lin, and S. S. Wong, “A 60-GHz low noise amplifier for 60-GHz dual-conversion
receiver,” Microwave and Optical Technology Letters, vol. 51, pp. 885–891, 2009.
6. C. S. Wang, J. W. Huang, K. D. Chu, and C. K. Wang, “A 60-GHz phased array receiver
frontend in 0.13-μm CMOS technology,” IEEE Transactions on Circuits and Systems
I — Regular Papers, vol. 56, pp. 2341–2352, 2009.
7. A. A. Roobert, D. G. N. Rani, and S. Rajaram, “Design and optimisation of feedfor-
ward noise cancelling complementary metal oxide semiconductor LNA for 2.4 GHz
WLAN applications”, IET Circuits, Devices Systems pp. 1–12, 2019. DOI: 10.1049/
iet-cds.2018.5291.
8. A. A. Roobert, D. G. N. Rani, M. Divya, and S. Rajaram, “Design of CMOS based LNA
for 5G wireless applications”, in: 6th International Conference on Communication and
Broadband Networking—ICCBN 2018, ACM Digital Library, NTU Singapore, 2018,
pp. 43–47. DOI: 10.1145/3193092.3193095.
9. A. A. Roobert, and D. G. N. Rani, “Survey on parameter optimization of mobile
communication band low noise amplifier design”, International Journal of RF and
Microwave Computer-Aided Engineering, pp. 1–16, 2019. DOI: 10.1002/mmce.21720.
10. A. A. Roobert, and D. G. N. Rani, “Design and analysis of 0.9 and 2.3 GHz concurrent
dual band CMOS LNA for mobile communication”, International Journal of Circuit
Theory and Applications, pp. 1–14, 2019. DOI: 10.1002/cta.2688.
11. M. Venkatesh, G. Priya Lakshmi, R. Namita, and S. Abhishek, “Modeling and simula-
tion of double gate dielectric stack silicon substrate memristor circuits for low power
applications”, Silicon, 2022.
12. G. Lakshmi Priya, M. Venkatesh, A. Andrew Roobert, N. Rawat, and S. Abhishek
“Hybrid silicon substrate FinFET-Metal Insulator Metal (MIM) memristor based sense
amplifier design for the non-volatile SRAM cell”, MDPI Micormachines, 2023.
13. T. S. A. Samuel, M. Venkatesh, M. K. Pandian, M. K. et al. “Investigation of ON
current and subthreshold swing of an InSb/Si heterojunction stacked oxide double-
gate TFET with graphene nanoribbon”, Journal of Electronic Materials, 2021. DOI:
10.1007/s11664-021-09244-5
14. M. Venkatesh, and N. B Balamurugan,” Influence of threshold voltage performance
analysis on dual halo gate stacked triple material dual gate TFET for ultra low power
applications”, Silicon, 2020.
15. M. Venkatesh, M. Suguna, and N. B Balamurugan, “Influence of germanium source
dual halo dual dielectric triple material surrounding gate tunnel FET for improved
analog/RF performance”, Silicon, 2020.
16. K. Suzuki, and T. Sugii, ”Analytical models for n+ -p+ double gate SOI MOSFETs”,
IEEE Transactions on Electron Devices, vol. 42, no. 11, pp. 1940–1948, 1995.
17. K. Suzuki, Y. Tanaka, Y. Tosaka, H. Horie, Y. Arimoto, and T. Itoh, “Analytical surface
potential expression for thin film double gate SOI MOSFETs”, Solid-State Electronics,
vol. 37, no. 2, pp. 327–332, 1994.
18. TCAD Sentaurus Device User Guide, Synopsys Inc., VersionD-2010.03.
19. S. E. Thompson, and S. Parthasarathy, “Moore’s law: the future of Si microelectronics”,
Materials Today, vol. 9, no. 6, pp. 20–25, 2006.
20. S. Tirkey, D. Sharma, D. Singh Yadav, and S. Yadav, “Analysis of a novel metal implant
junctionless tunnel FET for better DC and analog/RF electrostatic parameters”, IEEE
Transactions on Electron Devices, vol. 64, no. 9, pp. 3943–3950, 2017.
200 Circuit Design for Modern Applications
21. P. K. Tiwari, S. Dubey, M. Singh, and S. Jit, “A two-dimensional analytical model for
threshold voltage of short-channel triple-material double-gate metal-oxide-semicon-
ductor field-effect transistors”, Journal of Applied Physics, vol. 1 08, no. 7, pp. 074508-
1–074508-8, 2010.
22. A. S. Verhulst, D. Leonelli, R. Rooyackers, and G. Groeseneken, “Drain voltage depen-
dent analytical model of tunnel field-effect transistors”, Journal of Applied Physics,
vol. 110, no. 2, pp. 1–10, 2011.
23. A. S. Verhulst, B. Soree, D. Leonelli, W. G. Vandenberghe G. Groeseneken, “Modeling
the single-gate, double-gate, and gate-all-around tunnel field-effect transistor”, Journal
of Applied Physics, vol. 107, no. 2, pp. 024518-1-024518-8, 2010.
24. R. Vishnoi, and M. J. Kumar, “Compact analytical model of dual material gate tun-
nelling field effect transistor using interband tunnelling and channel transport”, IEEE
Transactions on Electron Devices, vol. 61, pp. 1936–1942, 2014.
25. R. M. Wallace, and G. D. Wilk, “High-K dielectric materials for microelectronics”,
Critical Reviews in Solid State and Materials Sciences, vol. 28, no. 4, p. 55, 2003.
26. W. Cao, C. J. Yao, G. F. Jiao, D. Huang, and M. Fu Li, “Improvement in reliability of
tunneling field effect transistor with p-n-i-n structure”, IEEE Transactions on Electron
Devices, vol. 58, no. 7, pp. 2122–2126, 2011.
27. H. Liu, M. Shoaran, X. Li, S. Datta, A. Schmid, and V. Narayanan, “Tunnel FET-based
ultra-low power, low-noise amplifier design for bio-signal acquisition”, ISLPED 2014,
ACM Digital Library, DOI: 10.1145/2627369.2627631
28. H.-S. Jhon, J. Jeon, M. Kang, and W. Young Choi, “A sub-0.5 V operating RF low
noise amplifier using tunneling-FET”, Japanese Journal of Applied Physics, vol. 56,
pp. 020303, 2017. DOI: 10.7567/JJAP.56.020303
29. Z. Zhu, A. E. O. Persson, and L. -E. Wernersson, “Reconfigurable signal modulation
in a ferroelectric tunnel field-effect transistor”, Nature Communications, 2023. DOI:
10.1038/s41467-023-38242-w
13 Performance Analysis
of an Inner Gate
Vertical TFET
K. Kalai Selvi, K.S. Dhanalakshmi,
Sathashivam G, and K. Lakshmi Narayanan
13.1 INTRODUCTION
In the realm of semiconductor technology, the search for transistors that can oper-
ate efficiently at lower voltages while maintaining high performance continues to
drive innovation. The inner gate vertical tunnel field-effect transistor (IGV-TFET)
represents a promising advancement in this pursuit, offering potential benefits such
as reduced power consumption, enhanced speed, and improved reliability compared
to conventional metal oxide semiconductor field-effect transistors (MOSFET). This
chapter, “Performance Analysis of an Inner Gate Vertical TFET”, delves into the
fundamental principles, design methodologies, performance analysis, and potential
applications of IGV-TFETs. This introduction establishes the motivations, scope, and
objectives that guide the exploration of this novel transistor architecture. Traditional
MOSFET technology faces challenges as it scales down to nanometer dimensions,
including increased leakage currents and power dissipation. The emergence of
TFETs, leveraging quantum tunneling effects for transistor operation, offers a path-
way to overcoming these challenges by enabling operation at lower supply voltages
and reducing OFF-state leakage. This chapter seeks to contribute to the advancement
of semiconductor research [19] and development by providing a comprehensive over-
view of inner gate vertical TFET technology. By synthesizing theoretical insights
with practical applications, it aims to inspire researchers, engineers, and students
to explore and harness the full potential of this transformative transistor architec-
ture. “Performance Analysis of an Inner Gate Vertical TFET” represents a pivotal
exploration into the frontier of semiconductor technology, where innovative tran-
sistor architectures promise to redefine the capabilities of future electronic devices
[20–23]. Through this exploration, we aim to empower readers with knowledge and
insights that can drive innovation and contribute to the evolution of semiconductor
technology. Welcome to the journey of exploring Inner Gate Vertical TFETs and
their transformative potential in electronic design and applications.
Thermionic emission is used in a conventional MOSFET. It is a process of the
emission of electrons from the source region over a channel barrier to the drain on
the application of sufficient gate voltage [1]. MOSFETs suffer from a high subthresh-
old swing value of 60 mV/dec – the Boltzmann limit [2]. Subthreshold swing (SS)
is limited by thermionic emission. Subthreshold swing is one of the key parameters
measuring the turn-ON capability of the MOSFET. The higher the SS value, the
greater is the drive voltage needed to induce a change in drain current. This puts the
constraint on voltage scaling hence device scaling. The tunnel field-effect transistor
overcomes this constraint, it has SS < 60 mV/dec [3]. The basic structure of Tunnel
FET is a PIN diode with the source being a p-type material, channel intrinsic and
drain n-type material in contrast to MOSFET being a NPN transistor. TFET has
an asymmetric structure while MOSFET is symmetric. The mechanism of charge
transportation in TFET is governed by band-to-band tunneling (BTBT) [4]. Band-to-
band tunneling is a carrier injection process whereby the carrier transit from source
to channel is induced by applying a sufficient gate bias which lowers the barrier
between the valence band of the source and the conduction band of the channel.
Reducing the electrical thickness of the barrier in TFET enables electron flow rather
than lowering the barrier height in MOSFET. TFET can act as a P or N type depend-
ing on dominant carriers – holes or electrons respectively in the channel. Lateral FET
structures have reached their maximum scaling and have evolved as short-channel
devices, the increased functionalities in those devices have led to increased power
dissipation [5]. Short-channel effects occur when channel length roughly matches
the source and drain depletion widths [6]. Roll-off in threshold voltage and drain-
induced barrier lowering are the two main short-channel effects. Threshold voltage
rolls off – as channel length decreases, the voltage at which the transistor is switched
to ON-state changes [7]. This change can lead to variations in the transistor’s perfor-
mance and behavior. Drain-induced barrier lowering (DIBL) – refers to field penetra-
tion from the drain toward the source as drain voltage increases. Due to the reduced
barrier height at the drain, there is an injection of electrons from the source to the
drain without gate control [8]. In short-channel transistors, DIBL becomes more sig-
nificant, leading to increased leakage current. Mitigating the short-channel effect is
an important requirement in semiconductor technology advancement. Researchers
work on developing new materials, device structures, and fabrication methods to
overcome the challenges associated with the scaling of transistors while maintain-
ing reliability and performance. As per the recommendations of the International
Roadmap for Devices and Systems 2020 vertical structures can support increased
functionalities. Vertical Structures doesn’t suffer from the short-channel effect as it
has no limitation on channel length.
This chapter presents a Vertical TFET structure with an inner single gate in the
channel, high-k dielectric material HfO2 as gate oxide & low k SiO2 material in the
drain region.
13.2 DEVICE SCALING
The need for functionalities in devices has led to shrinkage or scaling of devices.
Reduction in device dimensions forced the engineers to reduce the voltage, and cur-
rent. The scaling of devices is classified as
Performance Analysis of an Inner Gate Vertical TFET 203
Constant field scaling or full scaling: In this type all the parameters of the
device such as physical and electrical parameters are scaled. Let us consider the
scaling factor as “S” whose value is greater than 1 (S > 1) now assumes all the
parameters of the device are scaled by scaling factor “S” then all parameters will get
changed to a reduced value. For example, if the original gate length is “L”, threshold
voltage Vth, current I, power dissipated Pd then after scaling the transformed values
are L’ = L/S, threshold voltage Vth’ = Vth/s, Current I’ = I/s, Power dissipated Pd’ =
Pd/s2, respectively.
Constant Voltage Scaling: This type of scaling reduces the physical parameters
of the device like the gate length, and applied electrical parameters are kept constant.
For example, if the original gate length is “L”, threshold voltage Vth, current I, power
dissipated Pd then after scaling the transformed values are L = L/S, threshold voltage
Vth’ = Vth, Current I’ = s*I, Power dissipated Pd’ = s*Pd, respectively. Constant voltage
scaling results in a short-channel effect in the field-effect transistor.
Lateral Scaling: In this scaling only the width of the channel is reduced. It’s
commonly called a gate shrink. The impact of this is an increase in the electric field
in the channel. A high electric field endows charge carriers with high energy. These
high-energy charge carriers can knock the electrons from the atoms and can damage
the device.
Some of the scaling difficulties are gate leakage current, depletion caused by
polysilicon gate, and short-channel effects. Gate leakage current arises due to thin
SiO2 used as dielectric materials. It can be alleviated by replacing SiO2 with high-
k materials that have sufficient thickness to resist leakage [9]. The depletion layer
formed in polysilicon on the application of sufficient bias increases the thickness of
the dielectric layer and affects the device characteristics like drain current. Metal
gates overcome the polysilicon depletion effect as it has high carrier density and a
depletion depth of only 0.5 Å [10]. Short-channel effects are predominant in struc-
tures that have been constructed in the lateral direction. A two-dimensional potential
distribution and a large electric field in the channel region are caused by drain and
gate bias in longitudinal and transverse directions, respectively, due to a reduction in
channel length. Due to the two-dimensional potential variation, the threshold voltage
is altered. This variation of the threshold voltage due to a reduction in channel length
affects the design of the device. Vertical structures have a channel length of more
than 50 nm [11]. Hence it does not suffer from short-channel effects.
within limits due to the tunneling mechanism. Figs 13.1(a) and 1(b) illustrate the
structure of MOSFET and Tunnel FET respectively. When the driving voltage Vgs =
0 in a Tunnel FET, the valence band of the source and conduction band of the chan-
nel are not aligned in an off state, and the energy gap between them is sufficiently
large to prevent the charge flow, in contrast to, on condition when Vgs > Voff band
alignment occurs and the energy separation is reduced enabling the flow of charges
from source to channel. The probability of tunneling of electrons depends on the
height of the energy barrier and tunneling width. Reduction in height and width
of the energy barrier enhances the transportation of charges. The absence of band
alignment in the off state prevents the tunneling of charges. This in turn reduces
leakage current. The increase in drain current on the application of gate voltage is
quantified by subthreshold slope. Subthreshold slope’s counterpart is subthreshold
swing. Subthreshold swing is the gate voltage Vgs needed to alter the drain current by
a factor of 10 as shown in Equation 13.2. Subthreshold swing is measured in mV/dec.
SS < 60 mV/dec in Tunnel FET makes it feasible to reduce gate voltage, supply volt-
age, reduction in power consumption and enable scaling beyond 10 nm. Even though
the TFET has good switching characteristics it suffers from less ON-current. This
setback can be reduced by implanting a pocket in the source, using high-k dielectric
material, reducing oxide thickness, and using low bandgap materials.
direction. This allows the integration of more transistors in the devices. Figures
13.2(a) and 2(b) show the FET structures both in lateral and vertical orientation
respectively. Fabrication of vertical structures is easy compared to lateral structures.
C q / V 0A / d (13.1)
q – is the charge on plates, ε0-free space permittivity, A – area of the plate, and d –
distance between plates of the capacitor. An increase in capacitance at the drain is
an undesirable phenomenon. So a long-channel device is one of the solutions for this.
the source, channel and drain material. High-k HfO2 (k = 25) is used as the dielectric
material in the channel region. In analogous to bipolar junction transistor source
being a supplier has a large area in FET, the drain region is reduced as it collects the
charges. To maximize depletion, a thin channel region is incorporated [12]. Double
gate structures are in existence due to the good control over the charges [13]. In con-
trast to a double gate, a single inner gate is used in the proposed structure as it acts
as a common gate of the entire region to provide better control over the channel. The
suggested TFET is an n-TFET with an n-type drain region, intrinsic (i) region, and
P-type source region.
The design values used in the simulation of the device are source dopant con-
centration – 5 × 10 ^ 20 cm– 3, drain concentration-1 × 10 ^ 18 cm– 3, and channel
concentration-1 × 10 ^ 16 cm– 3. The dimensions of the proposed structure are a
gate length of 50 nm, a source length of 50 nm, drain length of 28 nm. HfO2 of
3 nm thickness is used as a dielectric material. The device dimensions are listed
in Table 13.1. The performance of the suggested structure is examined in terms of
energy bands, different dielectric materials, inner vs outer gate, variation in work
function, input gate voltage, and DIBL.
TABLE 13.1
Device Parameter Dimensions Considered in Simulation
Parameters Dimension Concentration
Source length 50 nm 5 × 1020 cm– 3 (p-type)
Gate length 50 nm 1 × 1016 cm– 3 (p-type)
Channel length 50 nm
Drain region length 28 nm 1 × 1018 cm– 3 (n-type)
Dielectric (HfO2) 3 nm
Width of channel 20 nm
Performance Analysis of an Inner Gate Vertical TFET 207
13.7 ENERGY BAND
Electrons orbiting the nucleus in an atom occupy specific discrete levels of energy
called energy levels. A large number of atoms makes up a solid material. Large
energy levels contributed due to the large number of atoms making up energy bands.
Two energy bands are present in solids-conduction and valence bands. The valence
band comprises low-energy electrons and the number of low-energy electrons is
more. In contrast, the conduction band comprises high-energy electrons and its quan-
tity is less at thermal equilibrium. Band-to-band tunneling relies on quantum theory.
Quantum theory describes how electrons behave as waves. A quantum wave will
not vanish when it hits a barrier; instead, its amplitude will drop exponentially. The
likelihood of discovering a particle inside the barrier drops as a result of the dimin-
ishing amplitude. The amplitude might not be zero on the other side if the barrier is
narrow. The likelihood that some of the particles may tunnel through the barrier is
finite. Figure 13.4 shows the tunneling effect.
Electrons in a TFET migrate from the valence band of the source to the conduc-
tion band of the channel due to the quantum tunneling phenomenon, provided that a
thin energy barrier separates the valence and conduction bands. Figure 13.5 displays
the energy band profile of the suggested device in both the on and off states. Charge
flow is inhibited by the tunneling energy barrier, which is wider in the off-state than
it is in the ON-state. The barrier width is reduced by the application of gate voltage,
causing tunneling.
FIGURE 13.5 Energy band profile in on and off state of the proposed device.
increase by a factor equal to the dielectric constant. Substances with a high dielec-
tric constant are often used in capacitors to increase their energy storage capacity
[14]. Mostly SiO2 has been used as a dielectric for MOSFET. The thickness of the
dielectric layer SiO2 was reduced to increase oxide capacitance to improve current.
However, SiO2 has lost its significance as the leakage current raised to 1 A/cm2
when its thickness was reduced to less than 1.5 nm. So, in search for thick and high
dielectric materials, it led to HfO2. It has a high dielectric constant (k = 25) greater
than SiO2 (3.9). The increased thickness doesn’t reduce the oxide capacitance as its
high-k value, hence high current can be obtained. The use of HfO2 enhances the
ON-current due to high charge holding capacity. ON-current of 10 –5A/μm and 10 –
7A/μm is obtained for HfO and SiO dielectrics in the gate, respectively. An increase
2 2
in current is required to obtain a low SS value. The electric field distribution is shown
in Figure 13.6 for SiO2 and HfO2 dielectric materials.
FIGURE 13.6 Electric field along the channel for inner electrode TFET with HfO2 and SiO2
dielectric material.
Performance Analysis of an Inner Gate Vertical TFET 209
dVg
ss (13.2)
d ln I d
Vg being the gate voltage supplied, Id the drain current. SS is a key parameter measur-
ing the turn-ON capability of the MOSFET. The proposed design has a subthreshold
swing of 14 mV/dec. This has been accomplished by optimizing the design using
the inner gate to have an excellent channel control, compared to the exterior gate
VTFET having SS of 34.12 mV/dec [15].
Evac EF (13.3)
210 Circuit Design for Modern Applications
EF is the Fermi energy and Evac is the vacuum energy level. The threshold voltage
of the FET is determined by the metal-semiconductor work function in accordance
with Equation (13.3). Reducing the work function difference between the semicon-
ductor and the gate reduces the threshold voltage. The voltage required to turn on
the FET is found in Equation 13.4. Threshold voltage can be controlled by a suitable
selection of metal gates.
Qss qN x (13.4)
Vth MS 2F a dmax
Cox C0 x
φMS work function difference between the metal gate and the channel and equal to
m Si F .
Qss – surface charge of the channel.
13.12 TRANSFER CHARACTERISTICS
Transfer characteristics refer to variations of the device’s output-drain current in
response to changes in the input drive voltage. Figure 13.8. shows the transfer char-
acteristics of the proposed design. For a WF value of 4.1 that is close to the conduc-
tion band energy of silicon the threshold voltage (Vth = 0.25V) is less when compared
to WF of 4.7 (Vth = 0.85V). ON-current values decrease as work function difference
Performance Analysis of an Inner Gate Vertical TFET 211
TABLE 13.2
Simulation Outputs for Different Work Functions
Parameters/Work function 4.1 4.3 4.5 4.7
Threshold Voltage (V) 0.25 0.45 0.65 0.85
Subthreshold Swing (mv/dec) 38 14 14 14
IOFF (A/μm) 7.60E-12 1.80E-18 2.00E-18 2.00E-18
ION (A/μm) 3.15E-05 1.60E-05 5.00E-06 9.00E-07
ION/IOFF 4.00E-06 8.00E-12 2.00E-12 4.00E-11
increases since more drive voltage is needed to turn on the device as illustrated in
Figure 13.8.
DIBL Vt Vt
1 2
/ V
d2 Vd
1
(13.4)
Where
Vt1 = threshold voltage at drain voltage Vd1
Vt2 = threshold voltage at drain voltage Vd2
The threshold voltage for the proposed structure is 0.04 and the same for drain
voltages of 0.5V and 3.0 V respectively. The DIBL value obtained is 3 mV/V. When
the distance between the source and the drain increases in long-channel devices (L
≥ 50 nm), the DIBL effect becomes insignificant.
CONCLUSION
Here, an inner gate VTFET is constructed, and several performance metrics are
assessed, including energy band, DIBL, SS, ION, and IOFF. When WF is 4.3 eV, the
simulation results show a significant BTBT rate. Additionally, the performance of
the VTFET is examined and compared with the current exterior gate VTFET to
determine the impact of the inner gate. TCAD simulations were used to produce
all of the results. A sharp subthreshold slope of 14 mv/decade can be reached with
the right device parameter adjustments. In addition, inner gate-VTFETs are used
to achieve the IOFF current of 10 ^ –18 A/µm and the ON-current ION of about 10 −5
A/μm. Low power circuits with better off current, switching, device scaling, and
short-channel effect can be designed using the suggested device.
REFERENCES
1. I. Equilibrium and I. V. C. Discussion, “The MOSFET : a barrier-controlled,” pp.
29–44.
2. P. Kin. Cheung National Institute of Standards & Technology, Gaithersburg, MD 20899
USA,” vol. 4, pp. 72–73.
3. W. Y. Choi, B. G. Park, J. D. Lee, and T. J. K. Liu, “Tunneling field-effect transistors
(TFETs) with subthreshold swing (SS) less than 60 mV/dec,” IEEE Electron Device
Lett., vol. 28, no. 8, pp. 743–745, 2007, doi: 10.1109/LED.2007.901273.
Performance Analysis of an Inner Gate Vertical TFET 213
22. N. Paras, S. B. Rahi, A. K. Upadhyay, M. Bharti, and Y. S. Song, “Design and analysis
of novel La: HfO2 gate stacked ferroelectric tunnel FET for non-volatile memory appli-
cations”, Memories-Mater. Devices Circuit. Syst., p. 100101, 2024.
23. M. Venkatesh and P. Parthasarathy, “Al2O3/ZrO2 dual-dielectric Gr/CNT nanoribbon
vertical tunnel FET based biosensor for genomic classification and S-protein detection
in SARS-CoV-2”, Heliyon, vol. 10, no. 9, p. e30077, 2024.
14 Low-Power CNTFET-
Based Single-Ended
Write Driver Circuit
for SRAM Design
Aswini Valluri, Posani Vijaya Lakshmi,
and Avireni Srinivasulu
14.1 INTRODUCTION
VLSI designers have only utilized MOSFETs as an fundamental element in circuits
over the past few years. This is because the MOSFET-based circuit drains little
power, and it is even cheaper to fabricate [1, 2]. However, the increase in demand for
high chip density, high speed, and low power cannot be achieved by the process of
scaling. The MOS scaling leads to short channel effects, power dissipation and leak-
age current [3]. For the purpose of making future electronic gadgets much smaller,
smarter, and more efficient, it is critical to concentrate on a different device material.
A wide range of new material options for CMOS technology are thus being explored.
These include materials like carbon nanotube and silicon nanowire FET as well
as the more conventional FINFET and graphene FET. For overcoming the scaling
limit, carbon nanotube field-effect transistor (CNTFET) is chosen as a promising
replacement to the MOSFET for future electronics [4].
CNTFETs, are being studied for a broad range of uses, from large-scale con-
structions to nanometer-scale digital equipment. This is because of their exceptional
mechanical and physical qualities. Physicist S. Lijima discovered carbon nanotubes
by chance in 1991 while working on a project using carbonium. Graphite sheets are
rolled into nanoscale tubes called CNTs, which have excellent mechanical strength,
electrical conductivity, and chemical resistance. The transmission electron micro-
scope is the only way to see carbon nanotubes (CNTs), which are the tiniest known
nanomaterials.
One nanotube makes up a single-walled (SWCNT) and numerous nanotubes
make up a multiple-walled (MWCNT) respectively. The inter-layer spacing between
the nanotubes in MWCNTs is 0.34 nm [5]. CNTFETs consist of graphene sheets that
form the tubes which act as a channel in place of silicon. The graphene rolling as well
as the electrical properties of CNTFET is illustrated by the chiral vectors (n, m) [6].
The value of a chiral vector (n, m) defines if the CNT is a metallic or semiconduct-
ing material. A Chiral vector is one that connects the centers of two hexagons that
determines the structure of a single-walled CNT. Metallic single-walled CNTs are
employed as on-chip interconnects, while semiconducting single-walled CNTs are
used in CNT-based devices as the channel [7–10]. CNTFETs exhibit various advan-
tages of high carrier mobility, good heat conduction, less quantum capacitance and
high transconductance.
Figure 14.1 depicts a typical CNTFET device construction. As a transistor chan-
nel, the CNT in the device may be altered by a gate. The drain and source of the
terminals of CNTFETs are highly doped, but the gate region can be non-doped.
The number of single-walled CNTs positioned adjacent to each other determines the
CNTFET gate width. The value of the pitch is established by computing the differ-
ence that exists between the axes of two successive SWCNTs. The CNTFET gate’s
width, and its diameter, which is based on the chirality vector, are calculated by
using Equations 14.1 and 14.2, respectively.
a
DCNT m 2 n2 mn (14.2)
Where Wmin is the minimum width of a CNTFET gate and M is the number of single-
walled CNTs piled under the top of the gate.
A CNTFET device has the same structure, operation, and current–voltage (I–V)
properties as a normal MOSFET. Similar to the MOSFET devices, CNTFETs also
comprise both the N-type and P-type devices. CNTFET has a similar device size
with similar mobility over the MOSFETs. Therefore, transistor scaling is easily done
especially in complex circuits which require a huge number of transistors. As a result,
the drain current is practically zero at voltage levels (threshold voltage, which is the
smallest voltage that must be provided to turn the transistor “ON”). The transistor’s
threshold voltage is exceeded when the gate voltage increases. The CNTFET thresh-
old voltage can be calculated as a function of nanotube diameter using Equation 14.3.
Low-Power CNTFET-Based Single-Ended Write Driver Circuit 217
aV
VTH (14.3)
3. q. DCNT
Where q is the charge of an electron, Vπ = 3.033eV and a = 2.49Å that represents the
CNT atomic distance.
The vector dependence of nanotube diameter results in a wide range of threshold
voltages for various (n, m). The chirality vector or the diameter can be used to adjust
the CNTFET threshold voltage. This makes CNTFET a more good alternative for
MOSFET-based devices.
Low-power applications play a vital role in the emerging SoCs in which SRAMs
are widely used by occupying almost 70% of the die area [11, 12]. Therefore, various
leakage reduction techniques were also designed [13] to control the overall power.
The design of SRAM with low power is a main perturb of implantable devices [14].
Less power consuming SRAMs are especially required for portable medical devices
like hearing aids and pacemakers. With the upswing of battery-operated devices
especially in biomedical implants, the lifetime of a battery draws attention as it may
cause difficulty for the patient to undergo surgery multiple times for its replacement.
This causes the patient to be affected mentally, physically and financially. Hence
the design of SRAM with low power consumption is very much required. Besides
power consumption, area tenancy also has a great benefit as an increase in area
yields inconvenience to the patients. Curtailing the power consumption and area
occupancy SRAM blocks in turn helps in improving the overall performance of
the SRAM design. For designing SRAM with less power consumption and reduced
transistor count, many circuit-level, technological and architectural approaches are
proposed. The architectural approach mainly includes peripheral circuits such as
sense amplifiers, Wordline Drivers, Decoders, and Write Drivers [15, 16] as they
occupy most of the portion of the SRAM chip. The recent proclamation [17] explores
that about 25% area of the SRAM macro-chip is being occupied by its peripheral
circuits. Many designs were implemented based on the concept of power reduction
and better performance of the peripheral circuits [18–20].
For instance, a Write Driver circuit drives its signals to a huge number of memory
cells for performing the write operation [21]. As the peripheral circuits also use a
large number of transistors for meeting the memory timing constraints, area occu-
pancy and power consumption should also be considered in their design. Therefore,
this chapter focuses on the design of a Write Driver circuit with reduced power and
transistor count which successively reduces the overall power consumption of the
on-chip SRAMs. It presents the modification of [22] resulting in the design of a
Single-Ended Write Driver circuit intended for single-ended SRAM cells.
Figure 14.2 shows the conventional type of 6T SRAM cell structure [23] which
stores one bit of information. Its design is simple enough and well-structured with
the two cross-coupled types of inverters and two access transistors which include
two PMOS and four NMOS. It also consists of a wordline (WL) and the two com-
plementary bitlines BL and BL1 for performing the corresponding operations.
Two storage nodes i.e., Q and Qbar are used to store the data of the core cell. The cell
works on three states such as read, write and hold. The write operation is performed
218 Circuit Design for Modern Applications
by enabling WL through which the two access transistors N1 and N2 are turned
ON. Now, the data that is to be written is given in the complementary form to the
bitlines BL and BL1. Thus, with the help of the two access transistors, the data is
inserted into the cell and stored in the nodes, i.e., Q and Qbar. The read operation
is also performed by enabling WL through which the two access transistors N1 and
N2 are turned ON and precharging the two bitlines to Vdd. At this moment, the data
accumulated in the storage nodes i.e., Q and Qbar, makes one of the two bitlines to
charge to Vdd and the other one to discharge to the ground. This results in a slight
voltage difference between the bitlines that is sensed by a sense amplifier that in turn
produces the actual data which is to be read that is accumulated in the storage node
Q. The Hold operation is performed by disabling WL through which the two pass
transistors, i.e., N1 and N2 are turned OFF breaking the connection between the cell
and the bitlines. In this case, the two cross-coupled inverters help to retain the data.
The rest of this chapter explains the importance of a Write Driver circuit whose
power consumption will be usually more due to the large power dissipation of two
bitlines. It explains the working of the existing design, elaborates the Modified Write
Driver circuit and presents the results and comparison of the designs.
The work presented in this section is designed for the SRAM cells which use two
complementary types of bitlines BL and BL1 for performing the Write operation.
However, the involvement of two bitlines increases the bitline leakage, which further
increases the overall power consumption. However, as the SRAM array is considered
as a power-hungry block, the design of a bit-cell with less power is essential. This is
because of the reason that each and every column of a memory array will be con-
nected to a Write Driver. The power consumption of a write operation will be more
due to the large power dissipation of two bitlines. To lower the per consumption,
many Write drivers were designed [24] which led to the problem of area and bit-
cell’s instability. To achieve less power consumption, a single bitline is preferred to
carry out the write operation. The single bitline could reduce the power dissipation.
Thus, some researchers have proposed different designs of single-ended (SE) write
scheme which includes a single bitline only to perform the write operation. With
the help of the single bitline, data will be entered into the cell and stored in the stor-
age node Q. Several SRAM designs like 5T [25], 7T [26], 7T [27], 9T [28], 6T [29]
and 9T [30] are SE write assist structures. Therefore, it is much necessary to design
the Write Driver circuit also with a single bitline. The single bitline write drivers
function the same as that of the two bitline designs. The only difference is that in
case of two bitline designs, the data to be written into the cell is transferred through
the two complementary bitlines which upon entering into the cell stores in the two
complementary storage nodes. Whereas in the case of a SE scheme, the data to be
inserted into the cell is transferred through a single bitline which upon entering into
the cell stores in only one of the storage nodes while the other storage node stores its
complementary data due to the functionality of the cell. This can be achieved with
fewer transistors as it is not necessary to drive the two bitlines as mentioned in the
next section.
in Figure 14.4. This design uses only six transistors. Similar to the existing design,
the modified one also uses two input signals: WE and the D. Enabling WE and
applying the data to be inserted into the memory cell to D, the write process will
be carried out successfully. When WE is enabled, and if D is given a low logic, BL
will be charged to Vdd and if D is given a high logic, BL will be pulled down toward
the ground. Thus, the data that is to be inserted will be transferred into the core cell
through the single bitline. When write-1 has to be passed to the core cell, the bitline
BL will be driven to Vdd which enters into the core cell through the access transistor
and gets accumulated in the storage node Q. Similarly, when write-0 has to be passed
to the core cell, the bitline BL will be pulled down to the ground which enters into
the core cell through the access transistor and gets accumulated in the storage node
Q. This helps in decreasing the bitline leakage of the memory cell by using a single
bitline instead of using two complementary bitlines. The usage of only six transistors
for designing the SE Write Driver circuit, also reduces the area of the SRAM chip
instead of using 12 transistors for the two bitlines design.
timing diagram, when the WE signal is enabled, and if the data input (D) is provided
with a high logic, WBL receives a logic high signal. Similarly, if the data input is
provided with a low logic, WBL receives a logic low signal. In the case if WE signal
is disabled then irrespective of the Data input values, WBL will continue with the
previously present logic signal. The Modified Write Driver circuit consumes less
power when compared to the existing one, since it uses only six transistors for its
design. Making use of only six transistors also reduces the area occupancy of the
SRAM chip. Since the Modified design is intended for the Write operation carried
on using a single bitline, the power consumed by charging and discharging of the
other bitline can be reduced. Also, the transistor count is reduced which leads to less
area occupancy. The essential parameters that are to be considered for analyzing the
performance of a Write Driver are its power consumption, delay, the power delay
product (PDP) and the area related to the transistor count. Since power consump-
tion and delay are the two main factors of recent requirements, the modified design
is analyzed for these concerns. The outcomes of the modified design are compared
with the existing ones as mentioned in the literature survey. Table 14.1 gives the
comparison results of the Modified Write Driver circuit in terms of relative param-
eters like power, delay, PDP, and number of transistors used to design the respective
circuits.
Figure 14.6 to Figure 14.8 presents the Modified Write Driver circuit in terms of
the parameters mentioned in Table 14.1 at discrete chirality values to have a clear
TABLE 14.1
Parameters Comparison
Existing WD Modified WD
Technology CNTFET(nm) 32 32
Supply Voltage (mV) 900 900
Power (pW) 113.17 79.63
Delay(us) 0.078 0.073
PDP(J) 8.827 × 10–18 5.812 × 10–18
No. of Transistors 12 6
FIGURE 14.6 Power comparison of Existing and Modified WD circuits at different chiral
values.
222 Circuit Design for Modern Applications
FIGURE 14.7 Delay comparison of an Existing and the Modified WD circuits at different
chiral values.
FIGURE 14.8 Power delay product (PDP) comparison of an Existing and the Modified WD
circuits at different chiral values.
idea of the performance of the design. It gives a clear picture of how the parameters
will be affected by a change in the chirality values. As the chirality value goes down,
better power consumption, delay and PDP achieved are observed (Figure 14.7).
Using the proposed Write Driver with a single bitline, SRAM architecture with
1k cells is implemented as shown in Figure 14.9. SRAM architecture consists of
a memory array and many peripheral devices such as a Wordline Driver, Write
Driver, sense amplifier, precharge circuit, column decoder and a row decoder. The
memory array of the architecture is implemented with 1024 cells with 32 rows and
32 columns.
Memory array which is the core block of any SRAM consists of a group of bit-
cells arranged in the pattern of rows and columns where each of the bit-cells is
used to store one bit of information. Each of the bit-cells always stores the data in a
complementary manner. It is designed generally with a collection of more bit-cells
arranged in the pattern of rows and columns. All the cells of each row partake in the
same wordline and all the cells of each column partake in the same pair of bitlines.
The length of an array always depends on the total number of bit-cells stored inside
it. Each cell of the array [31] consists of ten transistors.
The row and column peripherals used for its design along with the proposed
Write Driver are a sense amplifier [32], a Wordline Driver [33], a precharge circuit
and a decoder which helps in driving the read and the write operations of a SRAM
smoothly. A Wordline Driver is one which is responsible for driving a huge number
of bit-cells to perform the desired functionality. It enables the SRAM to execute the
read and write operations. Based on the requirement of executing the read or write
operation, the Wordline Driver helps in making it possible by enabling the required
one.
A decoder is one which provides address input to the wordlines of the core cell
of an array. The address input provided to the decoder decides which cell has to be
accessed. Since an array consists of rows and columns, two Decoders, i.e., row and
column Decoders are used to access a specific bit from the array.
The sense amplifier is one which is responsible for carrying out the read opera-
tion. Every column of the memory array is connected with a sense amplifier. It senses
and amplifies the small voltage difference evolved between the two complementary
types of bitlines of a selected column and produces the desired output, i.e., the data
stored in the core cell. A precharge circuit is one that is responsible for precharging
the two bitlines to Vdd before the commencement of read-and-hold operations.
Figure 14.10 and Figure 14.11 show the timing diagram of the Write and the
read operations respectively of SRAM. Figure 14.10 represents the occurrence of
write operation taking place with the involvement of all the peripheral devices of
the SRAM unit designed with the modified writer driver. Based on the inputs pro-
vided to the row and column Decoders, the bit-cell of a particular row and column
will be selected to proceed with the desired operation. The write operation com-
mences by asserting a logic-1 to the data input of the selected wordline driver. As the
write operation has to be commenced, WWL has to be asserted with a logic-1 and
RWL has to be asserted with a logic-0. However, enabling the WE and providing
the desired logic to the data input of the Write Driver, the bitline of the particular
bit-cell selected will be driven with it and thus the value enters into the memory. The
entered value will be thereby stored in the storage nodes of the cell, i.e., Q and Qbar.
As represented in Figure 14.10, the wordline that is made active through the decoder,
enables the performance of the write operation by storing the data in the storage
nodes with the help of bitlines based on the data given to the Write Driver.
Figure 14.11 represents the occurrence of read operation taking place with the
involvement of all the peripheral devices of the SRAM unit designed with the modi-
fied writer driver. The read operation commences by asserting a logic-0 to the data
input of the selected wordline Driver. For the read operation to commence, the sense
amplifier should be made active by asserting logic-1 to its enable input (SAEN).
Along with that, a precharge circuit should also be made active for precharging
the two bitlines to Vdd before the commencement of the read operation. As the
read operation has to be commenced, RWL should be asserted with a logic-1 and
WWL should be asserted with a logic-0, respectively. Now, based on the data that
is stored in the storage nodes, i.e., Q and Qbar., the two complementary bitlines
will be charged and discharged accordingly. Voltage difference thus aroused will be
observed by a sense amplifier which produces the output logic at Rout which is the
data that is to be read. Therefore, as indicated in Figure 14.11, the activated wordline
by the decoder enables it to execute the read operation relying on the data of storage
nodes with which the sense amplifier senses the voltage difference that is developed
in the bitlines and produces the data that is to be read.
Low-Power CNTFET-Based Single-Ended Write Driver Circuit 225
14.5 SUMMARY
This chapter specifically addresses the pressing requirement for fast-access, low-
power Static Random Access Memory (SRAM) in battery-operated systems, includ-
ing medical implantable devices. Due to the fact that SRAMs typically comprise
approximately 70% of the die area on System on Chips (SoCs), it is imperative to
optimize their design. The objective of this research is to develop a Single-Ended
Write Driver circuit that reduces the number of transistors and power consumption.
The proposed circuit accomplishes a 50% reduction in area occupancy by halving
the number of transistors in comparison to existing designs. The new design’s effi-
cacy is assessed in comparison to existing designs in terms of power consumption,
delay, and PDP. The proposed circuit exhibits substantial enhancements in perfor-
mance and efficiency when implemented with 32 nm carbon nanotube field-effect
transistor (CNTFET) technology at 900 mV using Cadence.
REFERENCES
1. B. H. Calhoun, Y. L. Cao, X. Mai, K. L. T. Oileggi, R. A. Rutenbar, and K. L. Shepard,
“Digital circuit design challenges and opportunities in the era of nanoscale CMOS,”
Proc. IEEE, vol. 96, no. 2, pp. 343–365, 2007.
2. T. Karnik, S. Borkar and V. De, "Sub-90 nm technologies-challenges and opportunities
for CAD," Proc. IEEE/ACM International Conference on Computer Aided Design,
2002, San Jose, CA, USA, 2002, pp. 203-206, doi: 10.1109/ICCAD.2002.1167535.
3. M. Powell, S. H. Yung, B. Falsafi, K. Roy, and T.N.V. Kumar, “Reducing leakage in a
high-performance deep-submicron instruction cache,” IEEE Trans. VLSI Syst., vol. 9,
no. 1, 2001.
4. A. Javey, J. Guo, Q. Wang, M. Lundstrom, and H. Dai, “Ballistic carbon nanotube field-
effect transistors,” Nature, vol. 424, no. 6949, pp. 654–657, 2003.
5. J. Appenzeller, ‘‘Carbon nanotubes for high-performance electronics—progress and
prospect,’’ Proc. IEEE, vol. 96, no. 2, pp. 201–211, 2008
6. P. Praksh, K. M. Sundaram, and M. A. Bennet, “A review on carbon nanotube field
effect transistors (CNTFETs) for ultra-low power applications,” Renewable Sustainable
Energy Rev., vol.89, pp. 194–203, 2018.
7. A. Bachtold, P. Hadley, T. Nakanishi, and C. Dekker, ‘‘Logic circuits with carbon nano-
tube transistors,’’ Science, vol. 294, no. 5545, pp. 1317–1320, 2001.
8. J. T. Butler, ‘‘Multiple-valued logic examining its use in ultra-high-speed computa-
tion,’’ IEEE Potentials, vol. 14, no. 2, pp. 11–14, 1995.
9. A. Heung and H. T. Mouftah, ‘‘Depletion/enhancement CMOS for a lower power fam-
ily of three-valued logic circuits,’’ IEEE J. Solid-State Circuits, vol. 20, no. 2, pp. 609–
616, 1985.
10. A. Rahman, J. Guo, S. Datta, and M. S. Lundstrom, ‘‘Theory of ballistic nanotransis-
tors,’’ IEEE Trans. Electron. Devices, vol. 50, no. 9, pp. 1853–1864, 2003.
11. Semiconductor Industry Association (SIA). Int. Tech. Roadmap for Semiconductors
2011 Edition. [Online]. Available: http://www.itrs.nrt/reports.html, accessed Mar. 15,
2015.
12. International Technology Roadmap for Semiconductor (ITRS). Int. Tech. Roadmap for
Semiconductors 2011 Edition. [Online]. Available: https://www.semiconductors.org/
resources/2015-international-technology-roadmap-for-semiconductors-itrs/, accessed,
2015.
226 Circuit Design for Modern Applications
13. K. Jyothi, V. Aswini, P. Vijaya Lakshmi, and M. Sarada, “9T SRAM cell with
MT-SVLTechnique for leakage power reduction,” IT Industry, vol. 9, no.2, 2021.
14. S. Pal, S. Bose, and A. Islam, “Design of SRAM for low power portable healthcare appli-
cations,” Microsyst. Technol., vol. 28, no. 8, 2022. doi:10.1007/s00542-020-04809-6
15. M. Mamidipaka, K.S. Khouri, N. Dutt, and M.S. Abadir, “Analytical models for leak-
age power estimation of memory array structures,” in Proc. CODES+ISSS, pp. 146–
151, 2004.
16. Y. Takeyama et al., “A low leakage SRAM macro Wiyh replica cell biasing schemes,”
IEEE J. Solid State Circuits, vol. 41, no. 4, 2006.
17. Z. Guo, D. Kim, S. Nalam, J. Wiedemer, X. Wang, and E. Karl, “A 23.6 Mb/mm 2
SRAM in 10nm FinFET technology with pulsed PMOS TVC and stepped-WL for
low-voltage applications,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech.
Papers, San Francisco, CA, USA, pp. 196–198, 2018.
18. V. Aswini, M. Sarada and J. Muralidharan, “Design of area efficient, low power and
reliable transmission gate based 10T SRAM Cell for Biomedical application,” J. Eng.
Res., vol. 10, no. 1A, 2021. doi: 10.36909/jer.10399.
19. V. Aswini, M. Sarada and A. Srinivasulu, “Transmission gate-based 8T SRAM cell for
biomedical applications”, in IEEE International Symposium on Advanced Topics in
Electrical Engineering (ATEE), pp. 1–7, May. 2021.
20. L. Kartik, K. Balakrishna, M. Sarada and A. Srinivasulu, “A new low voltage high
performance dual Port 7-CNT SRAMCell with improved differential reference based
sense amplifier”, Int. J. Sensors Wireless Commun. Control Bentham Sci., vol. 7, no. 3,
pp. 246–254, 2017.
21. S. K. Dubey, A. Reddy, R. Patel, M. Abz, A. Srinivasulu, and A. Islam, “Architecture
of resistive RAM with write driver,” Solid State Electro. Lett., vol. 2, pp. 10–22, 2020.
22. A. Priyanka Lee, K. S. Sreekala, and M. K. James, “Design and read stability analysis
of 8T Schmitt trigger based SRAM,” ICTACT J. Microelectron., vol. 02, no. 04, pp.
323–328, 2017.
23. D. V. Gohil, J. R. Patel, and N. R. Shah, “Comparison of CNTFET based 6T SRAM
and MOSFET based 6T SRAM”, Internat. J. Emerg. Technol. Adv. Eng., vol. 4, no. 6,
pp. 625–630, 2014.
24. M. Vkita et al., “A single bitline cross-point cell activation (SPCA) architecture for ultra
low power SRAMs,” IEEE J. Solid State Circuits, vol. 28, pp. 1114–1118, 1993.
25. S. Andersson, S. Natarajan, and A. Ivandpour, “A high density low leakage, 5T SRAM
for embedded caches” in Proc. ESSCIRC, 2004.
26. P. L. Kartik, K. Balakrishna, M. Sarada, and A. Srinivasulu, “A new low voltage high
performance Dual Port 7-CNT SRAM Cell with improved differential reference based
sense amplifier”, Int. J. Sensors Wireless Commun. Control, vol. 7, no. 3, pp. 246–254,
2017.
27. K. Balakrishna, A. Srinivasulu, and M. Sarada, “7-T single end and 8-T differential
dual-port SRAM memory cells”, in Proc. of IEEE Conference on Information and
Communication Technologies, Kumaracoil, India, 2013.
28. B. Wang, T. Q. Nguyen, A. T, Do, J. Jhou, M. Je and T. H. Kim, “Design of an ultra-low
voltage 9T SRAM with equalized Bitline leakage and CAM-assisted energy efficient
improvement,” IEEE Trans. Circuits Syst., vol. 62, no. 2, pp. 441–448, 2015.
29. J. Singh, D. K Pradhan, S. Hollis, and S. P. Mohanty, “A single ended 6T SRAM cell
design for ultra low power applications,” IEICE Electro. Exp., vol. 5, no. 18, pp. 750–
755, 2008.
30. V. Aswini and M. Sarada, “ Low leakage, differential read scheme CNTFET based 9T
SRAM cells for low power applications,” Int. J. Electro., vol. 111, no. 1, pp. 127–148,
2024.
Low-Power CNTFET-Based Single-Ended Write Driver Circuit 227
31. J. P. Kulkarni, K. Kim, and K. Roy, “A 160 mV robust Schmitt trigger based subthresh-
old SRAM,” IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2303–2313, 2007.
32. B. Dobkin and J. M. Williams, Analog Circuit Design, 2013. 1st Edison, Published by
Elsevier Inc., ISBN: 978-0-12-397888-2
33. S. Gupta, K. Gupta, B. H. Calhoun, and N. Pandey, “Low power near threshold 10T
SRAM bit cells with enhanced data-independent read port leakage for array augmenta-
tion”, IEEE Trans. Circuits Syst., vol. 66, no.3, pp. 978–988, 2018.
15 Electron Mobility Models
and Subthreshold
Swing for Modern
Semiconductors
Shiromani Balmukund Rahi and Young Suh Song
FIGURE 15.1 Illustration describing the basic structure of MOSFET. Conventionally, SiO2
had been adopted for gate dielectric material, but HfO2 with SiO2 interfacial layershavebeen
adopted for recent MOSFET designs.
230 Circuit Design for Modern Applications
dVG
SS (15.1)
d loglog I D
SS 60 mV 1 CD / Cox (15.5)
As explained in Equation 15.1, the subthreshold swing (SS) could be explained by the
change in gate voltage according to the change in log(ID). As described earlier, this
SS value needs to be decreased in most cases, because low SS means that the same
current-change could be achieved by a small gate voltage change. Normally, a lower
SS value in transistor design enables low power consumption in transistors.
Equation 15.2 shows the drain current, especially under the linear region. This
could be derived by utilizing Taylor series expansion. Equations 15.3–15.5 show
the overall simplification for subthreshold swing. As shown in Equation 15.5, the
subthreshold swing of “silicon-channel devices” normally has a higher value than
60 mV under temperature of 300 K, because CD and Cox are normally positive values
[5–7].
So far, the concept of “subthreshold swing” has been explained “mathematically”.
However, for a more easy and intuitive understanding, the figure with equations is
shown in Figure15.2. Let’s simply think about the following three cases.
In Table 15.1, when it comes to case A, when the gate voltage changes 1 V (from 0
V to 1 V), log(ID) changes only 1 (from –6 to –5). Then, according to Equation 15.1,
TABLE 15.1
ThreePossible Cases for
Transistor’s Characteristic
Characteristics
Case A ID = 10 A (when VG = 0 V)
–6
ID = 10–5A (when VG = 1 V)
Case B ID = 10–6A (when VG = 0 V)
ID = 10–4A (when VG = 1 V)
Case C ID = 10–6A (when VG = 0 V)
ID = 10–3A (when VG = 1 V)
the subthreshold swing for case A is 1 V/dec = 1000 mV/dec. Normally, for “sub-
threshold swing”, many engineers use the unit of [mV/dec] for easy understanding.
On the other hand, when it comes to case B, when the gate voltage changes 1 V
(from 0 V to 1 V), log(ID) changes 2 (from –6 to –4). Then, according to Equation
15.1, the subthreshold swing for case A is 1 / 2 = 0.5 V/dec = 500 mV/dec.
However, when it comes to case C, when the gate voltage changes 1 V (from 0
V to 1 V), log(ID) changes 3 (from –6 to –3). Then, according to Equation 15.1, the
s15.ubthreshold swing for case A is 1 / 3 = 0.3 V/dec = 333 mV/dec. Considering the
fact that a lower subthreshold swing value is normally desirable for transistor design,
case C might be the most promising case.
TABLE 15.2
The Significance of the Electron Mobility
Category Explanation
Speed of Electron Electron mobility directly impacts how rapidly electrons can traverse a
Movement semiconductor material when subjected to an electric field. Higher electron
mobility results in faster electron drift speeds, which are crucial for
achieving high-speed operations in electronic circuits. For example, in
transistors, increased electron mobility facilitates faster switching, leading
to improved device performance in terms of speed and response time.
Device Performance The mobility of charge carriers, such as electrons, significantly affects the
overall performance of semiconductor devices. In fundamental electronic
components like field-effect transistors (FETs), electron mobility
determines the efficiency of channel conductivity and the speed at which
the transistor can transition between its on and off states. Improved
electron mobility contributes to lower resistance and decreased power
consumption, resulting in more energy-efficient devices with superior
overall performance.
Power Consumption Electron mobility plays a critical role in determining the power efficiency of
semiconductor devices. Enhanced mobility allows electrons to move with
greater freedom and reduced resistance, leading to lower power dissipation
during device operation. This aspect is particularly crucial in low-power
applications such as mobile devices, IoT sensors, and battery-operated
systems, where minimizing power consumption is essential for extending
battery life and enhancing energy efficiency.
Material and Device Semiconductor materials exhibiting higher electron mobility are preferred
Optimization for fabricating high-performance devices, especially in applications
requiring high frequencies and swift operations. Engineers and researchers
focus on optimizing material characteristics and device structures to
enhance electron mobility, resulting in the development of advanced
semiconductor technologies with enhanced performance attributes.
Technology As semiconductor technology evolves and device dimensions shrink, the
Advancements importance of electron mobility becomes even more pronounced. Scaling
down device sizes can introduce challenges such as increased resistance
and reduced carrier mobility. Hence, ongoing exploration of innovative
techniques and materials is crucial to maintaining or improving electron
mobility while scaling down semiconductor devices to meet the evolving
demands of next-generation electronics.
In summary, electron mobility serves as a foundational element that drives
the design, performance, and energy efficiency of semiconductor devices.
Efforts aimed at understanding and optimizing electron mobility are
essential for advancing semiconductor technology and creating state-of-
the-art electronic systems with enhanced functionality and superior
performance characteristics.
234 Circuit Design for Modern Applications
TABLE 15.3
The Explanation of the Modern Electron Mobility Model
Name of model Explanation
Concentration and temperature- Caughey-Thomas formula. Usually applied for the temperature
dependent model from 77K to 450K.
Concentration dependent Si and GaAs mobility model under 300K.
Watt model The transverse field model is applied to surface nodes only.
Modified Watt More sophisticated Watt model. This model usually applies to
non-surface nodes. This model also utilizes E⊥ effects. Good for
modeling planar MOSFET.
Tasch model This model includes the dependence of the transverse field.
However, these models are not good for 3D MOSFET such as
FinFET and GAA MOSFET. This model requires a very fine grid.
Parallel electric field dependence This model utilizes the velocity saturation effect.
model
Carrier–carrier scattering This model is also called the Dorkel–Leturq model. This model
considers the dependence of n, N, and T. This model is usually
used when carrier concentration is very high.
TABLE 15.4
Intrinsic Electron and Hole Mobility in Different Channel Materials
(Under 300 K)
Si Ge InAs InSb GaAs
Electron mobility (cm V s )
2 –1 –1 1600 3900 40,000 77,000 9200
Hole mobility 430 1900 500 850 400
Bandgap (eV) 1.12 0.66 0.36 0.17 1.4
Dielectric constant 11.9 16 14.8 17.7 12.4
236 Circuit Design for Modern Applications
TABLE 15.5
High Mobility Channel Materials
Material Explanation
III-V Semiconductor materials known as III-V compounds are created from elements in
Compounds group III (such as gallium, aluminum, and indium) and group V (such as nitrogen,
phosphorus, arsenic, and antimony) of the periodic table. Their exceptional
electron mobility results from specific crystal structures and band alignments. III-V
compounds like gallium arsenide (GaAs), indium phosphide (InP), and gallium
nitride (GaN) are extensively utilized in high-frequency and high-speed electronic
devices. GaAs, in particular, arerenowned for theirremarkable electron mobility
and find widespread use in microwave devices, high-speed transistors, and
optoelectronics.
Germanium Germanium stands out as a semiconductor material with higher intrinsic carrier
(Ge) mobility than silicon, owing to its narrower bandgap. This characteristic makes it
particularly suitable for applications requiring enhanced carrier mobility, such as in
high-speed transistors and detectors. Ge-based materials are frequently
incorporated in complementary metal-oxide-semiconductor (CMOS) technology to
boost transistor performance. Ge channels within CMOS devices contribute to
improved electron and hole mobility, resulting in faster switching speeds and lower
power consumption compared to pure silicon counterparts.
Silicon- SiGe represents a hybrid semiconductor material combining silicon and germanium,
Germanium offering increased carrier mobility over pure silicon while remaining compatible
(SiGe) with existing silicon-based fabrication processes. SiGe is commonly employed to
fabricate strained silicon layers in CMOS technology, deliberately distorting the
lattice structure to enhance carrier mobility. The adoption of strained silicon
technology with SiGe layers has been pivotal in achieving significant performance
enhancements in modern integrated circuits, particularly in terms of speed, power
efficiency, and overall device functionality.
15.6 CONCLUSION
This chapter has explained the concept of subthreshold swing and electron mobility
with some mathematical equations and figures. All in all, even though there have
been various electron mobility models, each model has its own strengths and weak-
nesses. By utilizing various electron mobility models, it is expected that scientists
and engineers will be able to design and develop next-generation designs. The con-
cept of electron mobility and subthreshold swing isimportant for understanding the
main characteristics and behaviors of transistors.
238 Circuit Design for Modern Applications
Acknowledgment
In this chapter, the knowledge in previous research has been widely utilized, and the
sources of information arelisted inthe reference format (e.g., [1, 2], …). The author
would like to sincerely thank the authors who have put their sincere effort into pub-
lishing many articles and research related to this chapter.
REFERENCES
1. A. Aminbeidokhti et al., “Gate-voltage independence of electron mobility in power
AlGaN/GaN HEMTs,” in IEEE Transactions on Electron Devices, vol. 63, no. 3, pp.
1013–1019, March 2016, doi: 10.1109/TED.2016.2519533.
2. J. Yaita, K. Fukuda, A. Yamada, T. Iwasaki, S. Nakaharai and J. Kotani, “Improved
channel electron mobility through electric field reduction in GaN quantum-well dou-
ble-heterostructures,”IEEE Electron Device Letters, vol. 42, no. 11, pp. 1592–1595,
Nov. 2021, doi: 10.1109/LED.2021.3116595.
3. Y. Chuang, C.-Y. Liu, G.-L. Luo and J.-Y. Li, “Electron mobility enhancement in GeSn
n-Channel MOSFETs by tensile strain,”IEEE Electron Device Letters, vol. 42, no. 1,
pp. 10–13, Jan. 2021, doi: 10.1109/LED.2020.3041051.
4. S. Krivec, M. Poljak and T. Suligoj, “The physical mechanisms behind the strain-
induced electron mobility increase in InGaAs-On-InP MOSFETs,”IEEE Transactions
on Electron Devices, vol. 65, no. 7, pp. 2784–2789, July 2018, doi: 10.1109/
TED.2018.2838681.
5. Y. Turkulets and I. Shalish, “Mobility and sheet charge in high-electron mobility tran-
sistor quantum wells from photon-induced transconductance,”IEEE Electron Device
Letters, vol. 40, no. 3, pp. 383–386, March 2019, doi: 10.1109/LED.2019.2892008.
6. C. Zhang et al., “Temperature dependence of the surface- and buffer-induced current
collapse in GaN high-electron mobility transistors on Si substrate,”IEEE Transactions
on Electron Devices, vol. 62, no. 8, pp. 2475–2480, Aug. 2015, doi: 10.1109/
TED.2015.2446504.
7. T. V. Kumar, M. Venkatesh, B.Muthupandian, et al. “Charge density based small
signal modeling for InSb/AlInSb asymmetric double gate silicon substrate HEMT
for high frequency applications,” Silicon, vol. 14, pp. 6009–6018, 2021. doi:10.1007/
s12633-021-01383-y.
8. A. Beckers, F. Jazaeri and C. Enz, “Theoretical limit of low temperature subthresh-
old swing in field-effect transistors,”IEEE Electron Device Letters, vol. 41, no. 2, pp.
276–279, Feb. 2020, doi: 10.1109/LED.2019.2963379.
9. X. E. Bee, M. M. B. M. Fauzi and P. B. Y. Tan, “Modeling of MOSFET subthresh-
old swing mismatch with BSIM4 model,”2016 IEEE International Conference on
Semiconductor Electronics (ICSE), Kuala Lumpur, Malaysia, 2016, pp. 86–88, doi:
10.1109/SMELEC.2016.7573597.
10. C. -T. Yen et al., “Negative bias temperature instability on subthreshold swing of
SiC MOSFET,”2016 European Conference on Silicon Carbide & Related Materials
(ECSCRM), Halkidiki, Greece, 2016, pp. 1–1, doi: 10.4028/www.scientific.net/ MSF
.897.533.
11. Y. Yin and K. B. Lee, “High-performance enhancement-mode p-channel GaN
MISFETs with steep subthreshold swing,”IEEE Electron Device Letters, vol. 43, no. 4,
pp. 533–536, April 2022, doi: 10.1109/LED.2022.3152308.
Electron Mobility Models and Subthreshold Swing 239
16.1 INTRODUCTION
Since the invention of the first transistor, the semiconductor industry has always
aimed at improving the electrical performance of the produced ICs. This is achieved
only by reducing the size of the transistors, as well as by increasing the number of
integrated transistors into the CMOS chips technology [1]. According to the ITRS
predictions, the physical grid length of MOSFETs can be reduced up to 5–6 nm [2].
However, adverse effects, especially short-channel effects (SCEs), are the main obsta-
cle to this reduction and this trend toward miniaturization. The DG MOSFET has
been chosen as one of the best candidates for replacing the conventional MOSFETS
in the manufacture of very large-scale CMOS circuits (VLSI) [3, 4]. Indeed, the DG
MOSFET has several advantages, for example, the low grid current, a high current,
excellent control of short-channel effects, and a slope below the near-ideal threshold
[5]. On the other hand, DG MOSFET’s compact transistor models are very useful for
circuit simulation and analysis. A compact model is a mathematical description of
the electrical behavior of a device. A compact model thus provides a bridge between
the transistor level and the circuit level, providing a way to analyze transistors and
establish more complex circuits in circuit simulators, such as SPICE [6].
In the literature, the compact modeling of a double-grid TMOS is done in two
ways: implicit or explicit. In the first case, the model uses an iterative calculation
procedure [7, 8]. In the second case, this type of model does not use iterative calcula-
tion [9]. However, explicit models of simple analytical formulation are most suitable
for circuit simulation. In this part, we present a compact modeling of a DG MOSFET
with a low-doped channel. For this, we adopt a basic physical approach that describes
the static and dynamic behavior of the device using analytical expressions of simple
formulations adequate for the simulation of circuits. The model is validated for a
long-channel double-grid TMOS, as well as for the short channel. Effects such as
DIBL, VT Roll-off, and degraded mobility are taken into account. To validate the
obtained results from the compact modeling, we compare these with those obtained
from a digital simulation done with the commercial software SILVACO-TCAD.
In this chapter, we will be devoted to the implementation of the DG MOSFET
compact model in the Verilog-AMS hardware description language. We will per-
form a time analysis and simulation of circuits based on a DG MOSFET, such as
the Colpitts oscillator and the passive charge inverter, using the SMASH circuit
simulator.
d 2 q.n
(16.1)
dy 2 µsi
Φ is the electrostatic potential, n the electron density, ε si is the permissiveness of
silicon and q is the elementary charge of electrons. According to Boltzmann's statis-
tic, the electron density n can be defined as [12]:
Vch
n n i .exp (16.2)
t
t ( K .T / q) is the unit of thermodynamic voltage, ni corresponds to the intrinsic
density of carriers in silicon and Vch is the potential of Fermi’s quasi-level. By replac-
ing the solution of Equation 16.2 with Equation 16.1, the Poisson equation is rewrit-
ten as follows :
d 2 q.ni Vch
.exp (16.3)
dy 2
µsi t
The unbounded integral of Equation 16.3, leads to the solution of the following elec-
trical field [13]:
2.q.ni . t
E . exp ( Vch ) / t C1 (16.4)
si
C1 is the constant of integration.
Through Equation 16.4, the surface potential s y tsi / 2 can be expressed
as follows:
Qg2
s Vch t .ln C1 (16.5)
si
2 . .q. .n
t i
Qg corresponds to the grid charge density.
The application of Gauss’s law to the grid oxide interface leads to the following
expression [14]:
Qg
Vgs MS s (16.6)
Cox
Cox is the capacity of grid oxide and MS is the difference of output work between
grid metal and silicon. By replacing the solution of Equation 16.5 with Equation
16.6, we get :
244 Circuit Design for Modern Applications
Qg Qg2
Vgs MS Vch t .ln C1 (16.7)
Cox 2. si .q. t .ni
with C1 Qg / q.ni .(tsi / 2)
The grid charge density Qg can be calculated using the Gauss law [15]:
d
Qg si . (16.8)
dy y tsi / 2
Since this device is a double-grid MOS transistor, we have two grid charge densities.
The mobile charge density Qm can then be calculated according to [16]:
Qm 2.Qg (16.9)
Then, by normalizing the voltage relative to Φ t and the charge relative to 4.Cox .Φ t
[13, 17], the Equation 16.7 is rewritten as:
C
vg vch vto 4.qg ln qg ln 1 qg . ox (16.10)
Csi
vto MS ln q.n i .tsi / 8.Cox . t
corresponds to the threshold voltage in the
case of a long-channel DG MOSFET and Csi is the capacity of silicon.
Equation 16.10 that we have just obtained is a primordial equation that connects
tensions, charge density, and different capacities. This implicit equation will allow,
by solving it, to calculate the density of mobile charge. We will discuss this in detail
in the following paragraphs.
W V ds
I ds . Qm .dVch (16.11)
L 0
µ is the mobility of electrons and W the width of the device.
Using Equations 16.8, 16.9, and 16.11, the standard drain current expression is as
follows [17]:
2
i qmd qms 2. qmd qms 2. Csi / Cox .ln 1 qmd qms . Cox / 2.C
Csi
(16.12)
Multiple-Gate MOSFET Model Implemented in Analog Circuits 245
FIGURE 16.2 Organigram describing the main steps of iterative charge calculation using
the Newton-Raphson method
The final drain current can then be calculated after denormalization of the solution
of the Equation 16.12 by the factor 4.µ.Cox t2 . W / L .
FIGURE 16.3 Variation of drain current based on grid voltage for a long-channel DG MOS
(a); variation of the standardized mobile charge density based on the long-Channel DG MOS
grid tension (b), for Vds=1 V
16.3.2.2 Direct Calculation
To solve the problem of iterative calculation, the mobile charge density must be
explicitly calculated. This is in the linear regime and in the saturation one.
For v( vg vch vto ), α(Cox / Csi ) and q(= qg ) , Equation 16.10 is rewritten as
follows [19]:
q qt . q qt
ln q 1 .q ln qt 1 .qt 2. (16.14)
q qt 2 . q qt
After injection of Equation 16.14 into Equation 16.13 and rearrangement, a 2nd-
order equation is obtained as follows:
2.(1 2..qt )
with a and b ln qt (1 .qt )
1 .qt
The solution of Equation 16.15, is then obtained:
2
va b va b
q0 1 / 2. qt qt 2a.qt (16.16)
4 4
Multiple-Gate MOSFET Model Implemented in Analog Circuits 247
By replacing the solution of Equation 16.16 in the term ln q 1 .q of Equation
16.13, the grid charge density in the saturation regime qgS is then calculated directly
via the following solution:
qgS 1 / 4. v ln q0 . 1 .q0 (16.17)
v ln q 1 / FW (ln q) (16.18)
1
with FW (ln q) and ln q ln q ln qt .
4.qt exp( ln q) ln(1 .q.exp( ln q))
qt 4 (1 4.qt )
1
FW (ln q) . 1 ln q
4.qt ln(1 .qt ) (1 .qt ).(4.qt ln(1 .qt ))
(16.19)
By replacing the solution of Equation 16.19 with Equation 16.18, we get the following
one (after arrangement):
1 2 ln qt v v.ln qt
.ln q 1 .ln q a v 0 (16.20)
b b b
a(1 .qt )
with a 4.qt ln(1 .qt ) and b .
qt 4.(1 )
The solution of Equation 16.20 is given by [19]:
q0 exp 1 / 2. v (b ln qt ) [v (b ln qt )]2 4ab (16.21)
248 Circuit Design for Modern Applications
FIGURE 16.4 Variation of the normalized mobile charge density based on grid voltage,
comparison between iterative (red) and direct (black) calculations, for Vds=1 V
exp(v 4q0 )
qgL (16.22)
1 / 2 1 / 4 .exp(v 4q0 )
In Figure 16.4, we compare the mobile charge density calculated directly with that
obtained by the iterative charge calculation using the Newton-Raphson method, for a
constant drain voltage Vds = 1V and a grid voltage Vgs varies from 0 to 1.4 V. It can
clearly be seen that the charge density obtained with the iterative calculation is in
good harmony with that obtained through the direct one.
1 t
s ( x) . c ( x) ox . si .(Vgs V fb ) (16.24)
ox tsi 4 . t
1 . si ox
4. si tox
where Φ c ( x) is the electrostatic potential at the center of the silicon channel. The
latter is written as [16]:
Multiple-Gate MOSFET Model Implemented in Analog Circuits 249
c ( x) Vgs MS
x Lx
sinh sinh
Vbi F Vds Vgs MS . l V V . l
L
bi F gs MS
L
sinh sinh
l l
(16.25)
With:
Vbi is the drain-channel and source-canal junction voltage.
si .t si .tox .t
l 1 ox si is the natural length of a DG MOSFET [22].
2.ox 4. si .tox
Φ cmin is the minimum electrostatic potential. The latter can be evaluated via
d c ( x) / dx x L / 2 .
Taking into account the latter expression and Equation 16.25, Φ cmin is written as:
L
sinh
c min Vgs MS 2. Vbi F Vgs MS Vds . 2.l (16.26)
L
sinh
l
The threshold voltage of the short channel device can be defined as the grid voltage
Vgs for which Φ cmin of Equation 16.26 equals to vto . t [23].
We can determine the threshold voltage of the DG MOSFET for short channels
according to [16]:
L
sinh
vto 2. vbi F vds . 2.l
L
sinh
vth l (16.27)
L
sinh
1 2. 2.l
L
sinh
l
where vto is the normalized threshold voltage of the long-channel device.
By replacing Equation 16.27 with Equation 16.28, we get the voltage shift ∆vth (after
arrangement and approximation) [16]:
16.4.2 Degradation of Mobility
The degradation of mobility related to the transversal and longitudinal electric field
is given by the following expression [14]:
T
eff (30)
1 T
L
Where:
µT is transversal field mobility.
µ L is lateral field mobility.
By applying the definition of saturation of the operating carrier speed and mobil-
ity and lateral field, µ L can be written in a simplified way, such as:
1
L sat (31)
ds
t
L
with ν sat corresponds to the saturation rate of the carriers.
Transversal field mobility is given by [16]:
0
T (32)
Eeff
1
E0
Where:
µ0 corresponds to the mobility of low electric field carriers, the latter is consid-
ered as an adjustment parameter.
Eeff q g .4.Cox .UT / si is the effective electric field.
By replacing the solution of the Equations 16.31 and 16.32 in Equation 16.30, the
final expression of mobility is written as follows:
Multiple-Gate MOSFET Model Implemented in Analog Circuits 251
T
eff (33)
ds t
1 T . .
L sat
16.5 INTRINSIC CAPACITORS
The intrinsic capacitors of the transistor can be defined as the deviation of the charge
relative to the voltage applied to the different terminals [24]:
Qx Qx
C xy (16.34)
Vy x y
Vy x y
FIGURE 16.5 Equivalent circuit of the dual-grid TMOS in symmetrical operation mode
taking into account intrinsic capacitors.
252 Circuit Design for Modern Applications
TABLE 16.1
Analytical Expressions of the Intrinsic Capacitors of the Dual-Grid TMOS in
Symmetrical Operation Mode.
Capacity Analytical expressions
Drain-grid
4 3 6 3 28 2 10 2 15
f r f r f f r
2
22 10 2
f r r
C 1 / 15.C
dg ox _ T 3
f r
Source-grid
4 3 6 3 28 2 10 2 15
r f r f r f r
2
22. 10 2
r f f
C 1 / 15.C
sg ox _ T 3
f r
Drain-source
(2 1) ( 2 2 3 )
f f r f r
C 2 / 15.C .
ds ox _ T 3
f r
Source-drain
(2 1) ( 2 2 3 )
r f r f r
C 2 / 15.C .
sd ox _ T 3
f r
Grid-grid C C C
gg sg dg
Grid-source C C C C
gs sd ds sg
Grid-drain C C C C
gd sd ds dg
Drain-drain C C C
dd dg ds
Source-source C C C
ss sg sd
Multiple-Gate MOSFET Model Implemented in Analog Circuits 253
FIGURE 16.6 Variation of drain current depending on the drain voltage of the long-channel
DG MOSFET for different grid tensions. Line: model; dots: digital simulation.
FIGURE 16.7 Variation of drain current depending on the drain voltage of the short-chan-
nel DG MOSFET (Semi-Log scale). Line: model; symbols: digital simulation
254 Circuit Design for Modern Applications
FIGURE 16.8 Variation of grid-grid capacity depending on grid voltage. Line: model; sym-
bols: digital simulation.
In order to validate the modeling results that describe the dynamic appearance of
the component, we compare grid capacity (main capacity C gg Csg Cdg ) with
the digital simulation of the component. According to Figure 16.8, a good agreement
is also achieved in the case of the grid capacity of a DG MOSFET equal to 300 nm
in length.
Figure 16.9, shows the variation of the modeled current according to the tensions
applied on the grid Vgs and the drain Vds , for a device of canal length 1µm , width
fixed to W = 1µm and constant mobility µ = 1000cm 2 / V .s .
In Figure 16.10, we present the influence of double-grid TMOS technological
parameters, such as silicon channel length and oxide thickness. We can see that
the reduction in length ( L = 1.0 : 0.6µm ) (Figure 16.10(a)) and oxide thickness
( tox = 2.0 : 1.5µm ) (Figure 16.10(b)) are accompanied by an increase in drain current
and the current Ion .
FIGURE 16.9 Transfer features (a); output features (b), for a long-channel DG MOSFET
Multiple-Gate MOSFET Model Implemented in Analog Circuits 255
FIGURE 16.11 Influence of the mobility degradation effect on the transfer characteristic of
the double-grid TMOS.
oxide. From this figure, we can see the effect of the degradation of mobility under
the influence of the transversal and lateral electric fields. This degradation is essen-
tially reflected in the reduction of the drain current and especially the current Ion.
In the case of constant mobility Ion( µ0) = 0.0136 A , as in the effective mobil-
ity Ion( µeff ) = 0.0105 A .
FIGURE 16.12 Organigram describing the main steps of HDL simulation in the SMASH
environment.
libraries; then they are added to the main catalog. The second part is devoted to the
development and linking of each example of the model to the design hierarchy. The
development is carried out by loading the modules into the design hierarchy, link-
ing each example to its ports, and solving hierarchical references. The last part is
reserved for the simulation and execution of the elaborated design.
FIGURE 16.13 Transfer characteristics for different drain voltages Vds (a); output charac-
teristic for different grid voltages Vgs (b), for a long-channel DG MOSFET (L = 1 µm, tox = 2
nm and tsi = 25 nm).
Where:
G ( jw) is the transfer function of the oscillator active element
H ( jw) is the transfer function of the passive reaction cell
The oscillations are obtained initially if the loop gain is much higher than the
unit G ( jw).H ( jw) 1 . This gain is then returned to the unit when the oscillations
are established.
In the general case, the frequency of the signal generated by the Colpitts oscillator
depends on the passive components of the resonator circuit (two capacities and one
induction).
TABLE 16.2
Values of the components of the considered circuit
Resistances (Ω) Capacities (F) Inductances (H)
RA RB RC RD CA CB CD CRA CRB LA LRA
75K 120K 50 50 5n 10n 10n 3p 2p 30n 1µ
FIGURE 16.15 Evolution of the output voltage depending on the time of the Colpitts
oscillator.
FIGURE 16.16 Impact of the channel length L, with tox = 2nm, tsi = 25nm, W = 1µm.
FIGURE 16.17 Impact of oxide thickness tox, with L = 1µm et tsi = 25nm, W = 1µm
based on the dual-grid TMOS architecture, and makes the DG MOS an excellent
candidate for the realization of RF oscillator circuits.
FIGURE 16.18 Inverter circuit scheme with passive charge and based on symmetrical DG
MOSFET.
FIGURE 16.19 Evolution of the output voltage of the passive charge inverter.
FIGURE 16.20 Inverter temporal simulation: input signal (a); output signal (b).
262 Circuit Design for Modern Applications
16.9 CONCLUSION
In the first part of this chapter, we presented a compact modeling of DG MOSFET
nanometric, this modeling is based on a basic physical approach. The static and
dynamic behavior of the double-grid TMOS is well described for both long- and
short-channel devices. The short-channel DG MOS modeling was made possible by
taking into account short-channel effects, such as DIBL, V T Roll-off and the effect
of the degradation of carrier mobility.
In order to calculate the charge density, we performed an iterative charge calcula-
tion using the Newton-Raphson method. The problem of iterative charge calcula-
tion is then solved, through a direct calculation procedure built on the Taylor series
development of the “charge” function. The results are validated by digital simula-
tions obtained with the ATLAS tool of the marketed SILVACO-TCAD software.
The results obtained are consistent with those of the digital simulation.
In the second part of this chapter, we aimed at a “circuit” application of the devel-
oped model. For this, we implemented the nanometric DG MOSFET model in a
hardware description language, which is Verilog-AMS. We then analyzed the per-
formance of the device under consideration through the time simulation of the cir-
cuits based on DG MOSFET, such as the Colpitts oscillator and the passive charge
inverter.
For this, we used the SMASH circuit simulator. The results of the “circuits” simu-
lation show the reliability and effectiveness of the Verilog-AMS code of the devel-
oped model. The use of the model in industrial applications, such as the development
of new integrated circuit architectures, may be considered.
REFERENCES
1. B. P. Wong, A. Mittal, Y. Cao et al., Nano-CMOS Circuit and Physical Design, John
Wiley & Sons, Inc, 2005.
2. The International Technology Roadmap for Semiconductors (ITRS), 2011. http://www
.itrs.net/.
3. B. Smaani, Y. Meraihi, F. Nafa, M. S. Benlatreche et al., “ Double-gate MOSFET
model implemented in verilog AMS language for the transient simulation and the con-
figuration of ultra low-power analog circuits ”, International Journal of Electronics
and Telecommunications, vol. 67, no. 4, pp. 609–614, 2021.
4. S. Tayal, B. Smaani, S. B. Rahi, S. Labiod and Z. Ramezani, Device Circuit Co-Design
Issues in FETs, 1st ed. CRC Press, 2023.
5. Y. Li and H M. Chou, “A comparative study of electrical characteristic on sub-10-nm
double-gate MOSFETs”, IEEE Transactions on Nanotechnology, vol. 4, pp. 45–47,
2005.
6. C. H. Kim, A. Castro-Carranza, M. Estrada et al., “A compact model for organic field-
effect transistors with improved output asymptotic behaviors”, IEEE Transactions on
Electron Devices, vol. 60, pp. 36–41, 2013.
7. Y. Taur, X. Liang, W. Wang et al., “A continuous, analytic drain-current model for DG
MOSFETs”, IEEE Electron Device Letters, vol. 25, pp. 07–09, 2004.
8. A. O-Conde and J. G-Sánchez, “Unification of asymmetric DG, symmetric DG and
bulk undoped-body MOSFET drain current”, Solid-State Electronics, vol. 50, pp. 96–
100, 2006.
Multiple-Gate MOSFET Model Implemented in Analog Circuits 263
TABLE 17.1
Conventional PMOS vs Flipped Voltage Follower-Based LDO
Key Parameters Expressions FVF-based PMOS-based LDO
Line Regulation ∆Vout/∆VLine 1/Av,O
Load Regulation ∆Vout/∆Iout Zout ≃ 1/A_v,O Gm,P
Response time t_R 1/BW,CL + Cpar..VG/I_SR
Power supply rejection PSR_DC Av,O
On the other hand, line regulation, and regulation accuracy are dictated by the loop
gain (Milliken et al., 2007), but the intrinsic gain offered by the implemented gain
stages is usually very low in the recent CMOS technologies. So the pursuit of gain
can be achieved with the cascaded gain stages, but they can harm the bandwidth,
because of the occurrence of few high-impedance nodes in the loop. Impedance
modulation can be employed to reduce the impedance of such particular nodes to
improve the BW and subsequently response time to the sharp transients. On the
other hand, low output impedance (Zout) is desirable for an LDO to have better load
regulation. A flipped voltage follower (Man et al., 2008; Lu et al., 2016) based LDO
offers potentially low Zout to meet the specifications regarding the DC load regula-
tion and supply ripple rejection. A flipped voltage follower (FVF) stage can sustain
the stability issue pertaining to large capacitive loads offered by the SoC.
So an analysis of the control loop is necessary to design fast response LDOs,
which is presented in Section 17.2, followed by the discussion on impedance modu-
lation techniques. Conditional stabilities of the various techniques are investigated
through theoretical explanations. An impedance modulation scheme is proposed and
the performance is analyzed in Section 17.3 along with the comparison of the con-
ventional buffers with the same power. The stability of the proposed LDO assisted
by the proposed impedance modulator is presented in Section 17.3.6. A detailed
study of regulation characteristics of the proposed LDO is discussed in Section 17.4,
through simulations.
FIGURE 17.1 FVF-based LDO regulator circuit: a. Control loop, b. Poles occuring in
s-plane.
the phase margin, i.e., in the absence of impedance modulation the pole ωp,G wors-
ens the phase margin (PM) which is explained in Figure 17.1. The voltage buffers
(Al-Shyoukh et al., 2007) have been deployed as a key modulator that sets the gate
node of the pass transistor (MP) apart from the high-impedance nodes of the gain
blocks. The regulation specifications are duly controlled by these gain stages. The
parasitic Cgs,P of the orders of 10’s of pFs at the gate of the MP transistor impacts
the stability if it is acting non-dominant pole (ωp,G) Impedance modulation is a key
mechanism to enhance the loop bandwidth. The loop gain of the control loop of the
FVF-based LDO can be expressed approximately as the product of the transfer func-
tion of individual stages forming the loop, which is represented as:
The stability of such a control loop can be evaluated with the help of two famous
approaches (Ogata, 2010): (1) the Routh-Hurwitz criterion, and (2) the Root–Locus
method. The characteristics equation can be considered for the evaluation of absolute
stability from the first approach. The control loop may have several loops, where
each loop needs to stabilize across the load variations. The recent impedance modu-
lation mechanisms employed in the FVF-based LDOs are listed here and analyzed
for stability in the section following.
FIGURE 17.2 Recent approaches employed for impedance attenuation in the FVF based
LDO: (a) Source follower (Chen and Leung, 2010), (b) Super source follower1 (Huang et al.,
2019), (Ma et al., 2019) (c) Super source follower2 (Choi et al., 2019), (Chang et al., 2014) (d)
Super source follower3 (Cai et al., 2020), (e) Adaptive Voltage Follower (Antaryami, 2022),
(f) Enhanced Super Source Follower (Antaryami, 2022) (g) Voltage combiner (Antaryami,
2022).
FIGURE 17.3 Simulation summary ZOut of the buffers used for impedance attenuation.
Design of an Impedance Attenuated Control Loop 269
FIGURE 17.4 Signal flow graph of FVF-based LDO with SF as the impedance attenuator.
The stability can be achieved by ensuring the inequality described by the following
equation evaluated by the Routh-Hurwitz criterion:
1 1 1 1 1 1 1 1
. p 2 p, G p, out
p , out p 2 p , G p 2 p ,G
(17.3)
1 1 1
ADC p 2 . p, G . p, out
The poles ωp,out represents the pole at the output node of the LDO, ωp,G is the pole
at the gate node of MP, ωp2 is the pole at the node “v2” as shown in Figure 17.4.
FIGURE 17.5 Signal flow graph with SSF as the impedance attenuator.
270 Circuit Design for Modern Applications
1 1 1 1 1 1 1 1
p, out p, G pEA p, 2 p 2 p, EA p, G p, out
(17.5)
1 1 1 1 1 1 1 1
p, G p 2 p, EA p, out p 2 p, G p, out p, EA
FIGURE 17.6 Signal flow graph of the LDO with voltage combiner-based impedance
attenuation.
Design of an Impedance Attenuated Control Loop 271
The loop gain of the control loop with ESSF as the impedance modulator can be
written as:
where Ro,essf represents the output impedance (DC) of the enhanced super source
follower stage.
s
1
H_Buf
ACS .gm5 ASF .gm6 z1
(17.9)
ASF .gm6 1 s
p1, SF
Where z1 (left half plane zero) is derived from Equation 17.9 as:
TABLE 17.2
Node Impedance Offered by the Voltage Buffers
Buffer Circuit High Zout Nodes Low Zout Node
SF [Figure 17.2(a)] Input Output
SSF [Figure 17.2 (b)] Input, Drain of M2 Output
VC [Figure 17.2 (e)] Gate of M5, Gate of M6 Output
AVF [(Antaryami, 2022) Drain of M2 Output
ESSF [(Antaryami, 2022)] NA Output, Drain of M2
This work Input Output
compares its basic features with the conventional source followers. The open loop
gain AVF stage as the impedance modulator can be written as:
Although the stability can be improved with compensation; bandwidth can be lim-
ited, which will cause a slow response while tackling the large load current transients.
Feature of contributing to the gain along with stability, i.e., low output impedance
(≃ 1/(gm,cs + gmb,cs)) helps in isolating ωp,G makes voltage combiner (Povoa et al.,
2019) in Figure 17.2(e) as an alternative impedance modulation scheme. It can pro-
vide ⩾ 1 V/V of gain with proper bias, which can certainly improve the loop gain. It
can be fed with a common source stage (CS) and a common gate stage (CG) to take
advantage of splitting and combining the control loop, which can provide additional
degrees of freedom.
The transfer functions of such a stage can be represented as:
s
Av, CSgm7 Av, CGgm6 1
H_VC z1 (17.14)
Av, CGgm6 1 s
p1, CG
The LHP zero Z1 improves PM and the pole ωp,G can be expressed as;
ωp,Z = ωp,G = Av,cg gm6 + g
The LHP zero Z1 improves PM and the pole ωp,G can be expressed as:
Design of an Impedance Attenuated Control Loop 273
FIGURE 17.7 Signal flow graph of the proposed impedance attenuation mechanism.
274 Circuit Design for Modern Applications
1 gm3 / gm4 1
H_IA s gm6. || rds5 .gm5 || rds5 (17.18)
gm6 1 s gm6
p , ND1
gm3 s
.gm5 gm6 1
gm4 z 2
. (17.19)
gm6 1 s
p , ND1
Where ωpND1 is the non-dominant pole of the gain stage preceding the M5 transis-
tor and ωpND is the non-dominant pole contributed by the impedance attenuator
itself which is usually a high-frequency pole and gets canceled by the in-built zero
ωz2 formed by feed-forward path provided by M6.
1
ZOut, SF s (17.20 a)
gm1 gmb1 1 / rds, B 1 / rds,1 sC _ SF
1
Zout , IA s (17.20 b)
gm6 gmb6 1 / rds, 5 1 / rds, 6 sC _ IA
The loop gain for the proposed impedance attenuator (in Figure 17.3) can be found
by splitting the feedback loop at the folding node of the FVF stage and loading the
folding node with a similar environment as discussed in Man et al. (2008) written
with the help of the Figure 17.4 as:
FIGURE 17.8 Proposed LDO regulator with the Impedance modulator and slew enhance-
ment circuit.
FIGURE 17.10 Simulated transient response of LDO for CL variations, along with their
edge responses (bottom left: falling edge, bottom right: rising edge).
VOut
Tr C (17.22)
I L ,max
IQ
FoM Tr (17.23)
I L ,max
where C happens to be the total on-chip capacitance used, ∆VOut refers to the maxi-
mum deviation in VOut, IL,max is the maximum output current drive and IQ is the
quiescent current. In this work, a recovery time of 104 ps is attained for a 1 ns sharp
transient in load current, owing to the impedance modulation used in the control
loop at 200 pF load. Table 17.3 gives a detailed comparison of the proposed LDO
with respect to recent works. It can be observed that though the work of Huang et
al. (2019) presents the second-best FoM, but edge time of the transients, quiescent
current usage, and integrable capacitors are not considered for the FoM. Whereas the
work presented by Liu and Chen (2020) has limited IL,max, and edge time for the
load transients are pretty slow, i.e., 100 ns, which hardly fits into the IoT applications
with fast dormant to active transition times.
17.4.2 Transient Discussions
The load may demand current varying over several orders, i.e., 100µA to 10 mA
within a very short interval of time i.e., of the orders of 100 ns as the system require-
ments. This fact can be explained simply by considering the large signal slewing
behavior of the LDO, under such transients. However, the overall droop of the out-
put-regulated voltage is a complex phenomena involving phase margin, immediate
response management during overshoot/undershoots, etc., which is beyond the intui-
tive modeling required here.
The output node of the LDO falls to a sharp negative value, for which the slew
enhancement circuit is added. This circuit adds an alternative fast response path to
control the slewing of the gate node of the MP transistor unlike the path through M2
→ M3 →M4 → M5 which adds multiple pole-zero leading to a slow responding gate
node.
278 Circuit Design for Modern Applications
TABLE 17.3
Comparison of Performance with the Literature
(Lu (Cai (Tan (Koay (Huang
et al., et al., et al., et al., et al.,
Parameters This work 2015) 2020) 2014) 2013) 2019)
Impedance
Attenuation VC-based SF ESSF NA NA SSF
Technology 45 nm 65 nm 65 nm 65 nm 180 nm 65 nm
Vin (V) 1.2 1.2 1.2 1.2 1.8 1.3
Vout (V) 1.05 1.0 1.0 1.0 1.0 0.6–1.1
Iq (µA) 40–70 50–90 27–82 50–93 13.2 50–190
IL,max (mA) 30 mA 10 mA 50 mA 10 mA 50 mA 50 mA
On-Chip cap (pF) 52 144 300 153 10 122.5
Line reg. (mV/V) 8 37.1 NA 8.89 217 1
T_edge (s) 1n 0.2n 0.8n 100n NA 2n
Tr (s) 104p 1.75n 1.95n 9.44p 77p 6.28p
C_L (F) 0p-1n NA 300p 10p-10n 10p-100n 0-2n
FoM (Hazucha, 2005) 0.2496ps 8.75ps 7.995p 0.004ps 20.32ps 0.0238ps
17.5 CONCLUSION
The control loop of the flipped voltage follower-based LDO is analyzed by consider-
ing several recent topologies to improve the response time. Impedance modulation
techniques conventionally employed in the control loops are simulated for the same
power and compared against each other. A feed-forward architecture-based imped-
ance modulator is proposed to tackle the bandwidth bottleneck of the loop. An FVF-
based output capacitor-less LDO regulator is designed with the proposed impedance
modulator in PTM 45 nm CMOS technology. The LDO shows a competitive FoM
against the recent works, which makes it suitable for optimal voltage regulation in
SoC applications.
BIBLIOGRAPHY
J. S. Martinez, X. Liu and D. Zhou, (2021) "Recent Advances on Linear Low-Dropout
Regulators," IEEE TCAS-II 68, (2), pp. 568-573.
Al-Shyoukh, M., Lee, H., et al. (2007). A transient enhanced low quiescent current low drop-
out regulator with buffer impedance attenuation. IEEE JSSC, 42 (8), 1732–1742.
Benini, L., Bogliolo, A., et al. (2000). A survey of design techniques for system-level dynamic
power management. IEEE TVLSI Systems, 8 (3), 299–316.
Blakiewicz, G. (2011). Output-capacitorless low-dropout regulator using a cascoded flipped
voltage follower. IET CDS, 5 (5), 418–423.
Bu, S., Guo, J., Leung, K. N. (2017). A 200-ps-response-time output-capacitorless low drop-
out regulator with unity-gain bandwidth > 100 MHz in 130-nm CMOS. IEEE TPEL,
33 (4), 3232–3246.
Design of an Impedance Attenuated Control Loop 279
Cai, G., Lu, Y., et al. (2020). A fully integrated FVF LDO with enhanced full-spectrum power
supply rejection. IEEE TPEL, 36 (4), 4326–4337.
Chang, Y. T., Hung, F. L., et al. (2014). LDO linear regulator with super-source follower. 2014
Int. Symp. on Computer, Consumer and Control, pp. 442–445.
Chen, H., Leung, K. N. (2010). A fast-transient LDO based on buffered flipped voltage fol-
lower. 2016 IEEE EDSSC, 1-4.
Chen, H., Leung, K. N. (2013). A transient-improved low-dropout regulator with nested
flipped voltage follower structure. IJCTA, 41 (10), 1016–1026.
Choi, J., Park, et al. (2009). Design of LDO linear regulator with ultra low-output impedance
buffer. 2009 IEEE ISOCC, 420–423.
Guo, J., Ho, M., Kwong, K. Y., et al. (2015). Power area efficient transient improved capacitor
free FVF-LDO with digital detecting technique. IET Electronics Letters, 51 (1), 94–96.
Guo, J., Leung, K. N. (2010). A 6-µW chip-area-efficient output-capacitorless LDO in 90-nm
CMOS technology. IEEE JSSC, 45 (9), 1896–1905.
Hazucha, P., Karnik, T., Bloechel et al. (2005). Area-efficient linear regulator with ultra-fast
load regulation. IEEE JSSC, 40 (4), 933–940.
Hinojo Montero, J. M., Lujan Martinez, et al. (2014). Internally compensated LDO regulator
based on the Cascoded FVF. Microelectronics Journal, 45 (10), 1268–1274.
Huang, M., Feng, H., et al. (2019). A fully integrated FVF-based low-dropout regulator with
wide load capacitance and current ranges. IEEE TPEL, 34 (12), 11880–11888.
Jiang, Y. S., Wang, D., et al. (2016). A sub-1V low dropout regulator with improved transient
performance for low power digital systems. 2016 IEEE APCCAS, 29–32.
Koay, K. C., Chong, S. S., et al. (2013). A FVF based output capacitorless LDO regulator with
wide load capacitance range. 2013 IEEE ISCAS, 1488–1491.
Liu, N., Chen, D. (2020). A transient-enhanced output-capacitorless LDO with fast local loop
and overshoot detection. IEEE TCAS-I, 67 (10), 3422–3432.
Lu, Y., Li, C., Zhu et al. (2016). A 312 ps response time LDO with enhanced super source
follower in 28 nm CMOS. Electronics Letters, 52 (16), 1368–1370.
Lu, Y., Wang, Y., Pan et al. (2015). A fully-integrated low-dropout regulator with full spec-
trum power supply rejection. IEEE TCAS-I, 62 (3), 707–716.
Ma, X., Lu, Y., et al. (2019). A fully integrated LDO with 50-mV dropout for power efficiency
optimization. IEEE TCAS-II, 67 (4), 725–729.
Man, T. Y., Leung, K. N., et al. (2008). Development of single-transistor-control LDO based
on flipped voltage follower for SoC. IEEE TCAS-I, 55 (5), 1392–1401.
Martinez, J. S., Liu, X., et al. (2022). Evaluation and perspective of analog low-dropout volt-
age regulators: a review. IEEE Access, 10, 114469–114489.
Milliken, R. J., Silva-Martinez, et al. (2007). Full on-chip CMOS low-dropout voltage regula-
tor. IEEE TCAS I, 54 (9), 1879–1890.
Ogata, K. (2010). Modern Control Engineering, 5th edition. Pearson Education India.
Or, P. Y., Leung, K. N. (2010). An output-capacitorless low-dropout regulator with direct
voltage-spike detection. IEEE JSSC, 45 (2), 458–466.
Panigrahi, A., Mathew, A. (2022). An output-capacitor-less flipped voltage follower-based
low dropout regulator with improved buffer impedance attenuation in 45 nm CMOS
technology. AEU—International Journal of Electronics and Communication, 155,
154284.
Panigrahi, A., Mathew, A. (2022). Design of 1.2 V CMOS flipped voltage follower based
output capacitor less low dropout regulator with improved voltage buffer for impedance
attenuation. AICSP, 113 (1), 129–139.
Panigrahi, A., Mathew, A. (2023). Design of transient enhanced output-capacitor-less flipped
voltage follower based LDO regulator with a fast control loop for wide range of capaci-
tive loads. Microelectronics Reliability, 147, 115035.
280 Circuit Design for Modern Applications
Povoa, R., Louren¸co, N., et al. (2019). A folded voltage-combiners biased amplifier for low
voltage and high energy-efficiency applications. IEEE TCAS II, 67 (2), 230–234.
Răducan, C., Grăjdeanu, A. T., Plesa, et al. (2020). LDO with improved common gate classAB
OTA handles any load capacitors and provides fast response to load transients. IEEE
TCAS-I, 67 (11), 3740–3752.
Razavi, B. (2003). Design of Analog CMOS Integrated Circuits, 2nd edition, Tata McGraw
Hill Publications, New Delhi.
Tan, X. L., Koay, K. C., et al. (2014). A FVF LDO regulator with dual-summed miller fre-
quency compensation for wide load capacitance range applications. IEEE TCAS-I, 61
(5), 1304–1312.
Woo, Y. H., Ho, K. S., Lin, Y., Zhou et al. (2020). A flipped-voltage-follower-based lowdrop-
out regulator with signa and transient-current boosting. Analog Integrated Circuits and
Signal Processing, 105, 471–476.
18 III-V Material-Based Lateral
Beyond Silicon
18.1 INTRODUCTION
The most significant growth in the field of electronics is the transistor; when the first
transistor was created, transistor-based technological innovations quickly gained
traction in the marketplace. Compared to germanium (Ge), a competitor semicon-
ductor, silicon (Si) has been used in transistors since 1960 due to its availability in
nature. In Figure 18.1, Gordon Moore, an Intel co-founder, proposed “Moore’s law”
in early 1965, which states that a silicon chip’s transistor count doubles every 18
months. In order to lower the number of transistors in a circuit, researchers worked
on a number of logical technologies.
CMOS technology is one of the most studied and used technologies. The first
silicon-based MOSFET was developed in the 1980s, paving avenues for the develop-
ment of CMOS devices. A key player in the semiconductor business, CMOS delivers
unique characteristics that enhance logic properties while reducing the size of the
device. Therefore, Si-CMOS scaling technologies offer excellent density, reduced
power consumption, fast switching speed, and improved device performance. Dae
Hyun and Del Alamo [1] and Kim, Kim, and Del Alamo [2] addressed a number of
limitations on down-scaling in relation to CMOS technology over time. Addressing
the essential challenge of transistor scaling without compromising its physical quali-
ties is necessary. In recent years, researchers have primarily focused on reducing
the IC’s transistor size. CMOS standard logic technology has experienced scaling
restrictions due to the short-channel effects of the lithography process due to static
and dynamic energy dissipation during switching. The thermal effects of a gate
length below 5 nm will also restrict the growth of CMOS technology. Researchers
predict that additional Si-based field-effect transistors (FETs) and CMOS develop-
ments will soon reach a fundamental down-scaling limit. Scholars speculate that
FIGURE 18.1 Transistor count every two years, followed by Moore’s law.
Moore’s law may have already reached its inevitable conclusion. Thus, instead of
concentrating on Si, researchers are trying to find other channel materials with sub-
stantially higher carrier transport velocities. The usage of innovative semiconductor
channel materials with higher transport capacities over Si allows for further device
scalability.
Si FETs might eventually be replaced by devices based on III-V, 2D, or carbon
nanotube materials due to their higher mobility and ability to be fabricated at an
ultra-scale. In the manufacture of transistors, GaN-based III-N compound semicon-
ductors have the potential to surpass silicon as one of the most inventive new chan-
nel materials. GaN HEMTs based on III-N material used in power electronics and
high-speed switching applications are the main focus of this development. GaN-
based HEMTs provide a number of benefits over silicon (Si)-based transistors, [3]
including higher critical electric field strength and high-electron-mobility, especially
III-N semiconductors. HEMTs have a high potential for high-speed and high-power
capabilities.
Electrical power is essential to our everyday lives and has been a major force
behind human progress. Because they regulate and transform electric currents, volt-
ages, or power electronics are essential components of power consumption. They
are widely used in consumer goods, energy harvesting, and applications, including
motor drives, power converters, switching power supply, and power inverters. Power
devices are a major part of various operating domains like consumer electronics,
hybrid vehicles, military, motor drivers, aerospace, etc. A power conditioner is one
of the important parts of power electronics, which is made up of several semicon-
ductor devices operating in switch mode, where the gate driver controls the devices’
transitions between the “OFF” and “ON” states. An ideal switch should have a full
voltage drop across it and no leakage current when it is switched “OFF”. It should not
have a voltage drop across it when turned “ON”. The truth is that the power devices
show an ON-state resistance (RON) and a leakage current—the breakdown voltage
(Vbr) under common bias, limiting the voltage across it. Therefore, a low RON and
high Vbr are important characteristics of high-power devices that can be achieved to
Beyond Silicon 283
maximize energy efficiency and minimize conversion losses. Gallium nitride (GaN)
has also become a more desirable material in the past ten years for semiconduc-
tor device fabrication. The cost, manufacturing feasibility, high-electron-mobility,
strong OFF-state breakdown voltage, and low noise are important factors that must
be taken into account. III-nitrides HEMT as a power-switching device can address
the problems stated by its large and direct bandgap. The range of the bandgap is 0.9
eV–6.2 eV.
TABLE 18.1
The Material Properties of GaN and Their Associated Materials
Thermal Saturated
Bandgap Breakdown Conductivity Mobility Velocity
Material Energy (eV) Field (MV/cm) (W/cm*K) (cm2/V*s) (*107 cm/s)
Si 1.1 1.5 1.5 1300 1.0
GaAs 1.4 0.5 0.5 6000 1.3
SiC 3.2 4.9 4.9 600 2.0
GaN 3.4 > 3.0 2.3 ~ 2000* 2.7
284 Circuit Design for Modern Applications
18.3 HIGH-ELECTRON-MOBILITY TRANSISTORS
HEMT is a type of field-effect transistor in which the channel is a heterojunction
rather than a doped area. As Seen in Figure 18.3, a heterostructure is a combina-
tion of III-N compound semiconductors with different lattice constants and band-
gaps. While forming the heterostructure, the lattice mismatch between the lower and
higher bandgap material must be minimal; otherwise, defects in the heterointerface
will be created. Defects in the crystal lattice have an impact on the device’s electri-
cal performance and must therefore be avoided [6]. These days, GaN HEMTs are a
popular and widely utilized device. They exploit the enhanced transport, breakdown,
and thermal stability of undoped GaN in addition to its high bandgap discontinuity
in the heterostructures. Figure 18.3 shows the lattice orientation of an III-N hetero-
junction, which creates a large bandgap discontinuity.
When two bandgap materials are combined, the energy bands bend until the
device reaches equilibrium. To this extent, electrons in the wide bandgap material
are depleted and accumulate in the lower bandgap material under equilibrium con-
ditions. The 2DEG is formed right beneath the heterojunction at the narrow and
wider bandgap materials interface, in which the carriers are accumulated and allow
for higher electron mobility. This is due to carrier diffusion from a wider bandgap
(heavily doped) region to a low bandgap (lightly doped) region, which could be fur-
ther improved using the bandgap engineering technique. Javorka [7] defines the car-
rier conductivity of 2D well-formed in HEMT.
qns (18.1)
where µ, ns, and q, are the electron mobility, carrier concentration and charge of an
electron. The primary goal of a heterojunction device is to achieve a rapid bandgap
shift, which takes to the potential of a wider quantum well.
Figure 18.4 represents the basic structure of HEMT. The main layers of this struc-
ture are
• Barrier layer
• Buffer layer
• Channel layer
• Substrate
Barrier layer: This layer determines the resistance of the conduction channel.
It is always a wider bandgap than the channel.
Channel layer: The channel material should have a lower bandgap than the
barrier. The width and length of the channel layers can control the device’s
overall performance.
Buffer layer: The insertion of the buffer layer is determined by the substrate
material and the type of epitaxial growth technique used.
Substrate: Generally, the substrate acts as a heat sink from the package.
The alignment of source–drain, gate and other layers is shown in Figure 18.4 along
with a 2DEG formation. Even with a “0” gate voltage, a small current flows in the
2DEG channel. The source and the drain potential difference varies when the posi-
tive gate voltage is applied, resulting in a high flow of current, but the gate controls
the current flow. High drain current (Id, sat) can be achieved by increasing ns con-
centration. As a result of the increased ns concentration, the 2DEG supplies more
carriers from source to drain.
18.3.1 AlGaN/GaN HEMT
The AlGaN/GaN heterostructure is formed when a barrier is built on a compara-
tively thick GaN layer. In conventional III-N devices, a doped layer is necessary to
form a 2DEG; however, in AlGaN/GaN, where polarization fields generate a chan-
nel, doping is not necessary to form a 2DEG with a very high electron concentration.
The heterojunction interface’s band offset or band discontinuity causes electrons to
accumulate below the Fermi level, which raises the 2DEG in these devices. As per
Figure 18.5, the electron attempted to drift from higher to lower bandgap surfaces.
In the process of growing AlGaN and GaN layers on top of one another to form a
heterojunction, mechanical strain, stress, and lattice mismatch cause polarizations,
namely piezoelectric and spontaneous polarization, in the atoms at the interface.
Electrons that tend to compensate for this positive charge by forming a 2DEG in
the quantum well at the heterostructure interface will fall under the Fermi level, as
shown in Figure 18.5 [2].
By modifying Al content in the alloy of AlxGa1–xN, it is possible to modify the
bandgap of III-N materials. The mole fraction “x” is often tuned to be less than
0.18–0.3 [8].
FIGURE 18.5 On the left, the energy band of the hetero interface. In the right band, the
energy level heterostructure after equalization Fermi levels, which creates well.
Beyond Silicon 287
18.3.3 Normally-ON HEMT
GaN HEMT is a depletion-mode device. The general structure of Normally-ON
GaN HEMT is shown in Figure 18.8. Passivation prevents surface degradation and
reduces trap states, ensuring improved overall performance.
GaN capacitors (GaN cap) play a crucial role in GaN HEMT circuits, providing
high-frequency filtering and energy storage. They minimize signal distortion and
optimize power efficiency.
The GaN channel facilitates high-electron-mobility, allowing it to operate at
higher frequencies with superior power density compared to traditional technologies.
GaN HEMT technology excels in power electronics applications, offering
high efficiency and reduced energy losses. The combination of passivation, GaN
capacitors, and a high-mobility GaN channel results in enhanced reliability and
performance.
GaN HEMT offers advantages like faster response times and simplified circuit
design. However, this characteristic brings drawbacks, notably increased power con-
sumption in applications favoring a normally-OFF state. Additional circuitry, such
as gate biasing, is required to control the device and prevent continuous power flow.
In power-switching applications, the normally-ON nature poses challenges for safety
and efficiency, necessitating strategies to ensure secure turn-OFF. Ongoing research
focuses on addressing these challenges and optimizing normally-ON GaN HEMTs.
barrier that prevents electron conduction with no applied voltage. The p-GaN layer
allows for normally-OFF operation, enabling enhanced safety and power efficiency
in certain applications. The absence of a continuous current flow when the device is
in its default state contributes to reduced power consumption and improved overall
energy efficiency.
However, drawbacks include the complexity of fabricating and optimizing the
p-GaN layer, potential challenges in achieving high-electron-mobility, and device
performance challenges. Additionally, the normally-OFF characteristic may intro-
duce increased switching losses during operation, affecting the efficiency of power-
switching applications. Balancing these factors is essential in maximizing the
benefits of the p-GaN structure for e-mode HEMT devices.
18.3.5 MIS-HEMT Structure
The metal-insulator-semiconductor HEMT (MIS-HEMT) structure features a thin
insulating layer between the gate electrode and the semiconductor channel, offer-
ing improved gate control and reduced gate leakage, as shown in Figure 18.10.
Advantages of the MIS-HEMT structure over p-GaN include enhanced gate control
precision, leading to lower OFF-state leakage current and improved overall power
efficiency.
The insulating layer in MIS-HEMTs contributes to better isolation between the
gate and channel, reducing the impact of parasitic capacitances and enhancing high-
frequency performance. MIS-HEMTs typically exhibit superior gate reliability, as
the insulator helps prevent gate degradation over time, resulting in enhanced device
longevity. MIS-HEMTs may offer improved scalability compared to p-GaN struc-
tures, making them suitable for advanced semiconductor processes and miniaturized
electronic devices.
The enhanced gate controllability of MIS-HEMTs enables precise modulation of
the electron channel, leading to better control over device switching characteristics
and reduced susceptibility to temperature variations. In summary, the MIS-HEMT
structure provides advantages over p-GaN configurations, including improved
gate control precision, reduced leakage current, enhanced high-frequency perfor-
mance, superior gate reliability, scalability, and precise modulation of switching
characteristics.
18.4.1 Breakdown Voltage
One of the most vital design considerations for high-power transistors is the break-
down voltage. Existing devices attain much lower breakdown voltages than the theo-
retical limits. Thus, it is necessary to consider how to achieve a high breakdown
voltage of more than 1000 V without growing the device’s size.
18.4.2 ON-State Resistance
Like OFF-state breakdown voltage, ON-state resistance (RON) is also one of the acute
figures of merit for high-power devices. RON should be kept as low as possible to
minimize the size and cost. In addition, low RON lowers heat loss in the device, which
cuts the costs of additional cooling systems and heat sinks.
18.4.3 Normally-OFF
Since most GaN HEMT devices operate in depletion mode, high-power applications
prefer to use transistors in enhancement mode, which delivers better performance for
device driving and control circuit design.
FIGURE 18.11 Proposed 2FP GaN HEMT device schematic cross-sectional view with
Piezoneutralization layer (PNT) and additional field plate.
292 Circuit Design for Modern Applications
FIGURE 18.12 Conduction band profile versus depth in μm for 2FP GaN HEMT compared
with convention HEMT device.
the gate. Consequently, these parameters can adjust VT. The devices experience a
reduction in the carrier with a decrease in AlGaN thickness. An increase in the gate
depth of the device can adjust the VT of the AlGaN/GaN HEMT device to +ve. The
gate depth modification in HEMT reduces the thickness of the buffer beneath the
gate, which reduces the 2-DEG concentration in the device. The disruption expe-
rienced by the 2DEG will shift the device’s VT in the +ve direction. Figure 18.12
shows band energy versus device depth for conventional AlGaN/GaN HEMT and
DFP AlGaN/GaN HEMT. The device also experiences an upward shift in 2DEG
formation. AlGaN layer thickness can be decreased by an increase in Ddepth of the
device along with preciously increasing gate length. The disturbance experienced by
2-DEG will move the VT of the HEMT in a positive direction.
Figure 18.13 shows DC characteristics, i.e., drain to source current Id vs gate to
source voltage Vgs. Simulation results of conventional HEMT structure in [10] and
proposed DFP AlGaN/GaN HEMT show enhancement in performance of the pro-
posed device in terms of drain current and by achieving e-mode operation. Results
are taken by varying gate length Lg, with constant gate recess penetration depth
FIGURE 18.13 Variation of ON-current current and threshold voltage characteristics mea-
sured with varying Lg, for proposed 2FP AlGaN/GaN HEMT.
Beyond Silicon 293
FIGURE 18.14 Breakdown voltage (Vbr) of proposed 2FP HEMT device with different
addition field plate length (LFP2).
Ddepth and fixed source-to-gate length Lsg. The device records the best saturation
drain current as Lg decreases. The device records maximum VT at maximum Lg. An
increase in Lg increases the resistance value, which improves the controlling ability
of the gate to channel, thereby increasing VT to the positive side.
Figure 18.14 shows the breakdown characteristics of the proposed device, with
the ideal dimension device achieving a breakdown voltage (Vbr) of 400 V. Schottky
contact at the source and drain suppression carrier injection in the source leads to
less leakage current during high voltage on the source side. Hence, the breakdown
voltage of the lateral HEMT becomes higher. The device’s performance has been
further enhanced by increasing the distance of the additional field plate. Figure 18.14
depicts the OFF-state breakdown voltage of the proposed device at different field
plate lengths. Enhancement in breakdown voltage for an increase in LFP2 is wit-
nessed, and maximum breakdown voltage (Vbr) 400 V is achieved at minimal LFP2.
Further increase in field plate length affects other parameters like drain current (Id)
and threshold voltage (VT) to a large extent.
Two-field plate HEMTs are designed, and performance parameters are observed.
Maximum threshold voltage is observed for maximum Lsg and maximum Ddepth at
a gate bias of 25 V. The PNT layer improved the device’s gate controllability. The
device with an additional field plate ensures even field distribution, and the peak
electric field is reduced with a recessed gate, which ensures substantial improvement
in RON. +ve VT is achieved without any give and take in RON and Id. Varying Lsg,
Ddepth, and Lg derives the proposed device’s ideal structure dimension.
18.6.1 Device Description
AlGaN/GaN CAVETs are a promising option for modern industrial applications due
to their greater performance in comparison to high-power switching SiC devices
[18]. Figure 18.15 depicts the structure of the device. It is composed of a UID-GaN
channel, an AlGaN barrier layer, and an n+ GaN cap. It also has a n-type GaN sub-
strate layer, a boron-doped CBL and a n-GaN drift layer. Furthermore, the source
contacts and p-GaN CBL are aligned. Together with the source-to-gate separation,
Lsg, the gate dielectric with Si3N4 thickness (tSi3N4) and gate depth penetration depth
(tpenetration) are adjusted for optimization. A negative fixed charge (with a density
Beyond Silicon 295
FIGURE 18.15 E-mode Vertical topology CAVET device structure view with a boron-
doped GaN CBL used for numerical device simulation.
magnitude of about 1013 cm-2) is present at the Si3N4/GaN contact. Electrodes are
formed on the devices’ top and bottom by the source and drain [19].
The conduction band energy versus depth in the device is shown in Figure 18.16.
A shift in the band diagram is created in the sidewall of the device at the AlGaN/
GaN vertical topology MIS-HEMT junctions. It is confirmed that lower polarization
charges, as opposed to typical (0001) c-plane charges, cause an upward shift in the
AlGaN/GaN heterojunction (Shrestha et al., 2015a). At Vds = 10 V, a distinct band
bending is seen at the AlGaN/GaN junction, and the simulation results show a maxi-
mum sheet carrier density.
The Ids–Vds characteristics of AlGaN/GaN vertical MIS-HEMT devices with
tSi3N4 of 40 nm and tpenetration of 0.8 µm are displayed in Figure 18.17. These devices
have maximum drain currents (Ids, sat) of 1000 A/cm–2. At the higher voltage level, the
suggested device produces a maximum drain current density [20].
The DC transfer characteristics (Ids–Vgs) of the Vertical topology MIS-HEMT,
evaluated at Vds = 10 V, are displayed in Figure 18.18 for a range of gate depth
FIGURE 18.16 Sheet carrier density (ns) and profile of conduction band versus position
along the channel in μm extracted at Vds = 10 V for vertical topology CAVET AlGaN/GaN
MIS-HEMT.
296 Circuit Design for Modern Applications
FIGURE 18.17 Simulated mentioned in symbols and experimental mentioned in solid lines
transfer characteristics for C-doped and Mg-doped vertical topology CAVET AlGaN HEMT
compared with vertical AlGaN barrier HEMT of boron (B)-doped.
penetration depths variations. As the recessed penetration depth increases, the satu-
ration drain current Ids of the device increase. The Ids improve with a decrease in
device tSi3N4 and tpenetration.
Breakdown voltage characteristics (VBR, OFF) in relation to drain voltage (Vds) are
displayed in Figure 18.19. According to [17], the breakdown voltage criterion is the
Vds at which a 1 mA/mm drain current Ids is recorded, with Vgs= 0 V. When the criti-
cal electric field (Ec) and Lgd of vertical topology CAVET MIS-HEMTs alter, there is
a linear shift in the breakdown voltage-band ratio (VBR, OFF).
FOMs factors such as maximum frequency (fmax) and cut-off frequency (f T) are
investigated with respect to change in tSi3N4 and tpenetration in Figure 18.20. Whereas
fmax is the maximum available power gain transit frequency, cut-off frequency (f T) is
measured when the current gain is at unity. The former is a crucial variable that is
measured in digital applications with significant swing and speed. The latter is taken
Beyond Silicon 297
FIGURE 18.19 Breakdown voltage (VBR, OFF) versus Ids and ground substrate for different
tpenetration, with constant tSi3N4.
FIGURE 18.20 Maximum frequency of oscillation and cut-off frequency versus gate volt-
age Vgs with different tSi3N4 and tpenetration at Vds = 10 V.
into account while optimizing high-frequency amplifiers. According to, these two
FOMs are as follows:
A study of the b-doped vertical CAVET structure with different recess penetra-
tion depths was conducted while taking the Cgg into account in relation to the gate-
channel distance. A movement in the VT is seen, as expected, and when penetration
decreases, gm rises. The absence of charge control in larger layers is evident in Figure
18.20. The tpenetration does not have a high impact on f T and fmax, but significantly
for VT and gm. As penetration decreases, Cgg rises to offset the increase in gm [21],
thereby resulting in a constant f T and fmax. The highest frequency (f T) in Figure 18.20
is 5 GHz, while the maximum and fmax are recorded at Vds = 10 V for minimum tpen-
etration of and maximum tSi3N4. It is observed from Equations (18.2) and (18.3), where
Cgg is inversely proportional to f T and fmax, a rise in tSi3N4 results in a decrease in Cgg.
On the other hand, f T and fmax rise in response to a reduction in Cgg.
298 Circuit Design for Modern Applications
FIGURE 18.21 Block diagram of a DC-to-DC boost converter circuit that used vertical
CAVET AlGaN MIS-HEMT as a switch.
18.7 CONCLUSION
In conclusion, this book chapter presents a comprehensive exploration of two dis-
tinct high-electron-mobility transistor (HEMT) structures 2FP AlGaN/GaN HEMT
with a PNT layer and Vertical AlGaN/GaN CAVET MIS-HEMTs. The 2FP HEMT,
incorporating a PNT layer, showcases improved gate controllability and even electric
field distribution, leading to a substantial reduction in ON-resistance (RON). With
enhancement-mode operation achieved without compromising RON and drain current
(Id), the proposed device, particularly at its ideal dimensions, proves highly suit-
able for low-cost, high-current, high-voltage, and high-frequency power electron-
ics applications. On the other hand, the vertical HEMT with B-doped GaN CBL
and CAVET structure demonstrates impressive breakdown voltage characteristics
and an even electric field distribution. The depth variations in the gate corner fur-
ther enhance performance, yielding significant improvements in breakdown voltage
Beyond Silicon 299
(VBR), OFF-state current (IOFF), and ON-resistance (RON). With its capability for
enhancement-mode operation and a high ION/IOFF current ratio, the Vertical HEMT
stands out as a promising choice for low-cost, power electronics and low-loss switch-
ing applications. These findings collectively contribute valuable insights into the
design and performance optimization of advanced HEMT structures for diverse
power electronics applications.
REFERENCES
1. Dae Hyun, K. & Del Alamo, J.A. 2010, ‘Scalability of Sub-100 Nm in as HEMTs on
InP substrate for future logic applications’, IEEE Transactions on Electron Devices,
vol. 57, no.7, pp. 1504–1511.
2. Kim, T.-W., Kim, D.-H. & del Alamo, J.A. 2009, ‘30 nm In0.7Ga0.3As inverted-type
HEMTs with reduced gate leakage current for logic applications’, IEEE International
Electron Devices Meeting, IEDM Technical Digest, pp. 1–4.
3. Lidow, A. 2011, ‘Is it the end of the road for silicon in power conversion?, Bipolar/
BiCMOS Circuits and Technology Meeting (BCTM)’, Atlanta, GA, USA.
4. Hsien Chin, C., Sheng Chang, Y., Hong Li, B., Chun Wang, H., Ling Kao, H., Wei Hu,
C. & Xuan, R. 2018, ‘High performance normally off p-GaN gate HEMT with com-
posite AlN/Al0.17Ga0.83N/Al0.3Ga0.7N barrier layers design’, IEEE Journal of the
Electron Devices Society, vol. 6, no. 1, pp. 201–206.
5. Roccaforte, F., Greco, G., Fiorenza, P. & Iucolano, F.. 2019, ‘An overview of normally-
off GaN-based high electron mobility transistors’, Materials, Vol. 12, p. 1599.
6. Androse, D., Deb, S., Radhakrishnan, S. & Sekar, E. 2021, ‘T-gate ALGaN/GaN
HEMT with effective recess engineering for enhancement mode operation’, Materials
Today: Proceedings, vol. 45. Doi: 10.1016/j.matpr.2020.12.1076.
7. Javorka, P. 2004, ‘Fabrication and characterization of AlGaN/GaN high electron
mobility transistors’, Doctoral Thesis, RheinischWestfälische Technische Hochschule
Aachen, Fakultät für Elektrotechnik und Informationstechnik, Aachen.
8. Saravana Kumar, R., Baskaran, S., Mohanbabu, A. & Mohankumar, N. 2018,
‘Comparative assessment of InGaAs sub-channel and InAs composite channel double
gate (DG)-HEMTfor sub-millimeter wave applications’, AEU-International Journal of
Electronics and Communications, vol. 83, pp. 462–469.
9. Huolin, H., Li, F., Sun, Z., Sun, N., Zhang, F., Cao, Y., Zhang, H. & Tao, P. 2019,
‘Gallium nitride normally-off vertical field-effect transistor featuring an additional
back current blocking layer structure’, Electronics (Switzerland), vol. 8, no. 2, p. 241.
10. Chowdhury, S., Swenson, B.L. & Mishra, U.K. 2008,’Enhancement and depletion
mode AlGaN/GaN CAVET with Mg-ion-implanted GaN as current blocking layer’
IEEE Electron Device Lett. vol. 29, pp. 543-545.. https://doi.org/10.1109/ LED.2008
.922982.
11. Maroldt, S., Haupt, C., Pletschen, W., Müller, S., Quay, R., Ambacher, O., Schippel, C.
& Schwierz, F. 2008, ‘Gate-recessed AlGaN/GaN based enhancement-mode high elec-
tron mobility transistors for high frequency operation’, Japanese Journal of Applied
Physics, vol. 48, no. 4S, pp. 04C083.
12. Subramanian, B., Anandan, M., Veerappan, S., Panneerselvam, M., Wasim, M.,
Kumar Radhakrishnan, S., Pechimuthu, P., Verma, Y.K. & Vivekanandhan, S.N. 2020,
‘Switching transient analysis and characterization of an E-mode B-doped GaN-capped
AlGaN DH-HEMT with a freewheeling Schottky barrier diode (SBD)’, Journal of
Electronic Materials, vol. 49, pp. 4091–4099.
300 Circuit Design for Modern Applications
13. Chong, W., Ma, X., Feng, Q., Hao, Y., Zhang, J. & Mao, W. 2009, ‘Development and
characteristics analysis of recessed-gate MOS HEMT’, Journal of Semiconductors,
vol. 30, no. 5.
14. Dong, J., Agarwal, A., Li, W., Keller, S. & Chowdhury, S. 2018, ‘Demonstration of
GaN current aperture vertical electron transistors with aperture region formed by ion
implantation’, IEEE Transactions on Electron Devices, vol. 65, no. 2, pp. 483–487.
15. Tohru, O., Ueno, Y., Ina, T. & Hasegawa, K. 2014, ‘Vertical GaN-based trench metal
oxide semiconductor field-effect transistors on a free-standing GaN substrate with
blocking voltage of 1.6 KV’, Applied Physics Express, vol. 7, no. 2, p. 021002.
16. Mohanbabu, A., Mohankumar, N., Godwin Raj, D., Sarkar, P. & Saha, S.K. 2018,
‘Device characteristics of enhancement mode double heterostructure DH-HEMT with
boron-doped GaN gate cap layer for full-bridge inverter circuit’, International Journal
of Numerical Modelling: Electronic Networks, Devices and Fields, vol. 31, no. 3, pp.
1–15.
17. Saravana Kumar, R., Mohanbabu, A., Mohankumar, N. & Godwin Raj, D. 2017,
‘In0.7Ga0.3As/InAs/In0.7Ga0.3As composite channel double gate (DG)-HEMT
devices for high-frequency applications’, Journal of Computational Electronics, vol.
16, no. 3, pp. 732–740.
18. Hui, N., Diduck, Q., Alvarez, B., Edwards, A.P., Kayes, B.M., Zhang, M., Ye, G., Prunty,
T., Bour, D. & Kizilyalli, I.C. 2014, ‘1.5-KV and 2.2-Mω-Cm2 vertical gan transistors
on bulk-GaN Substrates’, IEEE Electron Device Letters, vol. 35, no. 9, pp.939–941.
19. Danielraj, A., Deb, S., Mohanbabu, A. & Kumar, R. 2022, ‘The impact of a recessed
Δ-shaped gate in a vertical CAVET AlGaN/GaN MIS-HEMT for high-power low-loss
switching applications’, Journal of Computational Electronics, vol. 21, pp. 169–180.
20. Shibata, D., Kajitani, R., Ogawa, M., Tanaka, K., Tamura, S., Hatsuda, T., Ishida, M.
& Ueda, T. 2017, ‘In technical digest—international electron devices meeting’, IEDM,
Institute of Electrical and Electronics Engineers Inc., pp. 10.1.1–10.1.4.
21. Kanjalochan, J., Swain, R. & Lenka, T.R. 2015, ‘Impact of oxide thickness on gate
capacitance—modelling and comparative analysis of GaN-based MOSHEMTs’,
Pramana—Journal of Physics, vol. 85, no. 6, pp. 1221–1232.
19 Advanced Compound
Semiconductor Based
Heterostructure
Devices for Next
Generation Electronics
Saravana Kumar R, Leeban Moses M,
Lino L, and Papanasam E
FIGURE 19.5 Relationship between RF performance of the device along with barrier and
gate length.
compatibility between the substrate and the device material. The substrate acts as the
structural foundation, providing mechanical support and facilitating heat dissipation
for thermal management.
InP-based HEMT device performance, for both DC and RF applications, can
be optimized by carefully tuning the barrier, channel, and gate length thicknesses.
Thicker barriers improve drain current by distancing channel electrons from surface
traps but reduce gate controllability and RF performance, which is represented in
Figure 19.5. Short gate lengths enhance transconductance and enable high-frequency
operation, but exacerbate short-channel effects. Increasing channel thickness can
potentially boost drain current and mobility. The trade-offs between these param-
eters are visually represented in the attached figure, where gate length reduction
initially improves RF performance until limited by short-channel effects. Similarly,
the figure depicts the influence of barrier and gate length on overall RF performance.
While short gate lengths can be beneficial, balancing them with barrier thickness
and potentially optimizing channel thickness is crucial for achieving optimal
performance.
states between the gate and drain, induced by surface defects. These defects act as
electron traps, forming a charge layer that depletes the channel between the gate and
drain in regions of high electric field. During large signal RF operation, the trapping
states exhibit a short time constant, impeding the modulation of the channel charge,
and resulting in a reduction of RF current swing and output power. However, the
application of suitable dielectric materials, like Si3N4, to passivate the HEMT sur-
face can significantly mitigate this detrimental effect. Passivation eliminates surface
effects that impose limitations on RF current and breakdown voltages of the devices
[6]. The introduction of a passivation layer atop the AlGaN barrier layer plays a
crucial role in preventing external polarization and reducing the peak electric field
at the drain end of the gate region, thereby reducing noise interference and cross-
talk. To prevent current collapse at high drain-source voltage and minimize parasitic
capacitances, a Si3N4 passivation layer is implemented across the device surface.
The incorporation of the Si3N4 dielectric layer between the gate and AlGaN layer
enhances the gate-channel distance, ultimately refining control over the threshold
voltage. However, increasing the thickness of the Si3N4 layer results in a rise in VT
and a reduction in gate capacitance, leading to a decrease in transconductance.
The selection of the gate insulator plays a crucial role in the design of high-
power switching III-nitride metal-insulator-semiconductor HEMT devices. HfAlOx
emerges as a promising alternative by combining the high dielectric constant of
HfO2 with the substantial barrier height and excellent thermal stability of Al2O3.
It presents low gate-leakage current, high channel carrier density, and resistance to
self-heating effects. The incorporation of an Al2O3 layer serves to diminish parasitic
capacitance [7]. A 40 nm HfO2 passivation layer is also implemented to minimize
parasitic capacitances. The high dielectric constant of this passivation layer plays a
crucial role in alleviating dispersion effects and enhancing the transport properties.
Although using a thin passivation layer may slightly reduce the operating frequency
range due to the larger dielectric constant of Si3N4, it proves effective in mitigating
buffer leakage current and minimizing gate-leakage current.
Research teams are investigating MOS-HEMTs and exploring various oxide
layers such as SiO2, Al2O3, HfO2, MgCaO, and Al2O3 to enhance device perfor-
mance by minimizing gate-leakage currents. To address gate-leakage, a dielectric
layer is employed beneath the gate, comprising SiO2, Sc2O3, Al2O3, or Ga2O3.
Incorporating a dielectric layer in DCMOSHEMT enhances performance compared
to DCHEMT by reducing the effective gate-source capacitance and gate-leakage
current [8]. Increasing the gate thickness can lead to diminished control over the
lower channel, resulting in a decrease in peak transconductance.
To minimize gate-leakage current and counteract scattering effects, an AlN
spacer layer with wide-bandgap properties is utilized. This spacer layer confines
more electrons in the channel and alleviates the impact of gate-leakage current [7].
A second GaN spacer layer transforms the device into a normally OFF transistor
by inducing a negative polarization at the upper interface of the channel, depleting
it of electrons when no gate voltage is applied. AlGaN buffer layers confine elec-
trons in the conduction channel by preventing electron penetration into the buffer
at high drain-source voltages, a phenomenon known as the punch-through effect.
However, thick AlGaN buffers exhibit lower thermal conductivity compared to GaN
Advanced Compound Semiconductor Based Heterostructure Devices 307
buffers. Fe-doped GaN buffers require a larger gate-drain distance to achieve the
same breakdown voltage as GaN buffers. Carbon-doped GaN buffers effectively
reduce leakage current by acting as deep acceptor-like traps.
FIGURE 19.7 HEMT device structures with different representations of field plates.
FIGURE 19.8 Representation of breakdown voltages for different field plate structures.
area at the drain-side gate edge caused by an increase in bias. On the other hand,
HEMTs, including micro-FPs, show reduced electron concentration in the vicinity
of the drain-side gate edge, leading to a longer depletion area and a more consistent
potential distribution [13]. This results in three-to-three areas with high potential
line density: the edge of the micro gate FPs, the drain region, and the edge of the
drain FP. Consequently, HEMTs with micro-FPs demonstrate uniform electric field
distribution and with an improved VBD.
The source–drain FP has a greater drain current than the other FP designs when
the electrons are entirely drained because of the peak electric field below the drain
FP. Due to its improved surface field distribution, the gate FP exhibits a greater
breakdown voltage than conventional FP approaches, which results in substantial
enhancements in the breakdown voltage of the HEMT. Although the source FP can
be used to increase breakdown voltage, the gate FP usually performs better due to its
shared structure for improvement [14]. The variation in drain current with respect to
the field plate structures is represented in Figure 19.9.
FIGURE 19.9 Representation of drain current of HEMT with different field plate structures.
310 Circuit Design for Modern Applications
thickness of the barrier is decreased, it enhances the gate’s control over the channel.
This increased control makes the device highly responsive and enables a significant
improvement in the amplitude of the high-frequency input power swing during the
turn-ON process.
To improve HEMT performance, an AlGaN back-barrier structure is used. This
structure helps to reduce leakage current and enhance electron confinement. The
amount of aluminum (Al) in the AlxGa1–xN back-barriers affects the device’s perfor-
mance. A higher Al content results in a deeper and narrower conduction band dis-
continuity, which reduces device leakage. However, if the Al content exceeds 10%, it
can lead to lower thermal conductivity due to alloy scattering. Therefore, optimizing
the Al content is critical to achieve low leakage and a positive threshold voltage in
HEMTs. By increasing the “x” value (Al content) from 0% to 7%, electron confine-
ment is improved, but the sheet carrier density of the 2DEG is decreased [15]. This
indicates effective prevention of electron spillover to the buffer at high drain-source
voltage. Additionally, a thinner BB layer leads to a shorter channel-to-gate distance,
which raises the generated electric field represented in Figure 19.11.
The AlxGa1–xN back-barrier has a reduced DIBL due to reduced output conduc-
tance at small gate lengths. Moreover, introducing p-type doping in the BB can reduce
the peak electric field, which increases the breakdown voltage by approximately 11%
while maintaining the maximum drain current density represented in Figure 19.12.
The improved electron confinement in the AlxGa1–xN BB is attributed to the negative
polarization at the GaN/AlxGa1–xN interface. This polarization-induced electric field
raises the conduction band edge, creating a potential barrier against carrier diffu-
sion and enhancing electron confinement. The use of AlInN back-barriers allows for
adjustments in material composition, eliminating lattice-mismatch-related defects.
Compared to conventional AlGaN back-barriers (with Al content less than 10%),
the higher energy bandgap of AlInN back-barriers enhances carrier confinement
[16]. However, achieving high-quality AlInN layers can be challenging. It is crucial
to optimize growth conditions to maintain good interfacial quality, as lower-quality
interfaces can adversely affect the heterostructure properties. The inclusion of AlInN
back-barriers enhances the confinement of carriers, thereby reducing their scattering
with carbon impurities found in the underlying buffer layer. This improvement helps
minimize the leakage flow of carriers into the semi-insulating GaN buffer layer.
HEMTs that use AlInGaN quaternary alloys as back-barrier materials offer tunabil-
ity in the bandgap, lattice parameter, and polarization. The narrower immiscibility
of quaternary alloys compared to other materials allows for higher mobility and sat-
isfactory transport properties. The higher back-barrier height provided by AlInGaN
back-barriers improves electron confinement in the channel and minimizes electron
spreading into the GaN buffer represented in Figure 19.12.
Incorporating an InGaN back-barrier beneath the AlGaN layer can enhance elec-
tron confinement and improve device performance. InGaN facilitates the growth
of high-quality materials with fewer traps, which contributes to improved interface
and surface smoothness. The inclusion of a back-barrier leads to heightened trans-
conductance, as electron confinement is enhanced, enabling better modulation of
electrons by the gate voltage, especially at high drain voltage. However, an observed
decrease in transconductance occurs with an increase in the mole fraction of InGaN
[12]. The increased concentration of electrons in the channel is the cause of this
decrease in gm, which makes it more difficult for the gate to modulate such a con-
centration and diminishes its control over the channel represented in Figure 19.13.
The introduction of an InGaN back-barrier leads to a significant shift in the thresh-
old voltage toward the positive side, effectively mitigating the short-channel effect.
In single-channel devices, the incorporation of an InGaN back-barrier introduces a
slightly increased threshold voltage at lower drain-to-source voltages, although the
impact is minimal [17].
Conversely, in double-channel devices, the upper channel displays a smaller nega-
tive threshold voltage compared to the lower channel, primarily due to its stronger
interaction with the gate. This disparity in threshold voltage results in higher trans-
conductance and an expanded range of the gate-to-source voltage swing. These vari-
ations in threshold voltage offer additional benefits for circuit design enhancements.
Advanced Compound Semiconductor Based Heterostructure Devices 313
The inclusion of a ternary compound, such as BGaN, offers improved electron con-
finement within the channel and enhances the electrical resistivity of the buffer layer.
The BGaN BB enhances electron confinement in the two-dimensional electron gas
by creating an electrostatic barrier beneath the channel layer [18]. By increasing the
boron concentration, the electron confinement is further enhanced as it renders the
electrostatic barrier more resistive, making it difficult for electrons to escape the
channel. This improvement in resistivity within the buffer layer leads to enhanced
DC characteristics and higher operating frequencies, facilitating electron confine-
ment and concentration at the AlGaN/GaN interface represented in Figure 19.13.
FIGURE 19.14 HEMT device structures with different representations of channel layers.
FIGURE 19.15 Representation of drain current of HEMT with different channel conditions.
reducing sheet resistance and leading to enhanced drain current, output power, and
device linearity.
The allocation of electrons between the upper and lower channels relies on the
thickness of the upper GaN channel layer. The breakdown voltages of HEMT with
different channel conditions are represented in Figure 19.16. Initially, the bottom
channel becomes active, followed by the activation of the upper channel as the
gate bias is increased. When the upper channel is operational, the lower channel
is shielded from gate control, leading to saturation of the two-dimensional electron
gas in the bottom channel. By connecting the two channels in the access region with
the high-mobility lower channel at the gate region, a low resistance (Ron) can be
achieved. The separation between the two channels influences resonance intensity,
with smaller separations enhancing coupling and intensifying resonance.
In the room temperature non-resonant detection mode, the detector’s response
signal is primarily influenced by the barrier layer’s thickness and the distance
between the two channels. A thicker barrier provides a higher 2DEG density but
reduces gate control capability. The barrier height between the two channels is deter-
mined by their distance, with a smaller distance resulting in a lower barrier height
and increased tunneling probability. At low gate-source voltage (Vgs), neither the
upper nor the lower channel conducts [13]. With increasing Vgs, a 2DEG forms in the
triangular barrier between the channels, and as Vgs continues to rise, both channels
conduct, leading to an increase in tunneling probability and carrier transfer from
the bottom channel to the upper channel. This results in the formation of a vertical
built-in electric field between the two channels, with a direction from top to bottom.
characteristics and noise figures. To overcome these challenges, a T-shaped gate con-
figuration, also known as a mushroom gate, has been proposed. This configuration
features preserving the advantage of a short-channel while reducing gate resistance
for efficient high-frequency operation. The T-gate structure is widely accepted as
the standard gate structure since it reduces gate access resistance and extrinsic gate
capacitances, with the gate positioned closer to the source than the drain to enhance
breakdown voltage.
Hot-electron generation and degradation in GaN HEMTs are influenced by fac-
tors such as carrier concentration, electric field distribution, temperature, and buf-
fer compensation species. Strategies to prevent these effects include reducing the
peak electric field or increasing electron energy loss through scattering. Reliability
enhancement techniques often focus on minimizing the peak electric field by adjust-
ing gate placement, but this may increase access region resistance and impact DC
performance [20]. Field-plated devices are employed in power switching and low-
frequency wireless applications, yet they are still susceptible to hot-electron-induced
degradation. Dual-gate HEMTs have been proposed for high-frequency and high
breakdown voltage applications, but they come with trade-offs like reduced cutoff
frequency and the need for complex contact padding and biasing circuitry. Another
alternative is the pi-shaped single-gate structure, aiming to improve GaN-HEMT
reliability while maintaining DC and RF performance and is represented in Figure
19.17. This gate layout alters electron transport and reduces the high electric field
by preventing excessive hot-electron generation. The pi-shaped gate facilitates a
two-step process for electron acceleration, allowing for energy loss or thermaliza-
tion between steps to avoid excessive kinetic energy accumulation and hot-electron
issues. The recessed Δ-shaped gate formation creates a channel layer at the AlGaN
and GaN junction, forming a single-channel path for electrical conduction [19]. This
reduces resistance and increases conductivity. The vertical GaN structure operates
similarly to traditional lateral GaN HEMTs, with the gate voltage controlling the
formation and conduction of the single channel. Optimizing the depth and thickness
of the passivation layer provides better control over the threshold voltage.
FIGURE 19.17 Representation of drain current of HEMT with different gate Structures.
Advanced Compound Semiconductor Based Heterostructure Devices 317
To address the issue of initially induced single-channel carriers from the BB, a P+
Mg-doped InGaN cap layer was added beneath the gate in AlGaN/GaN HEMTs. In
typical devices, the lower channel density in the InGaN layer, due to its low growth
temperature, makes the single-channel activation easier compared to p-GaN. The P+
InGaN cap layer counters polarization-induced charges in the AlGaN layer, leading
to a normally-OFF operation [14]. Conventional HEMTs without an InGaN cap layer
result in a normally-ON operation due to a single-channel forming at the AlGaN
interface. Introducing a P-InGaN layer only in the gate region aims to reduce source
parasitic resistance, even in a normally-OFF operation, eliminating the need for gate
recess etching that could impact the device threshold voltage.
The incorporation of a high-quality GaN cap with dopant material as boron
beneath the gate has demonstrated effectiveness in reducing leakage current and
minimizing carrier trapping effects. In comparison to the GaN cap with a dopant
material such as Magnesium, the GaN layer with a dopant material such as boron
offers improved device performance, including lower leakage current, enhanced cur-
rent density, and better transconductance and are represented in Figure 19.18. A GaN
layer with dopant material such as boron that has higher resistivity increases the
electrostatic barrier, while its passivation effect reduces the probability of carrier
trapping. GaN layer with dopant material such as boron also addresses surface state
issues, restricts gate tunneling current, and increases the on/off current ratio [16].
Moreover, it increases resistivity and decreases defect-related effects. Carbon-doped
GaN faces challenges in crystal growth due to low temperatures.
19.9 CONCLUSION
Various techniques, such as material engineering, source/drain engineering, and gate
engineering, have been employed in the construction of InP-based HEMTs. These
advancements offer advantages compared to GaAs, Silicon, and SiGe semiconduc-
tor technologies, leveraging inherent characteristics like high electron mobility,
318 Circuit Design for Modern Applications
REFERENCES
1. P. Murugapandiyan et al., “Breakdown voltage enhancement of gate field plate
Al0.295Ga0.705N/GaN HEMTs,” International Journal of Electronics, vol. 108, no. 8,
pp. 1273–1287, 2021, doi: 10.1080/00207217.2020.1849819.
2. J. Ma et al., “Characteristics of AlGaN/GaN/AlGaN double heterojunction HEMTs
with an improved breakdown voltage,” Journal of Semiconductors, vol. 33, no. 1, 2012,
doi: 10.1088/1674-4926/33/1/014002.
3. N. Shi, K. Wang, B. Zhou, J. Weng, and Z. Cheng, “Optimization AlGaN/GaN HEMT
with field plate structures,” Micromachines (Basel), vol. 13, no. 5, 2022, doi: 10.3390/
mi13050702.
4. C.-H. Lee, S.-Y. Ho, J.-X. Zhang, H.-K. Lin, and J.-J. Huang, “Study of current collapse
in single (AlGaN/GaN) and double (AlGaN/GaN/AlGaN) heterostructure enhance-
ment mode HEMTs.”
5. R. Saravana Kumar, A. Mohanbabu, N. Mohankumar, and D. Godwin Raj, ‘Simulation
of InGaAs sub-channel DG-HEMT for analogue / RF applications’, International
Journal of Electronics, vol. 105, no. 3, pp. 446–456, 2018. ISSN: 0020-7217.
6. R. Saravana Kumar, S. Baskaran, A. Mohanbabu, and N. Mohankumar, ‘Comparative
assessment of InGaAs sub-channel and InAs composite channel Double gate (DG)-
HEMTfor Sub-millimeter wave applications’, AEU—International Journal of
Electronics and Communications, vol. 83, pp. 462–469, 2018.
7. R. Saravana Kumar, A. Mohanbabu, N. Mohankumar, and D. Godwin Raj,
‘In0.7Ga0.3As/InAs/In0.7Ga0.3As composite channel double gate (DG)-HEMT
devices for high-frequency applications’, Journal of Computational Electronics, vol.
16, no. 3, pp. 732–740, 2017.
8. Y. K. Yadav, B. B. Upadhyay, J. Jha, S. Ganguly, and D. Saha, “Impact of relative gate
position on DC and RF characteristics of high performance AlGaN/GaN HEMTs,”
IEEE Transactions on Electron Devices, vol. 67, no. 10, pp. 4141–4146, 2020, doi:
10.1109/TED.2020.3019359.
9. Q. Hu, F. Zeng, W. C. Cheng, G. Zhou, Q. Wang, and H. Yu, “Reducing dynamic on-
resistance of p-GaN gate HEMTs using dual field plate configurations,” in Proceedings
of the International Symposium on the Physical and Failure Analysis of Integrated
Circuits, IPFA, Institute of Electrical and Electronics Engineers Inc., 2020, doi:
10.1109/IPFA49335.2020.9260581.
Advanced Compound Semiconductor Based Heterostructure Devices 319
10. Y. Zhang et al., “High-performance AlGaN double channel HEMTs with improved
drain current density and high breakdown voltage,” Nanoscale Res Lett, vol. 15, no. 1,
2020, doi: 10.1186/s11671-020-03345-6.
11. W. Saito et al., “Field-plate structure dependence of current collapse phenomena in
high-voltage GaN-HEMTs,” IEEE Electron Device Letters, vol. 31, no. 7, pp. 659–661,
2010, doi: 10.1109/LED.2010.2048741.
12. C. Shi et al., “High-efficiency AlGaN/GaN/Graded-AlGaN/GaN double-channel
HEMTs for sub-6G power amplifier applications,” IEEE Transactions on Electron
Devices, vol. 70, no. 5, pp. 2241–2246, 2023, doi: 10.1109/TED.2023.3260809.
13. P. V. Raja et al., “Deep-level traps in AlGaN/GaN- And AlInN/GaN-based HEMTs
with different buffer doping technologies,” IEEE Transactions on Electron Devices,
vol. 67, no. 6, pp. 2304–2310, 2020, doi: 10.1109/TED.2020.2988439.
14. P. Shen, K. Wang, L. Chen, Y. Fang, Y. Liu, and H. Wang, “Breakdown voltage enhance-
ment in AlGaN HEMTs with local p-doped region in the back-barrier,” Electronics
(Switzerland), vol. 11, no. 13, 2022, doi: 10.3390/electronics11131939.
15. A. Daniel Raj, M. Sanjoy Deb, A. Mohanbabu and R. Saravana Kumar, “The impact
of a recessed Δ-shaped gate in a vertical CAVET AlGaN/GaN MIS-HEMT for high-
power low-loss switching applications,” Journal of Computational Electronics, vol.21,
pp. 169–180, 2021, doi: 10.1007/s10825-021-01816-2.
16. N. Chugh, M. Kumar, M. Bhattacharya, and R. S. Gupta, “Analysis of Al0.15Ga0.85N/
GaN/Al0.15Ga0.85N DH-HEMT for RF and microwave frequency applications,”
Semiconductors, vol. 53, no. 13, pp. 1784–1791, 2019, doi: 10.1134/S1063782619130050.
17. T. Oishi and K. Ito, “A Ssimulation study of the impact of traps in GaN substrates
on the electrical characteristics of AlGaN/GaN HEMTs with a thin channel layers,”
Journal of Computational Electronics, Vol. 20, pp. 2441–2455, 2021, doi: 10.21203/rs
.3.rs-363450/v1.
18. A. Bakar Khan, M. Sharma, S. Gulraze Anjum, and M. Jawaid Siddiqui, “Influence
of back barrier layer thickness on device performance of AlGaN/GaN MOS-HEMT,”
Advanced Materials Proceedings, vol. 3, no. 7, pp. 480–484, 2021, doi: 10.5185/
amp.2018/7000.
19. A. S. Augustine Fletcher, D. Nirmal, J. Ajayan, and L. Arivazhagan, “Analysis of AlGaN/
GaN HEMT using discrete field plate technique for high power and high frequency
applications,” AEU—International Journal of Electronics and Communications, vol.
99, pp. 325–330, 2019, doi: 10.1016/j.aeue.2018.12.006.
20. M. Sharma and R. Chaujar, “Design and investigation of recessed-T-gate double chan-
nel HEMT with InGaN back barrier for enhanced performance,” Arabian Journal
for Science and Engineering, vol. 47, no. 1, pp. 1109–1116, 2022, doi: 10.1007/
s13369-021-06157-7.
20 GaN HEMT-Based
Power Amplifiers
Advancements and
Applications
T Venish Kumar, R Karthick, C Nandhini,
M Annalakshmi, and R Rajesh Kanna
20.1 INTRODUCTION
There is a growing need for powerful amplifiers with high power and frequency in
several industries such as wireless communication, military uses, communication
via satellite, and television broadcasting. The market demand for lower power con-
sumption and better throughput is driven by the constant growth in data rates and the
rising user base in mobile communications [1]. These requirements have been fur-
ther heightened by the transition from the fourth generation (4G) to the forthcoming
5th generation (5G) wireless communication, impacting various sectors including
robotics, healthcare, autos, education, agriculture, and others.
The significance of power amplifiers in radio transmissions is crucial since they
serve as controlling devices in the transmitter output stage and significantly influ-
ence system parameters such as efficiency, linearity, and gain. The adoption of
solid-state power amplifiers is a substantial change resulting from rapid progress in
solid-state device technology, replacing vacuum tube microwave devices. Efficiency
is of utmost importance in applications such as base stations, since the utilization
of highly efficient power amplifiers can effectively minimize power dissipation and
alleviate the requirement for intricate and costly cooling systems [2].
Significant advancements have been made in semiconductor technology with the
aim of enhancing efficiency and performance, resulting in the birth of diverse power
amplifier topologies. The introduction of gallium nitride (GaN) high electron mobil-
ity transistors (HEMTs) has been a significant milestone in the field. Silicon nitride
(GaN) has garnered significant attention as a potential contender for power ampli-
fiers due to its unique crystalline structures and remarkable material characteristics.
The emergence of power amplifiers based on GaN HEMT has resulted in notable
progress that surpasses previous semiconductor technologies, providing enhanced
efficiency, gain, and thermal performance.
TABLE 20.1
Properties of Different Compound Semiconductors
Properties Si SiC GaAs GaN
Bandgap (Eg, eV) 1.12 3.2 1.4 3.4
Breakdown field (Ebr, mV/cm) 0.3 3.5 0.4 3.3
Electron mobility (µn, cm²/V s) 1500 650 8500 2000
Saturation Electron Drift Velocity (vsat, cm/s) 107 2.7 × 107 1.2 × 107 2.5 × 107
Transit Frequency (fT, GHz) 20 20 150 150
Thermal conductivity (K, W/m°C) 150 450 550 130
FIGURE 20.1 The curve depicts the potential enhancements in efficiency achievable by
employing 600 V/190 mΩ GaN HEMTs instead of 500 V/140 mΩ Si MOSFETs.
of GaN is the significantly reduced output charge (QOSS), enabling Zero Voltage
Switching (ZVS) with lower magnetization current. This reduction in conduction
losses in switches and transformers contributes to an overall efficiency improvement.
Moreover, the lower gate charge in GaN results in diminished losses associated
with gate driving. Importantly, GaN HEMTs outperform superjunction MOSFETs
by exhibiting lower losses related to the charging/discharging of COSS capacitance
during ZVS. Consequently, the efficiency of the entire system can be enhanced by
approximately 0.4% at full load across the entire input voltage range, as depicted in
Figure 20.1.
Although larger transistors have the potential to achieve a greater Pout, their
lower optimum impedance makes broadband matching more complex, leading to
increased loss. The potential influence of supplementary parasitics on the transi-
tion rate (fT) and the highest oscillation frequency (fmax) may result in heightened
energy consumption and diminished power-added efficiency (PAE). As a result, the
deliberate choice was made to reduce the total gate width (TGW) of the output stage
cell in order to satisfy the 1 W Psat criterion.
The process of selecting the optimal gate width for energy cell implementations
required evaluating three different combinations, following the guidelines provided
in the foundry design handbook. The objective was to uphold the precision of the
model while utilizing a unit gate width (UGW) of at least 100 ± m and a maximum
of eight gate fingers (NGF). An eight-finger HEMT was selected as the final option
after considering a cautious staging ratio of 1:2. In order to mitigate the soft compres-
sion phenomenon observed in GaN-based devices, the dimensions of the first stage
cell were slightly increased to 50 ò 2 µm. This modification resulted in an enhanced
linear driving capability while having minimal impact on the final power-to-energy
ratio (PAE). Figures 20.2 and 20.3 depict the power amplifier topology, Interstage
matching network (ISMN), fT, fmax, and terminal resistances of three unit cells
with distinct fingers but similar TGWs under nominal bias conditions. These figures
offer valuable insights into the inherent trade-offs involved in the design consider-
ations [31].
1
Zins Zin (20.1)
1
jCs
Rs
The quality factors, Q of Zins (i.e., Qins) and Q of Zin (i.e., Qin), are defined as:
1 2 R 2Cs Cs Cgs
Qins Qin (20.2)
Rs
1 RsCs
2
Ri
1
Qin = (20.3)
RiCs
Qins
The ratio is given by:
Qin
Qins 1 R Cs Cs Cgs
2 2
(20.4)
Qin Rs
1 RsCs
2
Ri
At f0, the quality factor Qin is 1.7, and Qins is larger than Qin when RsCs > 10 –11. After
integrating a parallel RC network, there is a potential reduction in the maximum
attainable bandwidth, which could be a trade-off for considerations related to gain.
Nevertheless, when considering a frequency range of 10 GHz that is designed cau-
tiously, there exists a significant opportunity to achieve a harmonious equilibrium
among security, connectivity, and gain. According to Equation 20.2, the output stage
cell utilizes a stabilization network including 2 equal 0.68 pF capacitors connected
together with a 30 Ω resistor. This configuration yields a Qins value of 2.24. The
series of parasitic inductance, indicated by the C–R–C framework, is reduced by half
from 21.2–9.6 pH relative to the typical R–C arrangement by putting the reactance
values of X slopes from Figure 20.6 at the FSR into Equation 20.5. As a result, the
frequency of fSR rises to 44.6 GHz [33].
328 Circuit Design for Modern Applications
FIGURE 20.6 The reactance and frequency in a parallel RC and C–R–C stabilization
network.
FIGURE 20.7 Compares stability factor (a) and maximum gain (b) versus frequency for
R–C network, no stabilization network, and parallel R–C with series R–TL stabilization.
X 1
| fSR 2 L (20.5)
f C
The analysis of Figure 20.7 demonstrates that the lack of a stability network, simulta-
neous R–C system, and serial R–C network with R–TL all exhibit a continuous Rollett
instability factor (K) over 1 across the majority of the bandwidth. Additionally, the
gain loss remains small, measuring less than 0.9 dB. Although there is instability at
wavelengths below 1 gigahertz, this issue can be effectively addressed by taking into
account the inherent losses and filtering abilities of all R–C networks.
GaN HEMT-Based Power Amplifiers 329
FIGURE 20.8 (a) The reflection coefficient and (b) insertion loss along with frequency
response.
330 Circuit Design for Modern Applications
FIGURE 20.9 Input impedance in power stage with respect to frequency, (a) real part, (b)
imaginary part.
Regarding the interstage matching network, complexities arose from the non-
conjugate matching of optimum load and source impedances to their respective
transistors. To overcome this challenge, a dedicated optimization loop technique
was implemented, aligning the input impedance of the power stage and the out-
put impedance of the driver. The matching network underwent meticulous optimi-
zation to account for real and imaginary impedances in both directions. Despite
encountering limitations in impedance transformation from the input to the output
impedance of the power stage, as evidenced by variations versus frequency shown
in Figure 20.9, the design process demonstrated a strategic and adaptive approach to
overcome such challenges.
20.4 CONCLUSIONS
In conclusion, the design considerations for GaN HEMT-based power amplifi-
ers involve critical aspects such as biasing, thermal management, stability, and
impedance matching. Proper biasing and effective thermal control are imperative
for optimal GaN HEMT performance, considering their sensitivity to temperature
fluctuations. The use of a three-stage, common-source layout effectively tackles
issues pertaining to mismatch, propagation loss, process variation, and the maxi-
mum attainable gain (MAG) of transistors. Careful selection of unit cell parameters,
including periphery and gate width, is essential to balance trade-offs between output
power, broadband matching, and optimal impedance.
GaN HEMT-Based Power Amplifiers 331
REFERENCES
1. Zakaria, Z., Fadzil, F. M., Othman, M., Salleh, A. R., Azahari, I. A., & Haron, Z. N.
(2014). “Development of wideband power amplifier for RF/microwave front-end sub-
system.” Jurnal Teknologi, 68. https://doi.org/10.11113/jt.v68.2955.
2. Kunihiro, K., Hori, S., & Kaneko, T. (2018). “High efficiency power amplifiers for
mobile base stations: recent trends and future prospects for 5G.” IEICE Transactions
on Fundamentals of Electronics, Communications and Computer Sciences. E101.A.
374–384. 1
3. Pengelly, R. S., Wood, S. M., Milligan, J. W., Sheppard, S. T., & Pribble, W. L. (2012).
“A review of GaN on SiC high electron-mobility power transistors and MMICs.” IEEE
Transactions on Microwave Theory and Techniques, 60(6), 1764–1783. https://doi.org
/10.1109/tmtt.2012.2187535.
4. Burk, A., O’Loughlin, M., Siergiej, R., Agarwal, A., Sriram, S., Clarke, R., & Brandt,
C. (1999). “SiC and GaN wide bandgap semiconductor materials and devices.” Solid-
State Electronics, 43(8), 1459–1464. https://doi.org/10.1016/s0038-1101(99)00089-1.
5. Giofre, R., Colantonio, P., & Giannini, F. (2012). “GaN broadband power amplifiers for
terrestrial and space transmitters.” Microwave Radar and Wireless Communications
(MIKON), 2012 19th International Conference on, 2, 605, 609. https://doi.org/10.1109/
MIKON.2012.6233596
6. Ciccognani, W., De Dominicis, M., Ferrari, M., Limiti, E., Peroni, M., & Romanini, P.
(2008). “High-power monolithic AlGaN/GaN HEMT switch for X-band applications.”
Electronics Letters, 44(15), 911, 912. https://doi.org/10.1049/el:20081170
7. Rajakumar, G., Andrew Roobert, A., Gracia Nirmala Rani, D., & Arun Samuel, T.S.
(2017). “Low power VLSI architecture design of BMC, BPSC and PC Schemes”,
Analog Integrated Circuits and Signal Processing, 93(1), 169–178.
332 Circuit Design for Modern Applications
8. Flack, T. J., Pushpakaran, B. N., & Bayne, S. B. (2016). “GaN technology for power
electronic applications: A review”, Journal of Electronic Materials, 45(6), 2673–2682.
https://doi.org/10.1007/s11664- 016- 4435-3
9. Nirmal, D., Arivazhagan, L., Fletcher, A. S. A., Ajayan, J., & Prajoon, P. (2018).
“Current collapse modeling in AlGaN/GaN HEMT using small signal equivalent cir-
cuit for high power application”, Superlattices and Microstructures, 113, 810–820.
https://doi.org/10.1016/j.spmi.2017.12.027
10. Pandit, P. P., Arivazhagan, L., Prajoon, P., Rajkumar, J., Ajayan, J., & Nirmal, D. (2018).
“DC Performance analysis of AlGaN/GaN HEMT for future high power applications”,
2018 4th International Conference on Devices, Circuits and Systems (ICDCS). https://
doi.org/10.1109/icdcsyst.2018.8605071
11. Rajakumar, G., & Andrew Roobert, A. (2018). “Design of low power VLSI architecture
of line coding schemes”, Wireless Personal Communications, 99(4), 1455–1473. doi:
10.1007/s11277-018-5286-4
12. Jeyanthi, J. E., Samuel, A., Suh Song, Y., & Venkatesh, M. (2023). “Heterostructure
performance evaluation: A numerical simulation and analytical modeling of the fer-
roelectric pocket doped double gate tunnel FET”, International Journal of Numerical
Modelling, 2023, https://doi.org/10.1002/jnm.3182.
13. Piprek, J. (2007). “Gallium nitride LEDs and lasers: A review of problems and solu-
tions.” Materials Science and Engineering: R: Reports.
14. Mishra, U. et al. (2003). “High-temperature, high-power, and high-frequency GaN
HEMTs.” Proceedings of the IEEE.
15. Andrew Roobert, A., & Gracia Nirmala Rani, D. (2019). “Survey on parameter opti-
mization of mobile communication band low noise amplifier design,” International
Journal of RF and Microwave Computer-Aided Engineering, 29(7), 1–16. https://doi
.org/10.1002/mmce.21720
16. Shur, M. S. (2004). “GaN transistors for power switching applications: A review.” IEEE
Transactions on Electron Devices.
17. Palacios, T. et al. (2016). “A review of GaN-based devices for terahertz applications.”
Materials Science in Semiconductor Processing.
18. Kenington, P. B. (2009). High-Efficiency RF and Microwave Solid-State Power
Amplifiers. John Wiley & Sons.
19. Priya, G.L., Venkatesh, M., Agarwal, L. et al. (2022). “Modeling and performance
analysis of nanocavity embedded dopingless T-shaped tunnel FET with high-K gate
dielectric for biosensing applications.” Appl. Phys. A 128, 952. https://doi.org/10.1007/
s00339- 022- 06081-z.
20. Leeson, D. B. (1996). Power Amplifiers for RF and Microwave. Artech House.
21. Neumayr, D., Guacci, M., Bortis, D., & Kolar, J. W. (2017). “New calorimetric power
transistor soft-switching loss measurement based on accurate temperature rise moni-
toring.” 2017 29th International Symposium on Power Semiconductor Devices and
IC’s (ISPSD), Sapporo, pp. 447–450.
22. Knechtel, M., Weyers, M., & Krost, A. (2018). “Advances in gallium nitride technol-
ogy for optoelectronic and electronic devices.” Materials Science and Engineering: R:
Reports, 132, 1–38.
23. Kumar, T.V., Venkatesh, M., Muthupandian, B. et al. (2022). Charge density based
small signal modeling for InSb/AlInSb asymmetric double gate silicon substrate
HEMT for high frequency applications.” Silicon 14, 6009–6018. https://doi.org/10.1007
/s12633- 021- 01383-y
24. Dasgupta, S., Pale, V., & Alahmadi, M. (2020). “Gallium nitride high electron mobility
transistor (GaN HEMT) technology: fabrication, characterization, and applications.”
Materials, 13(18), 4112.
GaN HEMT-Based Power Amplifiers 333
25. Ueda, T., Tanaka, T., & Uesugi, T. (2017). “Recent progress in GaN HEMTs for micro-
wave power amplifiers.” IEICE Transactions on Electronics, 100(11), 849–854.
26. Chen, Y., Jiang, Y., & Zhou, X. (2021). “High-power GaN HEMT technology for radar
applications.” IOP Conference Series: Materials Science and Engineering, 1082(1),
012023.
27. Biswas, D., Lin, Y. C., & Chowdhury, S. (2019). “Gallium nitride (GaN)-based power
devices: A new frontier in the power semiconductor devices.” IEEE Transactions on
Power Electronics, 34(3), 2235–2251.
28. Sun, W., Lu, X., & Guo, X. (2022). “Gallium Nitride HEMT technology for high-power
and high-frequency applications: A review.” Microelectronics Journal, 113, 104951.
29. Lu, J., Li, Z., & Xi, Y. (2020). “Silicon carbide (SiC) and gallium nitride (GaN) power
devices: an overview on performance characteristics and limitations.” IEEE Access, 8,
151000–151015.
30. Peng, L., Chen, J., Zhang, Z., & Zhang, G. (2022). “Design of an efficient 24–30
GHz GaN MMIC power amplifier using filter-based matching networks.” Electronics
11(13),1934. https://doi.org/10.3390/electronics11131934
31. Venkatesh, M., Priya, G.L. & Balamurugan, N.B. (2021). “Investigation of ambipolar
conduction and RF stability performance in novel germanium source dual halo dual
dielectric triple material surrounding gate TFET.” Silicon 13, 911–918. https://doi.org
/10.1007/s12633- 020- 00856-w
32. Biswas, D., Lin, Y. C., & Chowdhury, S. (2019). “Gallium nitride (GaN)-based power
devices: A new frontier in the power semiconductor devices.” IEEE Transactions on
Power Electronics, 34(3), 2235–2251.
33. Peng, L., Chen, J., Zhang, Z., & Zhang, G. (2022). “Design of an efficient 24–30 GHz
GaN MMIC power amplifier using filter-based matching networks.” Electronics 11(13),
1934. https://doi.org/10.3390/electronics11131934.
34. Peng, L., Zhang, Z., & Zhang, G. (2023). “Efficient GaN-on-Si power amplifier design
using analytical and numerical optimization methods for 24–30 GHz 5G applications.”
Electronics 12(7), 1750. https://doi.org/10.3390/electronics12071750.
21 New Approaches for
Modeling Nanoscale
Junctionless FETs
Device and Circuit-Level
Performance Assessment
H. Ferhati, T. Berghout, and F. Djeffal
21.1 INTRODUCTION
Over the past few decades, MOSFETs (Metal Oxide Semiconductor Field Effect
Transistors) have been the key driving force behind the semiconductor indus-
try, serving as the foundational element of integrated circuits [1–5]. MOSFETs
are now a microelectronics foundation, they are more popular as smaller devices
with higher performance, and their application in electronic circuits is expanding
[2–7]. The device architecture and operating principle have remained practically
unchanged to this day, but its physical dimensions have continued to decrease [4–8].
The International Technological Roadmap for Semiconductors (ITRS) forecasted
that miniaturizing traditional MOSFET devices would reach its limit in 2017 [9].
Basically, reducing the size of components is not the best solution to guarantee better
performance, while maintaining low manufacturing costs [10–14]. Parasitic effects
such as high OFF-state current, degraded subthreshold behavior, drain induced bar-
rier lowering (DIBL) and noise effects appear and increase with the reduction of
the transistor dimensions [12–15]. This decline in electrical performance is primar-
ily related to weak control of the channel electrostatic field. The development of
the semiconductor industry requires fulfilling several criteria including producing
even smaller electronic devices, faster transit times, and reliable and cost-effective
devices. Accordingly, the industry has encountered great changes, moving from
microelectronics to nanoelectronics [16–20]. Thus, alternative solutions to con-
ventional MOSFET are proposed for future technological nodes. In this context,
Junctionless strategy and multiple gate (MG) designs including double gate, FinFET
or well-known as GAA (Gate-All-Around) have offered new pathways for extend-
ing the miniaturization 2026 [18–23]. Therefore, these multi-gate structures have
attracted significant interest among researchers due to their potential in developing
high-performance nanoelectronic integrated circuits (ICs) [22–26]. This is mainly
due to their capability of offering better electrostatic control of the channel and
reduced parasitic currents [25]. Despite these advantages, various challenges regard-
ing commutation speed, power loss, device reliability and processing complexity
still persist, making continuous miniaturization extremely difficult [26]. Therefore,
the emergence of novel design strategies is still required to keep up with Moore’s law
evolution. Following this direction, several architectures including, dual material
gate (DMG), gate stack, underlap, doping engineering, heterostructured channel and
T-shaped devices have been investigated for overcoming common problems associ-
ated with MG MOSFET devices [27–32]. Moreover, graphene-based nanoscale JL
transistors have been widely investigated to achieve high derived current capability
and improved switching behavior [33–36]. However, the use of 2-D materials has
various drawbacks including noise effects and complicated manufacturing process-
ing. Also, the rise in leakage current reduces device reliability and power consump-
tion also increases. Thus, these technologies have led to achieving enhanced analog/
RF performances, but the adaptation of these architectures for future technological
nodes still remains a real challenge.
In traditional nanoscale MG MOSFETs, the ion implantation method is employed
to create source/drain (S/D) areas, resulting in a random distribution of dopant atoms
[37]. This phenomenon results in doping gradients between the channel and S/D
extremities with a typical distance value of about 3 nm/dec. Besides, the use of heav-
ily doped S/D regions imposes high-temperature thermal treatment to achieve acti-
vation of dopants in semiconductor film. This process can consequently cause dopant
atoms to spread sideways from S/D regions into the device channel, hindering the
formation of sharp junctions at the interface between the source/channel and drain/
channel. As a potential solution to these problems, junctionless (JL) technology is
one of the most intriguing strategies being continuously explored due to its versatil-
ity in design, low cost and capability for mitigating technological issues associated
with conventional devices [38–40]. It involves utilizing a uniformly n-type doped
channel without P–N junctions [38]. This strategy has enabled avoiding the elabo-
ration of abrupt junctions, which is considered as a serious problem for nanoscale
devices as is above-outlined. Accordingly, the research interest is turned toward the
development of new high-performance cost-effective nanoscale MG JL MOSFET
devices [41–43]. In this regard, several studies confirmed the capability of JL tech-
nology for the realization of GAA MOSFET devices with improved performances.
Despite the technological benefits of the JL-based devices, suppressing metallurgi-
cal junctions has affected the device-derived current capability, the commutation
speed and power consumption. These issues have conducted research to introduce
applied design strategies commonly used to enhance conventional MG MOSFET
device performance. This includes gate engineering, alternative high-κ gate dielec-
tric, engineered doping profiles, S/D extensions and alternative III–V-material build-
ing blocks [43–49]. However, further improvements should be achieved to make JL
GAA MOSFET devices highly competitive with conventional nanoscale MOSFET
devices in terms of device performance.
Silicon-based alloys, with adjustable band gaps below 1.1 eV, high carrier mobil-
ity, and compatibility with CMOS technology, have emerged as potential alternative
336 Circuit Design for Modern Applications
FIGURE 21.1 (a) Cross-sectional view of the investigated GAA JL MOSFET devices based
on Si and SiGe, SiSn binary alloy. (b) Concept of the fabrication processing of the studied
GAA JL MOSFET with Si-based binary alloys.
TABLE 21.1
Design Parameters of the Analyzed GAA JL MOSFET Devices with Si-Based
Binary Alloys
SiGe DG GAA JL
Design Parameter Notation SiSn GAA JL MOSFET MOSFET
Oxide thickness tox 4 nm 4 nm
Drain n+-doping ND 1018 cm–3 1018 cm–3
Source n+-doping NS 1018 cm–3 1018 cm–3
Channel doping Nch 1018 cm–3 1018 cm–3
Gate work function Фm 4.6 eV 4.6 eV
Sn mole fraction xSn [0, 0.5] [0, 0.5]
Ge mole fraction xGe – [0, 1]
Channel raduis R 10 nm 10 nm
Channel length L 80 nm 80 nm
within SiSn alloy is confined to a specified range, i.e., [0, 0.4], where experimentally,
the maximum achievable Sn mole fraction is around 44% using several crystalliza-
tion techniques as reported in [57–58].
The described GAA JL MOSFET structure can be elaborated following numer-
ous fabrication steps. Accordingly, Figure 21.1(b) depicts the concept fabrication
process of the investigated junctionless transistor based on Si binary alloy build-
ing blocks. Basically, a freestanding vertical nanowire base should be elaborated
to fabricate the GAA JL MOSFET device [59–62]. In this context, the SiSn and
SiGe films can be deposited on the Silicon-On-insulator (SOI) structure using the
chemical vapor deposition (CVD) technique. Thereafter, the freestanding vertical
338 Circuit Design for Modern Applications
nanowire can be fabricated by depositing a hard nitride mask and photo-resist and
then using the deep reactive ion etch (DRIE) process to get SiGe and SiSn pillar
structures [62]. The pillar radius can be suitably reduced by a self-limited oxidation
process [62]. Then the nitride layer can be removed using a wet etching method.
After achieving the vertical nanowire of SiGe or SiSn, the next step consists of the
doping process, where the ion implantation technique using arsenic dopant followed
by rapid thermal annealing (RTA) process can be exploited for the realization of
highly doped nanowire. High doping concentration can be achieved by well control-
ling the ion implantation doping process. Afterwards, isolation oxide can be grown
to separate the gate and base regions as depicted in Figure 21.1 (b). After that, the
dry thermal growth method can be employed to form SiO2 gate oxide around the
nanowire. Subsequently, the surrounded gate can be formed by depositing α-Si film
using the LPCVD technique followed by an ion implementation process and thermal
treatment to develop the poly-Si gate [62]. To tailor the gate length of the transistor,
the RIE process can be exploited to remove the gate isolation oxide and poly-Si as
shown in Figure 21.1 (b), keeping the poly-silicon around the desired gate length.
Finally, the chemical mechanical polishing (CMP) method and contact holes can be
patterned, etched and then metalized to form the source, drain and gate electrodes as
illustrated in Figure 21.1 (b).
21.2.2 Operating Mechanism
Indeed, the operational mechanism of JL devices differs significantly from that of
conventional inversion mode (IM) MOSFET. In addition, The JL device functions
based on the drift-diffusion mechanism while the gate voltage modulates the elec-
trostatic behavior in the channel, leading to the transition from OFF to ON states.
Figure 21.2 illustrates the change in drain current concerning the gate voltage in rela-
tion to the conventional GAA JL MOSFET device. Accordingly, the OFF-state of
the transistor is attained when the channel is completely depleted. This operational
FIGURE 21.2 Ids –Vgs characteristics of the Si GAA JL MOSFET obtained from the experi-
mental work and numerical simulation.
New Approaches for Modeling Nanoscale Junctionless FETs 339
mode arises from the significant disparity in the work function between the gate and
the channel material. In the OFF-state, the drain bias applied is distributed across
the depleted channel, resulting in heightened series resistance and consequently, a
low drain current. The shift from a fully depleted channel to a partially depleted one
under applied voltage signifies the device threshold voltage. During this state, the
current initiates its flow at the GAA MOSFET channel center, leading to avoiding
the degradation of carrier mobility, which is considered the main benefit of depletion-
based JL MOSFET with respect to conventional (IM) devices. In this framework,
the introduction of Si-based binary alloys like SiGe and SiSn would influence the
device threshold voltage, where the compound composition can change the transition
between the fully and partially depleted operating modes. Continuing to elevate the
gate bias to reach the flat-band voltage renders the entire channel conductive, leading
to the occurrence of the accumulation operating mode, as depicted in Figure 21.2.
Accordingly, carriers will accumulate at the oxide/channel interface and the current
saturates by further increasing the gate voltage.
21.2.3 Modeling Approach
The analyzed device is suggested with Si-based binary alloys (SiGe and SiSn), where
the elaboration of the device from a technological viewpoint can be easily made
by developing SiGe or SiSn thin films on the SOI platform. From Figure 21.1, the
parameter r denotes the cylindrical channel radius and Nch refers to the doping level
of the considered SiGe and SiSn channel. To model the device operating mechanism,
Poisson’s formula is modified to fit a problem with 1 D, where the lower limit of
channel length is fixed at 60 nm. Besides, the channel radius is limited to 10 nm, thus
quantum confinement effects are ignored [63].
The conventional expression for Poisson’s formula in cylindrical coordinates can
be presented as:
d 2 1 d qN ch (r ) V
exp 1 (21.1)
dr 2 r dr al Vt
where q is the electron charge, r refers to electrostatic potential in SiGe of SiSn
channel body, ε al represents Si-based compound (SiGe, SiSn) permittivity, Vt is the
thermal voltage and V denotes the source to drain potential shift.
A parabolic profile for the channel potential is considered. Besides, boundary
conditions assuming a constant electrostatic potential of Ψ 0 and zero electric field
at the channel center are given by
(0) 0 (21.2a)
d
0 (21.2b)
dr r 0
340 Circuit Design for Modern Applications
s 0 2
(r ) r 0 (21.3)
R2
with Ψ s denoting the surface potential at the channel/SiO2 boundary.
To compute the mobile charge, it is necessary to apply Gauss’s theorem at the
interface of the channel (SiGe, SiSn) and SiO2. Consequently, the association between
the potential and the mobile charge is expressed as:
d Qm Q fix
(21.4)
dr rR si
With Qm and Q fix referring respectively to the mobile and the fixed charges. In this
framework, the fixed charge can be estimated from Q fix = 2qN ch R / 2. Subsequently,
employing Gauss theorem as defined in the aforementioned equation around the
proposed (SiGe, SiSn) channel and accounting for the boundary conditions, we can
derive an implicit equation for the mobile charge density, given by:
R Qm Q fix
1 exp
2 alVt
Qm Q fixQi exp s V (21.5)
Qm Q fix V
t
With Qi 2 alVt / R.
Conversely, we can deduce the connection between the surface potential Ψ s and
the applied gate voltage Vgs as:
d Qm Q fix
Cox Vgs V fb s (21.6)
dr rR al
Qm Q fix
s Vgs V fb (21.7)
Cox
where Vgs and Vfb denote the gate and the flat-band voltages, the oxide capacitance
( Cox ) can be estimated from Cox ox / R ln 1 tox / R with εox is the oxide per-
mittivity. In this context, the Si-based alloy composition can modulate this electronic
parameter ( ϕms ), which can in turn the device’s flat-band characteristics. Likewise,
the doping level of the considered SiSn and SiGe channels can also modify the flat-
band voltage and thus the transition from the partially depleted working to the accu-
mulation modes. Consequently, the flat-band voltages of both SiGe and SiSn-based
JL transistors (VfSiGe, VfSiSn) can be estimated from the following expressions
New Approaches for Modeling Nanoscale Junctionless FETs 341
N ch
V fbSiGe ms1 Vt ln
ni
(21.8)
V fbSiSn ms 2 Vt ln N ch
n
i
with
Q fix Qm1 (V )
1 exp
K Qi
Qm (V ) CoxVt LW exp i V (21.9a)
CoxVt Qm1 (V ) Q fix
The Lambert function expression, LW(z), is provided as:
ln 1 ln 1 z
LW z ln 1 z 1 (21.9b)
2 ln 1 z
Q fix Qm 2 (V )
exp
K Qi
Qm1 (V ) Qe LW
Qe
Qm 2 (V ) Q fix
i
exp V
(21.9c)
and
K
Qm 2 (V ) CoxVt LW exp i V / 2 (21.9d)
CoxVt
with
K = Q fixQi
342 Circuit Design for Modern Applications
Qi CoxVt
Qe
Qi CoxVt
The potential i V with i = 1, 2 depends on the material used in the channel, where
i = 1 corresponds to the SiGe compound and i = 2 is related to the SiSn channel.
Qi
1 V Vgs V fbSiGe V
Cox
(21.10)
Qi
2 V Vgs V fbSiSn C V
ox
The drift-diffusion model governs the transport mechanism of suggested GAA JL
MOSFET based on Si-based binary alloys for each value of Vgs. Thus, the drain cur-
rent can be calculated utilizing the following equation:
Vds
R nVt
I ds
L Q dV
0
m (21.11)
With µ n is the channel mobility depending on the material used in the channel as
well as the elemental composition. Upon evaluating the integral in Equation 21.11,
we derive a concise analytical expression for the drain current I ds .
R nVt
I ds F (0) F (Vds ) (21.12)
L
Qm (V ) 2 Qi CoxVt Q (V ) Q fix
F (V ) 2Qm (V ) CQm (V ) ln 1 exp m
2CoxVt Qi 2CQi
Qm (V ) Q fix
Q fix ln
Qm (V ) Q fix
2Qi exp 1
2Qi
(21.13)
To assess the accuracy of the developed compact analytical model based on the
drift-diffusion transport mechanism, the Si GAA JL MOSFET design reported in
the experimental work [61] is simulated taking the same geometrical and physical
parameters. In this perspective, Ids–Vgs characteristic and those reported in [61]
are compared in Figure 21.2. The curves illustrate a notable concordance between
the analytical outcomes and the experimental data. The adopted modeling frame-
work effectively reproduces the experimental results, affirming its suitability for
New Approaches for Modeling Nanoscale Junctionless FETs 343
FIGURE 21.3 (a) Ids–Vgs curves of the Si DG TFET obtained from the experimental work
and numerical simulation. (b) Output characteristics of the analyzed Si, SiSn and SiGe GAA
JL MOSFET devices.
344 Circuit Design for Modern Applications
FIGURE 21.4 Variation of ION/IOFF ratio as a function of (a) Ge concentration and (b) Sn
mole fraction for both proposed transistors based on SiGe and SiSn material building blocks,
respectively.
After bringing evidence that using Si-based binary alloys can offer new path-
ways for improving and modulating the performance and transport mechanism of
GAA JL MOSFET device, it seems of paramount importance to analyze the influ-
ence of the Ge containing (xGe) and the Sn concentration (xSn) in both SiGe and
SiSn, respectively, on the device performance. To achieve this, Figure 21.4(a) and (b)
illustrate the fluctuation of the drain current concerning Ge and Sn mole fractions,
respectively. The Sn mole fraction in SiSn alloy is varied from 0% to 44%, which is
considered as the limit for the elaboration of stable SiSn thin film with good crystal-
linity and reduced defects [57–58]. As observed in Figure 21.4(a), the scrutinized
SiGe GAA JL MOSFET device exhibits higher performance with respect to the con-
ventional Si-based device. In addition, the ON-to-OFF current ratio increases with
the Ge mole fraction increase. This is mainly ascribed to the effect of the Ge level in
SiGe on the threshold voltage, modulating the transport mechanism of the transis-
tor. In addition, increasing the Ge concentration leads to improved carrier mobility,
contributing to the ON-state current enhancement. On the other hand, Figure 21.4(b)
demonstrates the complex behavior of SiSn GAA JL MOSFET devices. In other
words, the ON-to-OFF current ratio decreases with rising Sn concentration in the
SiSn channel to reach the lowest value of xSn = 15%. Thereafter, the Sn containing
increase over the latter critical value leads to an exponential increase of the ION/
IOFF ratio as shown in Figure 21.4(b). This complex behavior can be explained by
the effect of SiSn binary alloy in inducing significant changes regarding the gate/
oxide/channel electronic structure and the lateral carrier transport from the source
to the drain. The discrepancies regarding the electrical behavior between both GAA
JL MOSFET devices based on SiGe and SiSn material can be explained by the dif-
ference between the materials’ electronic properties when the elemental composition
is varied. In the case of SiGe material, the increase of Ge content induces bandgap
lowering and the material affinity is slightly influenced, where χ Si0.1Ge0.9 = 4.01 eV
and χ Si = 4.01 eV. On the other hand, the variation of Sn concentration decreases
the bandgap and induces significant changes in the conduction band position, lead-
ing to a higher variation of the electron affinity ( χ Si0.6 Sn0.4 = 4.23 eV and χ Si = 4.01
eV). This behavior can significantly modulate the flat-band and threshold voltages.
The maximum transconductance and cut-off frequency of the examined JL devices,
utilizing SiGe and SiSn binary alloys, are identified for various concentrations of Ge
New Approaches for Modeling Nanoscale Junctionless FETs 345
and Sn. This is depicted in Figure 21.5(a) and (b), respectively. The latter figure
shows that the investigated GAA JL MOSFET devices with SiSn and SiGe material
building blocks offer improved transconductance and cut-off frequency when com-
pared to the conventional Si-based design. When Ge and Sn mole fractions increase,
it can be seen from Figure 21.5 that the transconductance has dissimilar tendencies
for both devices based on SiGe and SiSn. The increase of Ge content results in the
cut-off frequency increase in the range of xGe = [0,0.8] and then decreases for high
Ge concentration over 0.8 and for pure Ge-based devices. Alternatively, raising the
Sn level in the SiSn channel can improve both transconductance and cut-off fre-
quency. These discrepancies regarding device performance are related to the dif-
ferences concerning the electronic and electrical properties of both SiGe and SiSn
materials as it is above-outlined. Hence, a careful attention should be paid to the Ge
and Sn amounts used in GAA JL MOSFET devices for analog/RF applications for
which the maximum transconductance is highly required. In addition, other design
parameters such as the oxide thickness, the channel radius, the doping concentra-
tion and the gate work function also should be carefully selected, having a great
influence on device performance. Therefore, new optimization approaches should
be implemented to identify the suitable design parameters and Si-based binary alloy
composition that can offer the highest performances. This perspective will be thor-
oughly investigated in the next section of the chapter.
resolving these problems [65–67]. From this standpoint, modern artificial intelli-
gence approaches, including genetic algorithm (GA), and PSO metaheuristic tech-
niques, have garnered significant attention. The latter method, initially introduced
by Eberhart and Kennedy [68], emulates the metaphor of natural social behavior,
such as bird flocking. In this regard, the primary advantage of this approach lies in
its straightforward mechanism and ability to identify optimal solutions for a diverse
array of complex mathematical problems. These unique properties demonstrate the
usefulness of PSO for studying and optimizing a wide range of innovative micro and
nanoelectronic devices [69–71]. Due to its simplicity and versatility, the PSO-based
approach for global optimization can be very useful in studying and optimizing the
proposed GAA JL MOSFET device performance based on SiGe and SiSn binary
compounds. It offers new pathways to identify the optimized design, providing the
highest possible performance (Figure 21.7).
Fundamentally, PSO-based methodology employs random processes to minimize
a defined fitness function, enabling easy identification of global solutions to a given
problem. Additionally, the optimal position of each particle in the swarm and the
FIGURE 21.6 (a) Flowchart of the adopted PSO-based optimization framework. (b) Fitness
function versus the generation number of the performed PSO global search process.
FIGURE 21.7 Schematic of the basic circuit configuration of an inverter gate using the
optimized SiGe and SiSn-based transistors.
New Approaches for Modeling Nanoscale Junctionless FETs 347
collective best positioning of the particle group can influence the selection of poten-
tial particles. Alternatively, the group of particles to which a particle is topologically
connected is commonly referred to as a neighborhood, which is divided into two
categories: local best particles and global best particles. Consequently, each particle
in the swarm can exchange information with every particle in its vicinity. Moreover,
to modify and update the position and velocity of the recommended particles, equa-
tions inspired by bird-swarming behavioral models can be utilized, as outlined in the
following formulas.
where m is to the swarm size, Vi k and Z ik refers to the particle velocity and position,
while gijk and pijk represent respectively, the best positioning of the particle group
and the best position of each particle in the swarm, s1 and s2 are the cognitive and
social acceleration factors; h1 and h2 are random numbers considered in the specific
range of [0,1]. To balance the global search process the inertia weight (w) is applied.
The proposed PSO-based optimization framework is represented by the flowchart
shown in Figure 21.6(a). Observing this flowchart, the main objective is to determine
the optimized GAA JL MOSFET based on SiSn and SiGe binary alloys. To do so,
various geometrical (r, tox, and L), electrical (Vgs and Vds), electronic (ϕm ) , and
physical (Nch, xGe, xSn) should be optimized to minimize the objective function.
The latter is formulated based on satisfying several criteria related to the device’s
RF/analog performances. The fitness function is defined as follows:
1 1 1
F ( X ) w1 ( ) w2 ( ) w3 ( ) (21.14)
ION / I OFF ratio gm fc
The objective function is represented by the weighted sum approach method with wi
(i = 1 – 3) are a weighting factor taken equal to 1/3. This representation is suggested
to reduce the optimization problem to a mono-objective one, where the current ratio,
transconductance and cut-off frequency can be maximized equally. In Equation 21.9
the parameter X denotes a victor defining the GAA JL MOSFET design parameters.
The PSO is conducted by considering 50 particles in the swarm, 400 maximum
generations, resulting in optimization error for the stopping criterion being infinitesi-
mal. After carrying out PSO computation, Figure 21.6(b) shows the fitness function
versus the generation number for both optimized devices based on SiSn and SiGe
alloys. We can see that PSO has allowed minimizing the objective function, thus
maximizing the device performance criteria (current ratio, gm, and fc). In addition,
quiet stabilization is achieved after 500 generations for both investigated JL tran-
sistors. The optimized design parameters for both investigated GAA JL MOSFET
based on SiSn and SiGe compounds and the associated performance parameters are
recapitulated in Table 21.2. It can be demonstrated that highly improved device per-
formances are achieved thanks to the use of the PSO optimization framework. The
optimized Sn and Ge contents of 42% and 83% are successfully selected through
348 Circuit Design for Modern Applications
TABLE 21.2
Performance comparison between the conventional Si GAA JL MOSFET
device and the optimized ones with SiGe and SiSn binary compounds.
Si GAA JL Optimized SiGe Optimized SiSn GAA
Symbol MOSFET design GAA JL MOSFET JL MOSFET
Design variables:
Channel length L (nm) 80 65 70
Oxide thickness tox (nm) 4 3 3.5
Channel radius R (nm) 10 8 9
Channel doping Nch (cm–3) N-type 1 × 1018 N-type 4 × 1018 N-type 2 × 1018
Drain voltage Vds(V) 0.5 0.6 0.6
Gate workfunction Фm (eV) 4.6 4.5 4.45
Ge mole fraction (%) – 0.83 –
Sn mole fraction (%) – – 0.42
Gate oxide material SiO2 SiO2 SiO2
Performance parameters:
Transconductance (µS) 7.2 32 49
ION/IOFF ratio (dB) 34.5 145.4 187.5
Cut-off-frequency (GHz) 43 57 92
the proposed PSO method. The optimized transistor based on SiSn exhibits highly
improved performance parameters as compared to that of the SiGe-based one. This
metaheuristic technique has promoted wider possibilities to select the appropriate
device parameters that can contribute to providing the highest RF/analog perfor-
mances as compared to the Si-based device.
21.5 CIRCUIT-LEVEL ANALYSIS
In this section, we show a circuit-level investigation of an inverter circuit build-
ing block designed with the optimized GAA JL MOSFET based on SiSn and SiGe
alloys. The optimized devices with the resulting design parameters provided in Table
21.2 are used. Figure 21.7 depicts the basic circuit configuration, which is an inverter
using a load resistance. The latter is set to the value of 10 kΩ, and the voltage is
taken with a value of 2 V. The circuit is analyzed using TCAD simulation based on
mixed-mode available in Silvaco numerical software [75]. In this context, all simu-
lations take into account room temperature circumstances. Furthermore, physical
models commonly used for nanoscale devices are taken into account. So, the effects
of temperature, transverse field and doping on carrier mobility are included by using
the CVT model established by Lombardi et al. [75]. Parallel electric fields may affect
carrier mobility, hence the FLDMOB model is taken into account. The SRH state-
ment is included to account for the Shockley–Read–Hall recombination model. The
bandgap narrowing effects by activating the BGN parameter should be accounted for
by the use of JL technology requiring a heavily doped semiconductor.
New Approaches for Modeling Nanoscale Junctionless FETs 349
FIGURE 21.8 Output voltage characteristics obtained from inverter-gate circuits based on
the optimized GAA JL MOSFETs with SiGe and SiSn cmpounds compared to that of the
conventional Si-based device.
21.6 CONCLUSIONS
A new GAA JL MOSFET designs based on SiGe and SnSi binary alloys are pro-
posed in this work. The analytical drain current model of the analyzed GAA JL
MOSFET device is developed to study the analog/RF performances of the device.
The operating mechanism of the proposed SiSn and SiGe GAA JL MOSFET designs
is studied and thoroughly discussed. The influence of the Sn and Ge concentrations
350 Circuit Design for Modern Applications
REFERENCES
1. X. Sun, V. Moroz, N. Damrongplasit, C. Shin and T.-J. K. Liu, IEEE Transactions on
Electron Devices, vol. 58, pp. 3294–3299, 2011.
2. F. Djeffal, M. A. Abdi, Z. Dibi, M. Chahdi and A. Benhaya, Materials Science and
Engineering: B, vol. 147, pp. 239–244, 2008.
3. S. Sarkhel, T. Kumari and P. Saha, IEEE Transactions on Nanotechnology, vol. 22, pp.
673–678, 2023.
4. Q. Chen and J. D. Meindl, Nanotechnology, vol. 15, pp. 8549–8555, 2004.
5. T. Bendib, F. Djeffal, IEEE Transactions on Electron Devices, vol. 58, pp. 3743–3750,
2011.
6. A. A. Deshpande, S. Semwal, J.-P. Raskin and A. Kranti, IEEE Transactions on
Electron Devices, vol. 70, pp. 5983–5990, 2023.
7. S. Rai et al., IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.
27, pp. 560–572, 2019.
8. T. Bendib, F. Djeffal and D. Arar, Journal of Computational Electronics, vol. 10, pp.
210–215, 2011.
9. International Technology Roadmap for Semiconductors (ITRS). http://itrs.net, 2018.
10. M.A. Abdi, et al., Journal of Materials Science: Materials in Electronics, vol. 19, pp.
248–253, 2008.
11. M. De Marchi et al., IEEE Transactions on Nanotechnology, vol. 13, pp. 1029–1038,
2014.
12. F. Djeffal, S. Guessasma, A. Benhaya and M. Chahdi, Semiconductor Science and
Technology, vol. 20, pp. 158–164, 2005.
13. A. P. Jacob, R. Xie, M. G. Sung, L. Liebmann, R. T. P. Lee and B. Taylor, International
Journal of High Speed Electronics and Systems, vol. 26, pp. 1740001, 2017.
14. F. Djeffal and T. Bendib, Microelectronics Journal, vol. 42, pp. 661–666, 2011.
15. M. K. Ram, N. Tiwari, D. B. Abdi and S. Saurabh, IEEE Access, vol. 9, pp. 141321–
141328, 2021.
16. M. de Souza, R. T. Doria, R. Trevisoli, S. Barraud and M. A. Pavanello, IEEE
Transactions on Nanotechnology, vol. 20, pp. 234–242, 2021.
17. M. K. Anvarifard and A. A. Orouji, IEEE Transactions on Electron Devices, vol. 62,
pp. 1672–1676, 2015.
New Approaches for Modeling Nanoscale Junctionless FETs 351
18. T. Bentrcia, F. Djeffal, A. H. Benhaya, Journal of Semiconductors, vol. 33, pp. 014001,
2012.
19. F. Djeffal, T. Bentrcia, M.A. Abdi and T. Bendib, Microelectronics Reliability, vol. 51,
pp. 550–555, 2011.
20. S. Pandit, Nanoscale MOSFET: MOS transistor as basic building block. In: Sengupta,
A., Sarkar, C. (eds) Introduction to Nano. Engineering Materials. Springer, Berlin,
Heidelberg, 2015.
21. I. Ferain, C. A. Colinge and J-P. Colinge, Nature, vol. 479, pp. 310–316, 2011.
22. J.-P. Colinge, Multigate transistors: Pushing Moore's law to the limit, 2014 International
Conference on Simulation of Semiconductor Processes and Devices (SISPAD),
Yokohama, Japan, 2014, pp. 313–316.
23. T. S. Arulananth, S. V. S. Prasad and K. Srinivas Rao, Introduction to Multi-Gate
FET Devices, Springer Tracts in Electrical and Electronics Engineering, Packages
Computer Science, Computer Science (R0), 2021.
24. S. Tayal, P. Singla and J. P. Davim (Eds.), High-k Materials in Multi-Gate FET Devices,
1st ed. CRC Press, 2021.
25. M. Son, J. Sung, H. W. Baac and C. Shin, IEEE Access, vol. 11, pp. 96170–96176, 2023.
26. P. Nsengiyumva et al., IEEE Transactions on Nuclear Science, vol. 65, pp. 223–230,
2018.
27. H. Ferhati and F. Djeffal, Journal of Computational Electronics, vol. 17, pp. 129–137,
2018.
28. R. Kusuma, V. K. H. R. Talari, Transactions on Electrical and Electronic Material,
vol. 24, pp. 178–187, 2023.
29. P. Udar, A. Goel and R. S. Gupta, Microelectronics Journal, vol. 141, p. 105952, 2023.
30. S. Agrawal, A. Srivastava and G. Kaushal, Microelectronics Journal, vol. 141, pp.
105952, 2023.
31. S. K. Maity and S. Pandit, Superlattices and Microstructures, vol. 101, pp. 362–372,
2017.
32. T. Bentrcia, F. Djeffal, H. Ferhati and Z. Dibi, Silicon, vol. 12, pp. 945–953, 2020.
33. A. K. Geim, Science, vol. 324, pp. 1530–1534, 2009.
34. K. Tamersit and F. Djeffal, Nuclear Instruments and Methods in Physics Research,
Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, vol.
901, pp. 32–39, 2018.
35. Y. Lan et al., EEE Electron Device Letters, vol. 39, 12, pp. 1944–1947, 2018.
36. K. Tamersit and F. Djeffal, Journal of Computational Electronics, vol. 18, pp. 813–825,
2019.
37. S. Furukawa, H. Matsumura, and H. Ishiwara, Japanese Journal of Applied Physics,
vol. 11, p. 134, 1972.
38. C-W. Lee, A. Afzalian, N.D. Akhavan, R. Yan, I. Ferain and J.-P. Colinge, Applied
Physics Letters, vol. 94, p. 053511, 2009.
39. J.-P. Colinge et al., Nature Nanotechnology, vol. 5, no. 3, pp. 225–229, Mar. 2010.
40. H. Ferhati, F. Douak and F. Djeffal, Superlattices and Microstructures, vol. 109, pp.
869–879, 2017.
41. H. -R. Lim et al., IEEE Electron Device Letters, vol. 40, no. 9, pp. 1362–1365, 2019.
42. T. Ganguli, M. Chanda and A. Sarkar, IEEE Transactions on Electron Devices, vol. 70,
pp. 6157–6162, 2023.
43. S. Roy, G. Jana and M. Chanda, Silicon, vol. 14, pp. 903–911, 2022.
44. F. Djeffal, H. Ferhati and T. Bentrcia, Superlattices and Microstructures, vol. 90, pp.
132–140, 2016.
45. B. Singh, D. Gola, K. Singh, E. Goel, S. Kumar, and S. Jit, IEEE Transactions on
Electron Devices, vol. 63, pp. 2299–2305, 2016.
352 Circuit Design for Modern Applications
46. R. K. Baruah and Roy P. Paily, IEEE Transactions on Electron Devices, vol. 61, pp.
123–128, 2014.
47. J. Fang, S. Chen, W. G. Vandenberghe, and M. V. Fischetti, IEEE Transactions on
Electron Devices, vol. 64, pp. 2758–2764, 2017.
48. T. Bentrcia, F. Djeffal, D. Arar, and M. Meguellati, Physica Status Solidi C, vol. 13,
151–155, 2016.
49. S. P. Rout and P. Dutta, Engineering Reports, vol. 1, p. 12086, 2020.
50. M. Singh A. R. Patel and Y. Singh, AEU—International Journal of Electronics and
Communications, vol. 110, p. 152838, 2019.
51. S. Yadav and C. M. S. Negi, Numerical Modeling Electronic Networks, Devices and
Fields, vol. 36, p. 3039, 2023.
52. L. Khiangte and R. S. Dhar, Physica Status Solidi B, vol. 255, p. 1800034, 2018.
53. B. Zerroumda, F. Djeffal, H. Ferhati and S. Benaggoune, Microelectronic Engineering
vol. 277, p. 112011, 2023.
54. X. Zhang, et al., Materials Today Communications, p. 102543, 2021.
55. E. Azrak, et al., Applied Surface Science, vol. 618, p. 156637, 2023.
56. T. Nagai, et al., Journal of Non-Crystalline Solids, vol. 358, pp. 2281–2284, 2012.
57. M. Kurosawa, M. Kato, K. Takahashi, O. Nakatsuka and S. Zaima, Applied Physics
Letters, vol. 111, pp. 0–4, 2017.
58. M. Kurosawa, Y. Inaishi, R. Tange, M. Sakashita, O. Nakatsuka and S. Zaima, Japanese
Journal of Applied Physics, vol. 58, pp. 1–5, 2019.
59. L. Knoll, A. Schafer, S. Trellenkamp, K. K. Bourdelle, Q. T. Zhao and S. Mantl, in
Ultimate Integration on Silicon (ULIS), 2012 13th International Conference on, pp.
45–48.
60. P. Hashemi, L. Gomez, M. Canonico and J. L. Hoyt, 2008 IEEE International Electron
Devices Meeting, San Francisco, CA, USA, 2008, pp. 1–4, 2012.
61. P. Hashemi, L. Gomez, J. L. Hoyt, IEEE Electron Device Letters, vol. 30, pp. 401–403,
2009.
62. W. Lu, et al., Japanese Journal of Applied Physics, vol. 51, p. 11PE08, 2012.
63. A. Cerdeira, M. Estrada, B. Iñíguez, R.D. Trevisoli, R.T. Doria, M. de Souza and M.A.
Pavanello, Solid-State Electronics, vol. 85, pp. 59–63, 2013.
64. E. Aarts and J. K. Lenstra, editors. Local Search in Combinatorial Optimization. John
Wiley & Sons, Inc., 1997.
65. S. Al-Muhaideb and M. El B. Menai, International Journal of Metaheuristics, vol. 3,
pp. 59–80, 2014.
66. E. Alba, Parallel Metaheuristics: A New Class of Algorithms. Wiley-Interscience,
2005.
67. E. Alba and M. Tomassini, IEEE Transactions on Evolutionary Computation, vol. 6,
pp. 443–462, 2002.
68. M. Clerc and J. Kennedy, IEEE Transactions on Evolutionary Computation, vol. 73,
pp. 58–73, 2002.
69. F. Djeffal, N. Lakhdar and A. Yousfi, Physica E: Low-Dimensional Systems and
Nanostructures, vol. 44, pp. 339–344, 2011.
70. F. Djeffal, N. Lakhdar, M. Meguellati and A. Benhaya, Solid-State Electronics, vol. 53,
pp. 988–992, 2009.
71. H. Ferhati and F. Djeffal, Physica E: Low-Dimensional Systems and Nanostructures,
vol. 109, pp. 52–58, 2019.
72. A El-Bakkali, et al., Physica E: Low-Dimensional Systems and Nanostructures, vol.
133, pp. 114791, 2021.
73. F. Djeffal and H. Ferhati, Superlattices and Microstructures, vol. 132, p. 106166, 2019.
74. L.B. Drissi, et al., Journal of Physics: Condensed Matter, vol. 32, p. 025701, 2020.
75. Atlas User’s Manual, SILVACO TCAD, 2012.
22 Survey of Machine
Learning-Augmented
TCAD Algorithms for
Modeling GaN HEMTs
Niketa Sharma, Yogendra Gupta, Vinay Kanungo,
Amit Kumar Sharma, and Ashish Sharma
22.1 INTRODUCTION
In recent years, the semiconductor industry has witnessed a remarkable paradigm
shift, driven by the increasing demand for high-performance electronic devices.
Among these, HEMTs have emerged as promising candidates for power amplifiers,
microwave applications, and high-frequency devices [1–5]. However, the complex
physics governing the behavior of these devices poses significant challenges in their
accurate modeling and simulation [6].
Traditionally, TCAD tools have been employed to simulate the performance of
semiconductor devices by solving intricate mathematical models describing their
physical processes. Despite the advancements in TCAD methodologies, accurately
capturing the behavior of GaN HEMTs remains a formidable task due to the inherent
complexities, non-linearities, and material-related challenges associated with these
devices.
To overcome these hurdles, a growing trend in the semiconductor community
involves the integration of ML techniques with TCAD algorithms [7]. This syn-
ergy aims to leverage the predictive power of ML models to enhance the accuracy
and efficiency of device simulations. The incorporation of TCAD and ML not only
addresses the limitations of traditional modeling approaches but also opens new
avenues for optimizing device performance and design.
This chapter presents a comprehensive survey of the state-of-the-art in machine
learning-augmented TCAD algorithms for the modeling of GaN HEMTs. Through
an exhaustive exploration of recent research endeavors, we delve into the diverse
methodologies, applications, and outcomes of integrating ML techniques into TCAD
workflows. By critically evaluating the strengths and limitations of these hybrid
approaches, we aim to provide researchers, practitioners, and industry professionals
with valuable insights into the evolving landscape of semiconductor device model-
ing. In the subsequent sections, we will discuss key ML concepts applied to TCAD,
highlight notable advancements in GaN HEMT modeling, and analyze the impact of
these innovations on the semiconductor industry. As we navigate through the intri-
cacies of this interdisciplinary field, our goal is to illuminate the current trends,
challenges, and future prospects that lie at the intersection of machine learning and
TCAD for AlGaN/GaN HEMTs. Finally in the last section, we will give a brief sum-
mary, and show some prospects in this field.
22.2 BACKGROUND
As technology continues to advance, the abundance of data has become a signifi-
cant resource, and effective data analysis techniques play a crucial role in shaping
emerging technologies. One prominent technique for data analysis and modeling is
machine learning, which Murphy [8] defines as a set of methods capable of automati-
cally detecting patterns in data and using these patterns to predict future data. In the
realm of semiconductor device modeling, Silvaco-ATLAS is employed to simulate
device characteristics [9].
The modeling of semiconductor devices requires a mapping algorithm, facilitat-
ing the estimation of device current based on node voltages and device parameters.
Traditional semiconductor device modeling approaches aim to comprehend the
physical phenomena of the device, typically covering one region of device operation
[10–11]. However, this creates challenges in modeling transitions between regions. In
contrast, black-box modeling techniques provide models for all components, often
expressed as mathematical equations linking terminal voltages and node current [12].
These models capture the electro-physical behavior of the device in relation to char-
acteristics like geometry, biasing, temperature variations, and process variations [13].
Semiconductor device models serve as a crucial link between designers and
manufacturing foundries, allowing simulation of device circuits before fabrication.
Schneider and Galup-Montoro emphasize the importance of an accurate device
model for computer-aided circuit simulation, enabling parametric design space
exploration and analysis prior to fabrication. The accuracy of the device model
enhances productivity and time-to-market.
Figure 22.1 illustrates the classification of semiconductor device models into two
types: those for device design and analysis, and those for circuit simulations (com-
pact models).
TCAD models, specifically designed for device design and analysis, offer high
accuracy but are hindered by large memory usage and long computation times.
For circuit design and functional verification, faster compact models are required.
Guidelines by Tsividis and Andrews [14] highlight the attributes of good compact
models, emphasizing continuity, physical accuracy, and adherence to semiconductor
device characteristics. To be concise, the following outlines key attributes:
22.3 CHALLENGES
Explaining the common challenges encountered in semiconductor device modeling,
this discussion utilizes HEMT technology as a representative example. GaN semi-
conductor devices exhibit significant properties in comparison to their silicon (Si)
and silicon carbide (SiC) counterparts, offering the potential to replace widely used
Si power devices. Sensors based on wide-bandgap semiconductors possess several
advantages, including operation at high temperatures, high chemical stability, and
resilience to ionizing radiation. Despite these advantages, technical barriers hinder
their widespread adoption by the biosensor community, thus limiting the commer-
cialization of GaN-based biosensors [4, 15].
The initial step in understanding the biosensing capabilities of various GaN
devices, which differ in structures, designs, and packaging, is crucial. However, the
conventional semiconductor device physics methodology poses practical challenges
for the biosensor community due to the intricate nature of novel GaN device model-
ing. Existing models, owing to their complexity and the time-intensive analytical
procedures involved, are inadequate for validating all applications and cannot serve
as a universal model for all GaN biosensing devices currently in existence [16–19].
356 Circuit Design for Modern Applications
Prior to delving into the diverse applications of machine learning within the field of
semiconductor devices, it is essential to provide a summary of the various descrip-
tors, algorithms, and databases employed in materials informatics.
22.4.1 Databases
Semiconductor device’s application of machine learning primarily revolves around
supervised learning. The effectiveness of these approaches is predominantly contin-
gent on the quantity and calibers of accessible data, posing a significant hurdle in
material informatics. A notable issue arises with target features requiring expensive
experimental determination, such as breakdown voltage, threshold voltage, C–V, and
I–V characteristics.
For databases and materials informatics to flourish, it is imperative to adopt a
FAIR approach to data [21]. This involves adhering to the four principles: findabil-
ity, accessibility, interoperability, and repurposability [22]. Essentially, individuals
across diverse disciplines should be capable of locating and retrieving data, along
with its associated metadata, in a universally accepted format. This ensures the ver-
satility of the data for various applications.
22.4.2 Features
A crucial element within a machine learning algorithm lies in how the data is effec-
tively represented. In the realm of material science, features must possess the capa-
bility to encompass all pertinent information, enabling the differentiation between
various atomic or crystal environments [23]. The process itself, referred to as feature
extraction or engineering, may range from simple tasks like determining atomic
numbers to more intricate transformations such as expanding radial distribution
functions (RDFs) on a specific basis. Alternatively, it might involve aggregations
based on statistics, such as averaging over features or calculating their maximum
values. The extent of processing required is heavily contingent on the algorithm
employed. In the case of methods like deep learning, feature extraction can be con-
sidered an integral part of the model [24]. The optimal choice for representation
hinges on the target quantity and the diversity of the occurrence space. It is essential
to note that the costs associated with feature extraction and evaluating the target
quantity should never be comparable for completeness.
22.4.3 Data Preparation
Machine learning fundamentally involves statistical learning, typically rooted in sta-
tistical learning theory. As the name suggests, statistical learning acquires statistical
insights from extensive data sets. Specifically, it operates on the assumption that
an underlying, unidentified statistical distribution exists for all data, with each data
point independently generated from this distribution. This assumption is commonly
referred to as independent identically distributed (IID). Given this premise, the pri-
mary objective of ML is to uncover the concealed statistical distribution, aiming to
358 Circuit Design for Modern Applications
identify a distribution that closely approximates the hidden one. The resulting ML
model serves as a representation of the discovered statistical distribution.
Given these principles, the significance of data preparation cannot be overstated.
The data must adhere to three crucial conditions: (1) suitability for training the target
model, necessitating transformations if the raw data is unsuitable; (2) adequacy for
revealing the underlying rules and facilitating effective model training; and (3) a
faithful representation of the hidden statistical distribution.
In the realm of material science, several high-quality databases, including
Material Project [25], C2DB [26], AFlow [27–28], GDB-13 [29], QM9 [30], etc.,
have already been utilized in ML studies. Simultaneously, researchers are actively
involved in constructing additional databases through high-throughput computation
and ML [31–34] methodologies. An intriguing approach involves extracting data
from numerous online papers using text mining and natural language processing
technologies [35]. In cases where no suitable ML-ready database exists for material
science, researchers must undertake the task of generating their own data.
TCAD data generated for various parameters is employed in the application of
machine learning algorithms for prediction and modeling purposes. The process
flow and implementation strategy are illustrated in Figure 22.3. Data preprocessing,
as outlined in [36], involves importing necessary libraries and cleaning the data. The
data is then standardized using the z-score [37], ensuring a mean value of 0 and a
standard deviation of 1, as depicted in Equation (22.1).
z
x µ (22.1)
Following the definition of input features and output labels, the Scikit-learn library
[38] is utilized to implement machine learning algorithms. Subsequently, the panda’s
data frame [39] is employed to split the dataset into training and test sets. Eighty
percent of the data is allocated for training and model building, while the remaining
20% is used for evaluating the test set. The models are then assessed based on the
coefficient of determination.
FIGURE 22.7 Flow of modeling of electrical performance using machine learning (ML)
through an artificial neural network (ANN) based approach.
TABLE 22.1
The Basic Material Properties of GaN
Property GaN
Bandage (eV) 3.4
Critical electric field (MV/cm) 3.3
Thermal conductivity (W/(cm·K)) 1.3
Electron carrier mobility (cm2/(V·s)) 2000
Electron saturation velocity (107 cm/s) 2.5
FIGURE 22.8 Off-state curve of GaN HEMT based on single-stage method [47].
Survey of Machine Learning-Augmented TCAD Algorithms 363
FIGURE 22.9 A method combining physical-model and ANN-based modeling flow to cre-
ate electrical performance curves using ML.
FIGURE 22.10 On-state curve of (a) GaN HEMT and (b) IGBT based on two-stage meth-
ods [48].
364 Circuit Design for Modern Applications
Our methodology involves the creation of an ANN model that specifically cap-
tures the characteristics of a current source while mitigating the influence of low
noise. Parasitic elements are identified from the S-parameters at various bias points
utilizing small-signal equivalent circuits. To minimize noise effects, these para-
sitic elements are then represented by nonlinear functions. Additionally, we derive
resistances and inductances from the discrete data points located near the load line,
thereby augmenting the precision of our model.
The artificial neural network model effectively analyzes the I–V characteristics
influenced by current collapse. It also considers short-channel effects. Additionally,
it examines the S-parameters within the millimeter-wave frequency range. The
depicted large-signal model for millimeter-wave GaN HEMTs can be observed in
Figure 22.11 [49]. Capacitances (Cgs, Cgd, Cds) were represented using nonlinear func-
tions, while only the current sources at the core were simulated using an ANN.
These models necessitate the computation of built-in potentials Vgsi, Vgdi, and Vdsi.
Figure 22.12 depicts the I–V waveforms obtained from measurements and simu-
lations conducted using both artificial neural networks and conventional compact
models.
The ANN model accurately captures the measured Ids waveforms, showcasing its
robust modeling capabilities. In contrast, the conventional compact model exhibits
FIGURE 22.12 I–V characteristics of (a) ANN model with (b) Compact model [49].
Survey of Machine Learning-Augmented TCAD Algorithms 365
significant discrepancies from the measured data. These findings underscore the
efficacy of ANN in modeling complex systems. The artificial neural network has
effectively replicated I-V waveforms with remarkable precision, surpassing the capa-
bilities of traditional compact models.
The schematic flow shown in Figure 22.14 illustrates the processing splits
employed as input features in the machine learning model to correlate with the out-
put feature, VTH. However, traditional linear regression-based ML techniques present
difficulties in assessing the individual impacts of input parameters due to potential
overfitting. In contrast, Tikhonov regularization (TR) methodology provides advan-
tages by integrating a penalty term (λω2) in the cost function (yi–yi,prediction) [51, 52] to
regulate insignificant input features. In this research, the TR approach was executed
using the Scikit-learn library [53].
The modeling outcomes of ML-based TR and ANN approaches are compared
in (Figure 22.15). The ML-based TR method demonstrates comparable results to
ANN, with ML-based TR offering the advantage of deriving analytical equations to
understand process impacts, which is not achievable with ANN.
FIGURE 22.15 Comparison of results from testing data using (a) and (c) TR approach and
(b) and (d) ANN, where the ANN utilized three hidden layers (32, 16, and 8 neurons, respec-
tively) with implementation through TensorFlow and Keras packages [50].
Survey of Machine Learning-Augmented TCAD Algorithms 367
REFERENCES
1. J. Osvald, “Polarization effects and energy band diagram in AlGaN/GaN heterostruc-
ture,” Appl. Phys. A, Solids Surf., vol. 87, no. 4, pp. 679–682 (2007).
2. O. Ambacher et al., “Two-dimensional electron gases induced by spontaneous and
piezoelectric polarization in undoped and doped AlGaN/GaN heterostructures,” J.
Appl. Phys., vol. 87, no. 1, pp. 334–344, (2000).
3. N. Sharma, D. Joshi, and N. Chaturvedi, “An impact of bias and structure dependent
LSD variation on the performance of GaN HEMTs based biosensor,” J. Comput.
Electron., vol. 13, no. 2, pp. 503–508 (2014).
4. J. Osvald, “Polarization effects and energy band diagram in AlGaN/GaN heterostruc-
ture,” Appl. Phys. A, Solids Surf., vol. 87, no. 4, pp. 679–682 (2007).
5. M. Farahmand et al., “Monte Carlo simulation of electron transport in the III-nitride
wurtzite phase materials system: Binaries and ternaries,” IEEE Trans. Electron
Devices, vol. 48, no. 3, pp. 535–542 (2001).
6. N. Sharma, C. Periasamy, and N. Chaturvedi, “Investigation of high temperature
effects on the performance of AlGaN/GaN High electron mobility transistors,” J.
Nanoelectron. Optoelectron., vol. 11, no. 6, pp. 694–701 (2016).
7. Y. Gupta, N. Sharma, A. Sharma, and H. Sharma. “Machine Learning Algorithms
for Semiconductor Device Modeling.” In VLSI and Hardware Implementations using
Modern Machine Learning Methods, pp. 163-179. CRC Press (2021).
8. K. Murphy. Machine learning: A probabilistic perspective. Cambridge, Massachusetts,
United States: MIT Press, (2012).
9. Silvaco International, ATLAS User’s Manual (Silvaco International, 2000).
10. Q. Wu et al, “Performance comparison of GaN HEMTs on diamond and SiC sub-
strates based on surface potential model”, ECS J. Solid State Sci. Techn., vol. 6, no.12,
Q171-Q178 (2017).
11. S. J. Pearton, J. C. Zolper, R. J. Shul, and F. Ren, “GaN: Processing, defects, and
devices,” J. Appl. Phys., vol. 86, no. 1, pp. 1–78 (1999).
12. R. Guidotti, A. Monreale, S. Ruggieri, F. Turini, F. Giannotti, and D. Pedreschi, “A
survey of methods for explaining black box models.” ACM computing surveys (CSUR)
51, no. 5, 1-42 (2018).
13. L. Popp. ”Surrogate models for black-box optimization problems” University of
Stuttgart. BS thesis. 2023.
14. Tsividis, Yannis, and McAndrew, Colin. Operation and modeling of the MOS transis-
tor. Oxford, England: Oxford University Press (2011).
15. F. Ren, and S. J. Pearton, S. J. Semiconductor device-based sensors for gas, chemical,
and biomedical applications. Boca Raton, FL, USA: CRC Press (2011).
16. N. Sharma, S. Mishra, S., Singh, K., Chaturvedi, N., Chauhan, A., Periasamy, C.,
Kharbanda, D. K., Prajapat, P., Khanna, P. K., and Chaturvedi, N. High resolution
AlGaN/GaN HEMT based electrochemical sensor for biomedical applications. IEEE
Transactions on Electron Devices 66, 1–7 (2019).
17. T. Lalinský. et al. AlGaN/GaN based SAW-HEMT structures for chemical gas sensors.
Procedia Engineering 5, 152–155 (2010).
18. N. Sharma, S. K. Dhakad, C. Periasamy, and N. Chaturvedi, “Refined isolation
techniques for GaN-based high electron mobility transistors”, Materials Science in
Semiconductor Processing 87, 195–201 (2018).
19. S. K. Dhakad, N. Sharma, C. Periasamy, and N. Chaturvedi, “Optimization of
ohmic contacts on thick and thin AlGaN/GaN HEMTs structures”, Superlattices
Microstructures 111, 922–926 (2017).
Survey of Machine Learning-Augmented TCAD Algorithms 369
20. E. Alpaydin. Introduction to Machine Learning (The MIT Press, Cambridge, MA,
(2014).
21. M. D. Wilkinson, et al. “The FAIR guiding principles for scientific data management
and stewardship”, Sci. Data 3, 160018 (2016).
22. C. Draxl, and M. Scheffler, “NOMAD: the FAIR concept for big data-driven materials
science”, MRS Bull. 43, 676–682 (2018).
23. L. M. Ghiringhelli, J. Vybiral, S. V. Levchenko, C. Draxl, and M. Scheffler, “Big data
of materials science: critical role of the descriptor”, Phys. Rev. Lett. 114, 105503 (2015).
24. Y. Bengio, A. Courville, and P. Vincent, “Representation learning: a review and new
Perspectives”, IEEE Trans. Pattern Anal. Mach. Intell. 35, 1798–1828 (2013).
25. A. Jain et al. “Commentary: the materials project: a materials genome approach to
accelerating materials innovation”, APL Mater. 1, 011002 (2013).
26. S. Haastrup. et al., “The computational 2D materials database: high-throughput model-
ing and discovery of atomically thin crystals”, 2D Mater. 5, 042002 (2018).
27. S. Curtarolo, et al./ “AFLOW: an automatic framework for high-throughput materials
discovery”, Comput. Mater. Sci. 58, 218–226 (2012).
28. S. Curtarolo, et al. “AFLOWLIB.ORG: a distributed materials properties repository
from high-throughput ab initio calculations”, Comput. Mater. Sci. 58, 227–235 (2012).
29. L. C. Blum, and J. L. Reymond, “970 million druglike small molecules for virtual
screening in the chemical universe database GDB-13. J. Am. Chem. Soc. 131, 8732–
8733 (2009).
30. R. Ramakrishnan, P. O. Dral, M. Rupp, M. & von Lilienfeld, O. A. Quantum chemistry
structures and properties of 134 kilo molecules. Sci. Data. 1, 140022 (2014).
31. Y. Gan, G. J. Wang, J. Zhou, and Z. M Sun, “Prediction of thermoelectric performance
for layered IV–V–VI semiconductors by high-throughput ab initio calculations and
machine learning. NPJ Comput. Mater. 7, 176 (2021).
32. K.-R. Hao, et al., “Ferroelectric and room-temperature ferromagnetic semiconductors
in the 2D M I M II Ge 2 X 6 family: first-principles and machine learning investiga-
tions. J. Phys. Chem. Lett. 12, 10040–10051 (2021).
33. S. H. Lu, et al., “Coupling a crystal graph multilayer descriptor to active learning for
rapid discovery of 2D ferromagnetic semiconductors/half-metals/metals”, Adv. Mater.
32, 2002658 (2020).
34. S. Atahan-Evrenk, and F. B. Atalay, “Prediction of intramolecular reorganization
energy using machine learning”, J. Phys. Chem. A. 123, 7855–7863 (2019).
35. Q. Y. Dong, and J. M. Cole, “Auto-generated database of semiconductor band gaps
using Chem Data Extractor”, Sci. Data 9, 193 (2022).
36. L. Zheng, W. Hu, and Y. Min, “Raw wind data preprocessing: A datamining approach,”
IEEE Transactions on Sustainable Energy, vol. 6, no. 1, pp. 11–19, (2014).
37. A. E. Curtis, T. A. Smith, B. A. Ziganshin, and J. A. Elefteriades, “The mystery of the
z-score,” Aorta, vol. 4, no. 04, pp. 124–130 (2016).
38. P. Gijsbers, E. LeDell, J. Thomas, S. Poirier, B. Bischl, and J. Vanschoren, “An open
source automl benchmark,” arXiv preprint arXiv:1907.00909, (2019).
39. W. McKinney et al., “Pandas: a foundational python library for data analysis and sta-
tistics,” Python for high performance and scientific computing, vol. 14, no. 9, pp. 1–9,
(2011).
40. P. V. Raja, J.C. Nallatamby, N. DasGupta, A. DasGupta, “Trapping Effects on AlGaN/
GaN HEMT Characteristics”, Solid-State Electron., 176, 107929 (2021).
41. A. Soni, S. Shikha, M. Shrivastava, “On the Role of Interface States in AlGaN/GaN
Schottky Recessed Diodes: Physical Insights, Performance Tradeoff, and Engineering
Guidelines”, IEEE Trans. Electron Devices 66, 2569–2576 (2019).
370 Circuit Design for Modern Applications
42. Q. Yang, G. Qi, W. Gan, Z. Wu, H. Yin, T. Chen, G. Hu, J. Wan, S. Yu, Y. Lu, “Transistor
Compact Model Based on Multigradient Neural Network and Its Application in SPICE
Circuit Simulations for Gate-All-Around Si Cold Source FETs”, IEEE Trans. Electron
Devices, 68, 4181–4188 (2021).
43. H. Dhillon, K. Mehta, M. Xiao, B. Wang, Y. Zhang, H. Y. Wong, “TCAD-Augmented
Machine Learning with and without Domain Expertise”, IEEE Trans. Electron Devices,
68, 5498–5503 (2021).
44. K. Mehta, H.-Y. Wong, “Prediction of FinFET Current-Voltage and Capacitance-
Voltage Curves Using Machine Learning with Autoencoder”, IEEE Electron Device
Lett., 42, 136–139 (2021).
45. J. Chen, M. B. Alawieh, Y. Lin, M. Zhang, J. Zhang, Y. Guo, D. Z. Pan, “Powernet:
SOI Lateral Power Device Breakdown Prediction with Deep Neural Networks”, IEEE
Access, 8, 25372–25382 (2020).
46. N. Sharma, Y. Gupta, A. Sharma, H. Sharma, “Thermal Modeling of the GaN HEMT
Device Using Decision Tree Machine Learning Technique”, In: Sharma, H., Gupta,
M.K., Tomar, G.S., Lipo, W. (eds) Communication and Intelligent Systems. Lecture
Notes in Networks and Systems, vol 204, (2021).
47. J. Chen, Y. Guo, J. Zhang, J. Liu, Q. Yao, J. Yao, M. Zhang, M. Li, “Off-State
Performance Characterization of an AlGaN/GaN Device via Artificial Neural
Networks. Micromachines”, 13(5), 737 (2022).
48. Y. Guo et al., “Application of Machine Learning Method in the Modeling and Designing
of Semiconductor Power Devices,” 2022 IEEE 16th International Conference on Solid-
State & Integrated Circuit Technology (ICSICT), Nangjing, China, 2022, pp. 1-4
(2022).
49. K. Nishiguchi, T. Kawasaki, and M. Tanomura, “Deep Learning based Model for
Millimeter Wave GaN HEMTs” No. 96, pp. 66-69 (2023).
50. T. -L. Wu and S. B. Kutub, “Machine Learning-Based Statistical Approach to Analyze
Process Dependencies on Threshold Voltage in Recessed Gate AlGaN/GaN MIS-
HEMTs,” in IEEE Transactions on Electron Devices, vol. 67, no. 12, pp. 5448-5453
(2020).
51. A. Tikhonov, A. Goncharsky, V. Stepanov, and A. Yagola, “Numerical Methods for the
Solution of Ill-Posed Problems. Dordrecht”, The Netherlands: Springer, (1995).
52. M. Hanke and P. C. Hansen, “Regularization methods for large-scale problems,” Surv.
Math. Indust., vol. 3, no. 4, pp. 253–315 (1993).
53. F. Pedregosa et al., “Scikit-learn: Machine learning in Python,” J. Mach. Learn. Res.,
vol. 12, pp. 2825–2830 (2011).
23 Implementation of
Modified Dual-Coupled
Linear Congruential
Generator with
Parallel Prefix Adder
Hima Bindu Katikala and Gajula Ramana Murthy
23.1 INTRODUCTION
In a time when digital communication is widely used, data transmission security has
become crucial. Data secrecy and integrity are frequently dependent on how reliable
pseudo-random bit-generating techniques are. These pieces serve as the foundation
for secure communication protocols, encryption techniques, and other applications
where randomization is necessary for security.
A crucial factor among the several approaches currently in use for producing
pseudo-random bits is the effectiveness of the underlying arithmetic operations. In
particular, the silicon area, power consumption, and performance of these generators
are influenced by the design and optimization of the adders [16]. The need for more
compact, dependable, and effective pseudo-random bit generators is only going to
increase as technology develops.
In this chapter, a Parallel Prefix Adder and a modified dual-coupled linear con-
gruential generator are implemented. The goal is to improve pseudo-random bit-
generating efficiency by taking into account important factors like area, delay, and
power consumption. The goal of the suggested model is to maximize memory use
while preserving or enhancing the functionality of current topologies. Different
methods of VLSI architecture design and performance improvements have been
studied in the literature [18–20].
The proposed adder’s performance is thoroughly analyzed in this chapter through
extensive parametric simulations performed using the Xilinx tool. The outcomes
show notable gains, presenting a strong argument for the use of this novel strategy in
a variety of applications requiring the creation of pseudo-random bits. In addition to
making a significant contribution to the field of secure data transmission, this work
creates new opportunities for study and advancement in the areas of digital circuit
design and optimization.
Security of data in many applications has become increasingly complicated,
and the protection of personal information on the internet is becoming increasingly
important. Huge volumes of data being sent across the internet to millions of com-
ponents could cause privacy concerns. Figure 23.1 illustrates the basic architecture
Internet-of-Things (IoT) model comprising a device/sensor, cloud server and end-
user [1–2]. The user may collect data in real time at numerous collection frequencies
thanks to the device layer. It is made up of a collection of wireless sensor devices
connected to the internet, data-collecting circuits, and communication protocols to
send data to nearby or remote storage locations for additional processing. The data
gathered from the sensors is stored in the cloud layer where it is processed further,
removing noise, features extracted, and massaged data. There are several ways to
represent the end-user layer, which is made up of the recipient user. Security and
privacy issues are present in smart gadgets, which is cause for concern. Added sub-
layers or subsystems inside the confines of these three layers to guarantee the deci-
sion-supporting system’s resilience
The IoT has enormous promise across a range of industries, but its whole com-
munication infrastructure has security flaws and puts end users at risk of losing their
privacy. The technologies employed in the IoT for information relay from one appli-
cation to another include security flaws that are the primary cause of certain secu-
rity problems impacting the entire evolving IoT system. The critical component for
security in IoT systems is the pseudo-random bit generator (PRBG). The major goal
of this research is to improve PRBG’s performance using the dual-coupled LCG
method and to make the PRBG more efficient. The constituent of IoT-based devices
that manage user personal information is the pseudo-random bit generator. So the
internet is secure for apps using it and data protection for IoT-based devices has
become more complex these days. Due to the initial delay of the clock, occupied
area, randomness, performance, and other constraints, the largest bit size of a PRBG
VLSI model is difficult. It is challenging to achieve a high bit-rate, cryptographi-
cally safe, significant key size PRBG because of hardware constraints, which need
an effective VLSI design in regards to area, delay, and power. “PRBG is random if
it passes 15 census test cases of the National Institute of Standards and Technology
(NIST)” [3]. Pseudo-random bits can be produced using various techniques. linear
Implementation of Modified Dual-Coupled Linear Congruential Generator 373
feedback shift register, coupled linear congruential generator (CLCG), and dual-cou-
pled LCG, Blum–Blum–Shub (BBS) generator, linear congruential generator (LCG)
are various linear congruential generators [4].
The most straightforward method is the linear feedback shift register (LFSR). It
occupies less space and is less complicated in terms of hardware. It does not pass
randomization tests because of its linear structure. The pseudo-random bits are gen-
erated using LFSRs, which are N-bit counters. In an N-bit LFSR has an output of
(2n–1) sequences [7].
One of the proven encrypted pseudo-random generators is the BBS generator,
which is based on the quadratic exponent and was introduced by Lenore Blum,
Manuel Blum, and Michael Shub. The enormous unique primes P and Q, which
determine both the maximum duration period and the linear difficulty of generating
patterns, are crucial to the security of the BBS generator. With π (= 2pq) denoting
the maximum duration period, the linear complexity of the BBS generator is ≥ π/2.
This indicates that the prediction’s difficulty is linearly related to the longest pos-
sible sequence length. A modulo n (= pq) and a squaring operator must be carried
out for each bit to be generated, which complicates the physical design of the BBS
generator. “A specific prime number is measured by the hardware implementation
and performs modulo of the largest prime numbers” [8–11].
For generating pseudo-random bit sequences an algorithm is proposed by Blum
and Shub. Let us consider the bit sequence (b1, b2, … , bl ) with a length of l:
1. Consider the two primes P and Q that contain two random bits, equivalent
to three modulo 4
2. P 2 p1 1 4 p2 3
A well-liked and often employed technique for generating random numbers is the
linear congruential generator.
X n 1 aX n c mod m
yi 1 a 2* yi b2mod 2n
pi 1 a3* pi b3mod 2n
xi 1 a1* xi 1 mod 2n 2r1 1 xi 1 mod 2n
2r1* xi xi b1 mod 2n
(23.2)
The XOR gate is employed to ensure that the outcome of the pseudo-random bit
generator is as efficient as possible. The XOR gate’s output is as follows in Equation
23.3:
Bi 1, if xi 1 yi 1
= 0, else
and Ci 1, if pi 1 qi 1
=0 (23.3)
Comparison of two LCG outputs is done using magnitude comparators. The block
diagram consists of a magnitude comparator constructed employing logic gates. The
magnitude comparator executes two operations on two inputs: less than or equal to
and greater than. The construction of a two-input gate-level comparator is illustrated
in Figure 23.7.
• • Pre-computation
• • Prefix stage
• • Final computation
23.3.1 Pre-Computation
Equations 23.5 and 23.6 are used to calculate, propagate and generate the supplied
inputs during the pre-computation stage.
Pi Ai Bi (23.5)
Gi = Ai.Bi (23.6)
23.3.2 Prefix Stage
The group generates/propagates signals that are calculated for each bit in the prefix
stage using the provided formulas. Equation 23.7 ordered pair is produced by the
black cell (BC), whereas the left signal is produced by the gray cell (GC) [12].
Equations 23.8 and 23.9 [13] can be written more practically utilizing the Brent and
Kung-denoted by symbol “o”. It serves exactly the similar purpose as a black cell.
Gi : k : Pi : k Gi : j : Pi : j o G j 1:k : Pj 1:k (23.20)
The rules for creating prefix structures will be developed with the aid of the “o”
operation as in Equation 23.10.
23.3.3 Final Computation
The result of the final calculation is the sum and carry-out as in Equations 23.11 and
23.12
Si Pi . Gi 1:1 (23.11)
Brent Kung Adder and the Kogge Stone Adder. Carry-merge operations are only
applicable on even bits in this adder. The prefix tree is used to transmit the P and G
signals for odd bits, which are then merged once more with the signals for even bits
at the very end to provide precise carry bits. By not adding extra steps to its carry-
merge path, the complexity and overall expense of designing an HCA are decreased.
23.5 SIMULATION RESULTS
Figure 23.11 displays the simulation outcomes of a modified dual-coupled LCG used
as a PRBG of 8 bits.
TABLE 23.1
Comparıson Table Usıng Modıfıed Dual-CLCG for Dıfferent Types of Adders
in PRBG
Total
Area Memory
(No of Usage
Sno Adder Type Delay (ns) Frequency (MHz) LUTs) (kilobytes)
1 Ripple carry 3.822 261.643 99 601,672
adder
2 Carry-save 3.427 330.036 89 601,360
adder
3 Han Carlson Adder 3.090 286.532 69 601,424
The comparative analysis of different adder types [15] in terms of delay, frequency,
area, and total memory are discussed below. The overall design output values are
given. The design’s area, memory, and frequency are calculated by synthesizing the
code. Table 23.1 lists the practical results of the number of LUTs, memory, and delay.
Han Carlson adder in a dual-coupled generator occupies minimum area (LUTs) and
delay rather than the three-operand modulo ripple carry-adder as shown in Figure
23.12. Apart from this, the proposed adder can be used to improve the performance
of the memory units [17]. As discussed in the literature [21, 22], advanced transistors
[23, 24] will further improve the performance of the adder.
Both static and dynamic power are calculated by using the Vivado tool. The
amount of power used when a device or circuit is not in use is calculated as static
power. The consumption of power by a device that has inputs that are active is
referred to as dynamic power. Table 23.2 lists the practical outcomes of power.
TABLE 23.2
Power Analysis
Dynamic power Static power Total power
S. No. Adder type (µW) (µW) (µW)
1 Ripple carry adder 3.151 0.200 3.351
2 Carry-skip adder 2.941 0.190 3.131
3 Han Carlson 2.596 0.176 2.772
23.6 CONCLUSION
Significant advantages have been demonstrated in the generation of pseudo-random
bits with reduced power consumption and decreased area occupancy through the
implementation of the Han Carlson adder in place of conventional adders, including
the dual-CLGA, carry-save adder, and ripple carry-adder. The design has demon-
strated enhancements in power efficiency, memory, area, and speed by employing
the Xilinx ISE 14.7 utility for parameter calculation. These benefits are further vali-
dated through comparative simulations with Verilog-HDL prototypes and Artix-7
simulators. The Han Carlson adder is a promising candidate for cryptographic
applications that require secure data processing with minimal resource utilization
due to its superior performance in minimizing power and area. This novel method
improves the efficacy and effectiveness of cryptographic systems, thereby facilitat-
ing the development of more sophisticated and secure data-handling technologies.
REFERENCES
1. L. Tawalbeh, F. Muheidat, M. Tawalbeh, and M. Quwaider. “IoT Privacy and security:
Challenges and solutions.” Applied Sciences, vol. 10, no. 12, pp. 4102, 2020.
2. C.-W. Tsai, C.-F. Lai, M.-C. Chiang, and L. T. Yang. “Data mining for internet of
things: A survey.” IEEE Communications Surveys & Tutorials, vol.16, no. 1, pp. 77–97,
2014.
3. J. Zhou, Z. Cao, X. Dong, and A. V. Vasilakos, “Security and privacy for cloud-based
IoT: challenges.” IEEE Communications Magazine, vol. 55, no. 1, pp. 26–33, 2017.
4. Q. Zhang, L. T. Yang, and Z. Chen, “Privacy preserving deep computation model on
cloud for big data feature learning.” IEEE Transactions on Computers, vol. 65, no. 5,
pp. 1351–1362, 2016.
5. A. K. Panda and K. C. Ray, “Modified dual-CLCG method and its VLSI architec-
ture for pseudorandom bit generation.” IEEE Transactions on Circuits and Systems I:
Regular Papers, vol. 66, no. 3, pp. 989–1002, 2019.
6. R. Adusumilli and K. Vinod Kumar, “Design and Implementation of a high speed 64
bit Kogge-Stone adder using Verilog HDL.” Int. Journal of Electrical and Electronic
Engineering & Telecommunications, vol.3, no.1, pp 13–18, 2014.
7. D. Xiang, M. Chen, and H. Fujiwara, “Using weighted scan enable signals to ımprove
test effectiveness of scan-based BIST.” IEEE Transactions on Computers, vol. 56, no.
12, pp. 1619–1628, 2007.
382 Circuit Design for Modern Applications
383
384 Index
On-chip oscillator, 142, 143, 147 Precharge functionality, 222, 223, 224
ON-Resistance (Ron), (322) Pre-computation, 93, 94
ON-state resistance, 282, 290, 291 Prefix-computation, 93, 94
Operating frequency, 29 Propagation delay, 1, 9–10, 12, 15–18, 150, 164
Operating points, 40, 41, 46, 47 Pseudo-random bit generator, 371, 372, 376
Optimization, 336, 345, 347, 348, 350 Pseudo-random bits, 371, 372, 373, 374, 375,
Oscillation frequency, 26, 27, 30, 258 378, 381
Output matching network, 188, 197 Pseudomorphic HEMTs, 304
Output return loss, 189 PSO, 336, 345, 346, 347, 348, 350
Output voltage, 349 Pulse-width modulation, (322)
Overshoot, 276, 277
Quad logic (QL), 4
p-doped gate, 290 Quad transistor (QT), 5, 13, 15
p-GaN, 287, 288, 289, 294 Quad transistor (QT) logic, 5
Parallel Prefix Adder Structure, 377 Quantum-dot cellular automata, 61
Parallel Prefix Adders, 92, 93, 98 Quantum Effects, 169, 171, 172
Parallel RC network, (331) Quantum theory, 207
Parasitic capacitance, 289, 291, 306, 308 Quaternary logic, 152
Pass transistor logic, 92, 97, 98, 101, 265, Quaternary multiplier, 155, 156, 157, 158, 159,
267, 275 160, 162
Passive components, 257, 258
PCB, 48 Radio frequency, 186
PD_SCK (Programmer Data Synchronous Rajasekhar Nagulapalli, 37
Clock), 143, 144 Random bit generation, 381, 382
Penetration depth, 292, 294, 296, 297 Read operation, 218, 223, 224
Performance of different stage oscillators, 33 Real time, 140, 145
Peripheral devices, 222, 223, 224 Recessed gate, 13, 15, 18
Phase margin (PM), 44, 267, 277 Reduced output charge (QOSS), (324)
Phase noise, 27 Reduced thermal resistance, (330)
Phase noise and figure of merit (FoM), 33 Reduce power dissipation, (320)
Photonics, 58, 67, 68, 69 Reliability, 122, 132
Physical Unclonable Functions (PUFs), 29 Reliable, 137
Piezoelectric, 283, 286 Response time, 265, 266, 276, 278
Piezoelectric polarization, 305, 310 RF Applications, 186, 187, 192, 195
Pi-shaped gate, 316 RF microwave integrated circuits, (321)
PMOS, 97 Ring oscillator, 29
PMOS transistor, 3–7, 13 Roll-off in threshold voltage, 202
Polarization, 283, 286, 291, 295 Routh-Hurwitz criterion, 267, 269, 273
Polarization impedance, 259 Row decoder, 222
Positive feedback, 29, 30 RTL schematic view, 95, 96, 99, 100
Post-computation, 93, 94
Power, 92, 93, 96, 97, 98, 99, 100, 101 Sapphire, 307, (323) S-parameters, (329)
Power-added efficiency (PAE), (325) Saturation regime, 246, 247
Power amplifiers, (322) Scattering, 231, 234, 235
Power consumption, 29, 122, 123, 125, 126, 127, SCE, 241
129, 132, 217, 218, 219, 221, 222, 225, Schottky HEMT, 287
228, 229, 230, 233, 236, 237 Self-bias, 42, 43
Power-delay product (PDP), 150, 164 Self-resonant frequency, (326), (331)
Power delay product (PDP), 221, 222 Semiconductor device model, 1, 2, 3, 15, 16
Power dissipation, 150, 164 Semiconductor technology, (320)
Power-down control, 143, 144 Sense amplifier, 217, 218, 222, 223, 224, 226
Power efficiency, (322) Sense amplifier operation, 217, 218, 222, 223,
Power spectral density (PSD), 45, 46 224, 226
Power supply rejection ratio (PSRR), 44, 45, 46 Sensor, 122, 124, 129, 130, 131
Power transfer efficiency, (331) Sensors, 141, 147, 148
Power wall, 77 Serial clock, 143, 144
Precharge circuit, 222, 223, 224 Seven-stage single-ended oscillator, 31
Index 387
Short channel effect, 191, 202, 241, 301, 304, TFETs (tunnel field-effect transistors), 177,
310, 334 179, 180
SiC substrate, 307 T-gate, 316, 319
Si substrate, 291, 307 Thermal conductivity, (323)
Si3N4, 294, 295, 296, 297 Si3N4 passivation Thermal management strategies, (321)
layer, 306 Thermal Modeling, 10, 18
Silicon carbide (SiC), (323) Thermal vias, (324)
Silicon-germanium 236 Third order input intercept point, 189
Silicon Germanium, 334, 335, 336, 337, 338, 339, Three-stage single-ended oscillator, 25, 26, 27
340, 341, 342, 343, 344, 345, 346, 347, Threshold voltage, 5, 13, 18, 249, 287, 291, 292,
348, 349, 350 293, 298
Silicon tin, 334, 335, 336, 337, 338, 339, 340, THz, 186
341, 342, 343, 344, 345, 346, 347, 348, Tikhonov regularization, 13, 14
349, 350 Timing diagram, 220, 221, 223, 224
Silvaco-ATLAS, 2 TiO2, 197
SILVACO-TCAD, 242, 253, 262 Titanium dioxide, 192
Simulated result, 95, 96, 99, 189, 220 Torque, 147
Single bitline, 219, 220, 221, 222, 226 Total gate width (TGW), (325)
Single event upset (SEU), 2 Transconductance, 3, 8, 9, 195, 304, 306, 308,
Single-stage method, 9, 10 310, 312, 313, 317
Slewing, 265, 267, 268, 273, 277 Transfer characteristics, 210
Small-signal gain, (324) Transfer function, 258
SMASH circuit simulator, 242, 255, 256, 262 Transistor count, 217, 221
SMD (surface mount device), 144 Transistor scaling, 216
SoC, 265, 278 Transversal field mobility, 250, 255
SOI, 53, 54, 55, 56, 57, 58, 70 Triple Modular Redundancy (TMR), 4
Solid-state device technology, (320) Tunnel FET, 202, 203
Solid-state power amplifiers, (320) Two-dimensional electron gas, 302, 313, 315, 321
Source follower, 267, 268, 269, 270, 271, 272, Two-stage method, 10, 11
273, 275, 276
Spartan 3E FPGA, 97, 98, 100 Unilateral two-port network, (327)
Spontaneous polarization, 305
SRAM, 75 VAVDD (Variable Analog Supply Voltage Drain
SRAM architecture, 222 Drain), 142
SRAM chip area, 217, 220, 221 Velocity saturation, 234, 235
Stability, 273, 274, 275, 277 Verilog-AMS, 242, 255, 256, 258, 261, 262
Stacked nanosheet FET, 178 VLSI, 371, 372, 374, 381, 382
Stagger tuning, 188, Voltage combiner, 268, 270, 272
Static power, 97, 100 Voltage control, 30, 31
Storage node (Q), 217, 218, 219, 220, 224
Strain gauge, 141, 147 Wide bandgap, (323)
STT-MRAM, 74, 75, 80 Wi-Fi (Wireless Fidelity), 137, 141, 145, 148
Subthreshold swing, 169, 172, 173, 174, 175, 179, Wordline Driver, 217, 222, 223, 224
180, 204, 209, 228, 229, 230, 231, 236 Work function, 209
Summary report, 96, 97, 100 Write Driver (WD) circuit, 219
Superior thermal stability, (323) Write enable (WE), 218
Superjunction MOSFET, (324) Write operation, 217, 218, 219, 221, 223, 224
Supply voltage impact, 32
Xilinx, 96, 98, 100
Tasch model, 235 XOR gate, 375, 376
TCAD , 192, 348
TCAD algorithms, 1, 15 Yield rate, 122
TCAD simulation, 4, 9, 15
Technology schematic view, 96, 99, 100 Zero offset, 146
Temperature, 124, 126, 130, 132 Zero Voltage Switching (ZVS), (324)
TFET, 187, 191, 192, 194, 195, 196, 197, 198, 343 Δ-shaped gate, 294, 316, 319
Taylor & Francis
(9
a1 Talor & Francis Group
http://taylorandfrancis.com