0% found this document useful (0 votes)
641 views4 pages

Implementation of Prbs Generator

The document describes the implementation of a pseudo-random binary sequence (PRBS) generator using Verilog HDL. It provides theory on PRBS generators and their use in applications such as telecommunications. It then shows a 4-bit PRBS generator module and testbench code, as well as presenting the RTL schematic and waveform of the implemented PRBS generator. The implementation of the PRBS generator using Verilog HDL is confirmed.
Copyright
© Attribution Non-Commercial (BY-NC)
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as DOCX, PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
641 views4 pages

Implementation of Prbs Generator

The document describes the implementation of a pseudo-random binary sequence (PRBS) generator using Verilog HDL. It provides theory on PRBS generators and their use in applications such as telecommunications. It then shows a 4-bit PRBS generator module and testbench code, as well as presenting the RTL schematic and waveform of the implemented PRBS generator. The implementation of the PRBS generator using Verilog HDL is confirmed.
Copyright
© Attribution Non-Commercial (BY-NC)
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as DOCX, PDF, TXT or read online on Scribd
You are on page 1/ 4

IMPLEMENTATION OF PRBS GENERATOR AIM: To implement a PRBS generator SOFTWARE REQUIRED: Xilinx 9.

2i THEORY:
PRBS GENERATOR

A binary sequence (BS) is a sequence of N bits, aj for j = 0,1,...,N 1, i.e. m ones and N m zeros. A BS is pseudo-random (PRBS) if its autocorrelation function:

has only two values:

where

is called the duty cycle of the PRBS. A PRBS is random in a sense that the value of an aj element is independent of the values of any of the other elements, similar to real random sequences.It is 'pseudo' because it is deterministic and after N elements it starts to repeat itself, unlike real random sequences, such as sequences generated by radioactive decay or by white noise. The PRBS is more general than the nsequence, which is a special pseudo-random binary sequence of n bits generated as the output of a linear shift register. An n-sequence always has a 1/2 duty cycle and its number of elements N = 2k 1. PRBS's are used in telecommunication, encryption, simulation, correlation technique and time-of-flight spectroscopy

PRIYA

VLSILAB

IMPLEMENTATION OF PRBS GENERATOR VERILOG PRGRAM 1)PRBS GENERATOR module prbs(clk,re,z); input clk,re; output z; // wire z; reg [3:0]tmp; always @(posedge clk) begin if(re==1) tmp=4'b1111; else tmp[3:0]={tmp[0]^tmp[1],tmp[3],tmp[2],tmp[1]}; end assign z=tmp[0]; endmodule module testbench(); reg clk,re; wire z; prbs gg(clk,re,z); initial begin clk=1; forever #5 clk=~clk; end initial begin re=1; #10 re=0; #100 $finish; end endmodule

PRIYA

VLSILAB

IMPLEMENTATION OF PRBS GENERATOR RTL SCHEMATICS

WAVE FORM

RESULT: Thus the implementation of PRBS generator is done using verilogHDL

PRIYA

VLSILAB

IMPLEMENTATION OF PRBS GENERATOR

PRIYA

VLSILAB

You might also like