0% found this document useful (0 votes)
12 views13 pages

Javed Sethi2020 - Review of Network On Chip Routing Algorithms

The document reviews various routing algorithms used in Network on Chip (NoC) architectures, highlighting their impact on performance metrics such as latency, throughput, and power consumption. It categorizes routing algorithms based on characteristics like routing decision, path definition, and path length, and discusses the importance of topology in NoC design. The paper aims to assist researchers in addressing routing challenges in NoC systems by providing a comparative analysis of existing algorithms.

Uploaded by

binnyj
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
12 views13 pages

Javed Sethi2020 - Review of Network On Chip Routing Algorithms

The document reviews various routing algorithms used in Network on Chip (NoC) architectures, highlighting their impact on performance metrics such as latency, throughput, and power consumption. It categorizes routing algorithms based on characteristics like routing decision, path definition, and path length, and discusses the importance of topology in NoC design. The paper aims to assist researchers in addressing routing challenges in NoC systems by providing a comparative analysis of existing algorithms.

Uploaded by

binnyj
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 13

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/347922345

Review of Network on Chip Routing Algorithms

Article in EAI Endorsed Transactions on Context-aware Systems and Applications · December 2020
DOI: 10.4108/eai.23-12-2020.167793

CITATION READS

1 86

2 authors, including:

Muhammad Athar Javed Sethi


University of Engineering and Technology, Peshawar
26 PUBLICATIONS 49 CITATIONS

SEE PROFILE

All content following this page was uploaded by Muhammad Athar Javed Sethi on 25 February 2021.

The user has requested enhancement of the downloaded file.


EAI Endorsed Transactions
on Context-aware Systems and Applications Research Article

Review of Network on Chip Routing Algorithms


Khurshid Ahmad*, Muhammad Athar Javed Sethi

Department of Computer Systems Engineering, University of Engineering and Technology, Peshawar, Pakistan
[email protected], [email protected]

Abstract

System on chip (SoC) is an integrated circuit in which components are communicating through the bus interconnection
system. Network on chip (NoC) is a communication network for a multiprocessor system on chip (MPSoC). In NoC
architecture node/ component of MPSOC are communicating through a network. The performance of NoC architecture
depends on topology, routing algorithm and switching technique. In this paper, different NoC routing algorithms are
review using basic parameters of NoC architecture and also provide some information about these parameters. It is
concluded that most of the researchers are interested in design of the NoC routing algorithm, which efficiently transmits
data from source to destination. When the routing algorithm is congestion aware, fault-tolerant, deadlock-free and live-
lock free, then the latency of algorithm decreases and throughput increases.

Keywords: System on Chip, Network on Chip, Routing Algorithm.

Received on 07 November 2020, accepted on 11 December 2020, published on 23 December 2020

Copyright © 2020 Khurshid Ahmad et al., licensed to EAI. This is an open access article distributed under the terms of the
Creative Commons Attribution license, which permits unlimited use, distribution and reproduction in any medium so long as the
original work is properly cited.

doi: 10.4108/eai.23-12-2020.167793

1. Introduction
The System on Chip (SoC) has a prominent role in the
computing world. It is used in mobile computing, embedded
system and now also implied to a personal computer such as
laptop and tablet PC etc. SoC is a design methodology used
by very-large-scale integration (VLSI) designers. The Fig (1). Interconnection System of SoC
interconnection system of SoC based on share bus or
dedicated bus. The basic problem in the bus system as that it NoC is a communication network between multiple
allows one communication at the time [1]. Advancement in devices. Devices are connected in regular or irregular
technology, SoC in an embedded system is increasingly topology [7-9]. The devices are processor, memory, DSP
large and complex [2]. Fig (1) shows the interconnection core etc are called processing element (PE). The PE has two
system of SoC in which different devices are connected types, homogeneous and heterogeneous. Fig (2) shows 4*4
through a shared bus system. The problem of mesh topology of NoC. In which PEs are connected to local
communication arises because bus architecture cannot meet routers through a network interface (NI) and routers are
the requirement like area utilisation, single clock connected to each other with a point to point connection.
synchronisation, propagation delay, latency, throughput and The NI transforms the message to packet and packet
power consumption [3]. Network on chip (NoC) appear as a received by router send it to neighbour router. The packet
better solution for the implementation of SoC [1]. NoC can move to the destination is travelling through routers [10,
be defined as “A communication network targeted for on- 11].
chip communication”. NoC is efficient for on-chip
communication for SoC [4-6].

EAI Endorsed Transactions on


1 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Khurshid Ahmad, Muhammad Athar Javed Sethi

simulator on which the review routing algorithms are


implemented.

2. Contemplation on the routing algorithm


Every routing algorithm has a different impact on different
NoC architecture. It affects different properties of NoC
architecture such as latency, throughput and power
consumption.
The routing algorithm is similar to routing in any
network. Routing algorithm decides path followed by a
packet in communication from source to destination [1, 12,
13]. They prevent deadlock, live-lock and starvation
situation. Deadlock is cyclic dependency among node
making access to resources where no progress can be made.
Live-lock as refers to a situation where packet circulates in
the network but not reaching to the destination. In starvation
Fig (2). 4*4 NoC packet in buffer request for output channel but output
channel also allocated to another packet [1].
The overall performance of NoC depends on topology,
routing algorithm, flow control and switching technique [4]. 3. Routing type
Topology means how nodes are connected in a network.
There are different types of topology such as mesh, torus, Different types of routing algorithms are developed for the
tree, ring, star, spidergon and also some irregular topology designing of NOC. Routing algorithms are classified on
[10]. Routing algorithm defines the path taken by a packet three key characteristics. Which are routing decision,
from source to destination. XY, IX/Y, XYX etc. are some defining path and path length [1, 12]. On the base of the
example of routing algorithm [4]. There are two major types routing decision, there are two types of source and
of switching, circuit switching and packet switching. In distributed routing. In source, routing path defines by source
circuit switching a physical or virtual link are establishing router and in distributed routing, each router decides the
between source and destination. In packet switching next direction for packet [1]. According to path defining or
message is divide into packets at source and routed to the adaptively, the routing algorithm has two type deterministic
destination. The routing algorithm determines a route for and adaptive algorithms. The path is completely determined
packet [3]. The understanding of routing algorithm is very from source to destination in advance in the deterministic
critical in designing of NoC architecture. algorithm. There also as another type partially adaptive
Routing algorithms is a key factor affecting NoC network which restrict some direction [13]. On the base of path
communication. In this review, we compare different length, there are two types minimal and non-minimal.
routing algorithm on the bases of a basic parameter of NoC Shortest path selection algorithm is minimal and the longest
architecture. The parameter for the comparison of routing path selection algorithm is non-minimal [1, 13].
algorithm are topology, routing type, switching technique, The routing algorithms GOAL, GAL, DyXY, BARP,
packet and flit size, power dissipation, latency, throughput ADBR, MaS, Fault-tolerant, FAFT, FT-DyXY, Free-rider,
and simulator on which routing algorithm are implemented. Novel Adaptive, Traffic allocator, MCAR, Efficient
The comparison of routing algorithms is shown in Table 1. deadlock-free, ESPDA and Adaptive multipath are adaptive
We believe that this review paper is helpful for the routing algorithm [9, 14-28]. OE and 3DEP are partial
researcher community to resolve routing issue of NoC adaptive routing algorithm [29, 30]. DyAD and FA-DyAD
architecture in future. are adaptive and deterministic [31, 32]. The deterministic
The remainder of the paper is organised in such a way routing algorithms are FTXY and ZigZig [33, 34]. Some
that in section 2, we define deadlock, live-lock and more classification is possible like congestion aware
starvation situation of routing algorithms. In section 3, algorithm, and Fault-Tolerance-routing algorithm will
different types of routing algorithm are discus. In section 4, discuss in section 6 and 7.
we discussed topology and its type. In section 5, we
discussed switching technique and also which switching
technique is used in the implementation of which routing 4. Topology
algorithm. In section 6 and 7, we discussed which one
algorithm is congestion aware and which one is fault- Topology in NoC is an organisation of router and channel.
tolerant. In section 8, the power dissipation of review Topology is the roadmap for communication of PE in NoC
routing algorithms is the discus. In section 9, latency and [35]. It’s divide into two types [10]. In regular topology,
through are discus. In section 10, we discussed different nodes are connected in a specific pattern. Mesh, torus, star,

EAI Endorsed Transactions on


2 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Review of Network on Chip Routing Algorithms

ring and tree are popular regular topology [10, 35-38]. The
mash topology has M rows and N columns. The intersection
of row and column consists of routers and the router are
connected to its neighbours. Tours topology are similar to
mesh but end routers are connected together with the same
row and column routers. In star topology, all routers are
connected to a central router. The router is connected to its
two neighbours circle shape are ring topology. In tree
topology, a child router is connected to its parent router. Fig
(3-6) shows the structure of torus, star, ring and tree
topology. In irregular, topology nodes are not connected in
fix pattern. Fig (7) shows irregular topology.

Fig (6). Tree topology

Fig (3). Torus topology

Fig (7). irregular topology

To design the routing algorithm for the network, the


choosing of topology is the principle step. The performance
of the routing algorithm depends on the topology on which
it’s implemented and also on the number of routers in the
topology. When the same algorithm is implemented on mesh
4×4, and mesh 8×8 have different performance [28].
O1TURN [39], DyXY [16], BARP [18] and FT-XY [33] are
implemented on mesh topology having a different number
Fig (4). Star topology of the router. In this review, Table 1 shows that most of the
routing algorithm is implemented on a mesh topology.

Fig (5). Ring topology

EAI Endorsed Transactions on


3 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Khurshid Ahmad, Muhammad Athar Javed Sethi

Table 1. NoC Routing algorithm

Compression Parameters
Year Routing Routing Switching Packet Power Latency Throug Simulato
Algorithm

Topology

Type characteristic technique and flit dissipation hput r


S.NO

Size

1 OE 2000 15×1 Partial Deadlock Event-


[29] 5 2D adaptive free - - - - - driven
mesh simulator
2 GOAL 2003 Torus Adaptive Cycle
[14] - - - - - - accurate
network
simulator
3 GAL 2004 8×8 Adaptive Congestion Wormhole Cycle
[15] Torus aware - - - - accurate
network
simulator
4 DyAD 2004 6×6 Deterministi Congestion Wormhole At Worm_si
[31] 2D c and aware and injecti m
mesh Adaptive Deadlock on rate simulator
free - - - 0.0167
are
0.027
packet/
cycle
5 O1TU 2005 4×4, Deadlock 5 Flit PoPnet
RN 8×8 - free - - - - simulator
[39] 2D
mesh
6 DyXY 2006 3×3, Adaptive Congestion At Event-
[16] 9×9 aware, Average driven
2D deadlock- injection simulator
mesh free and live- rate of using
lock free - - - 0.3 are - C++
14
Approx.

7 Fault 2008 4×4 Fault-tolerant Cut 4 flit When 2 MATLA


aware 2D through fault, B
dynam mesh injection Simulink
ic - - rate 20 -
routing flit/node
[40] /cycle
then 78
cycle
8 BARP 2008 8×8, Adaptive Deadlock Wormhole Flit is Injectio Develope
[18] 14×1 and live-lock 16 bit n rate d on flit
4 2D free .25 level
mesh - message - NoC
/cycle simulator
then 400 using
cycle C++
9 IX/Y 2008 2D Adaptive Deadlock - - - At - Noxim
[41] mesh free injection

EAI Endorsed Transactions on


4 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Review of Network on Chip Routing Algorithms

rate .05
then
below
30cycle
10 ADBR 2008 8×8 Fully Deadlock 0.00035 J at 0.175 Noxim
[17] 2D adaptive free and flow injection flit/cyc
mesh control - - rate 0.03 le
are 250
cycle
11 XYX 2009 2D Deterministi Fault tolerant 32 flit VHDL
[42] c - - - - based
NoC
simulator
12 FT-XY 2009 5×5, Deterministi Deadlock For 6×6 For the Noxim
[33] 6×6 c free Injection 6×6
2D rate 0.005- Injectio
mesh - - 0.009 n rate, -
packet/cycl 0.03 are
e/node are 85 cycle
0.02-0.09 J Approx.
13 DBFA 2009 4×4× Live-lock OPNET
LCI 4 3D - free, - - - - -
[43] Torus Asynchronou
s.
14 EDXY 2010 7×7, Congestion For 15×15 For 7×7 VHDL
[44] 15×1 aware injection In
5 2D rate 9% uniform
mesh then traffic
- - - 26.1197 injection -
rate
28%
then 100
cycle
15 FA- 2010 6×6 Deterministi Fault and Fault gpNocsi
DyAD 2D c and congestion 10%, m
[32] mesh adaptive aware injection
- - - rate .08 -
packet/c
ycle
then 630
approx.
16 Low 2011 3D Xilinx
latency consi 10.1
routing st of version
algorit 4×4 - - - - - - - is used
hm 2D for
[45] mesh simulatio
layer n of
results
17 MaS 2012 10×1 Adaptive, Live-lock Wormhole 8 flit At Average On-chip
routing 0 buffer less free and 128 injection latency network
[19] mesh bit rate of 0.21 of 53 simulator
Flit/cycle/n cycle
ode are
6.5w
18 Fault- 2012 8×8 Adaptive 16 flit XMulato
toleran 2D - - and 128 - - - r
t mesh bit

EAI Endorsed Transactions on


5 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Khurshid Ahmad, Muhammad Athar Javed Sethi

routing
[9]
19 CATR 2012 8×8, Congestion 1-5 flit 2.51w At Impleme
A [46] 14×1 aware distribut injection nted
4 2D - - ed rate 0.35 - through
mesh uniform f/n/c are VHDL
ly 200
cycle
20 Precon 2012 Based on the wormhole NIRGA
certed virtual circuit M
wormh - - - - - -
ole
routing
[47]
21 FAFT 2013 4×4× Fully Fault-tolerant Noxim
routing 3 3D adoptive - - - - -
[20] mesh
22 FT- 2014 3D Adaptive Fault-tolerant 5 flit Booksim
DyXY mesh - - - -
Z [21]
23 Free- 2014 16×1 Adaptive Congestion 5 flit Booksim
Rider 6 2D aware and 128
[22] mesh bit
24 Novel 2015 8×8× Adaptive Congestion At At At Noxim
adaptiv 4, aware injection injection injecti
e 16×1 - - rate 0.5 are rate 0.5 on rate
routing 6×1 1 are 1 0.5 are
[23] 3D 1
mesh
25 Distan 2015 2D 2-10 flit System C
ce mesh platform
predict - - - - - -
ion
XY
[48]
26 DRTL 2016 4×4× Deadlock Wormhole 5 flit Alpha
[49] 4 3D free and 64 EV6 core
mesh bit model is
- - - - used
result
simulatio
n
27 Traffic 2016 6×6 Adaptive A When load When NIRGA
allocati 2D packet 50% then load M
on mesh is 20 61.973 mw 50%
routing - - bytes then -
[24] 45.9084
cycle/fli
t
28 MCAR 2017 8×8, Adaptive Congestion 7.85% on 5.84% Booksim
[27] 16×1 aware - - average - on 2.0
6 2D averag
mesh e
29 Efficie 2017 4×4× Adaptive Dead lock- A Noxim
nt 4, free packet
deadlo 8×8× - is 8 bit - - -
ck-free 4 3D
adaptiv mesh

EAI Endorsed Transactions on


6 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Review of Network on Chip Routing Algorithms

e [26]
30 ESPA 2017 8×8 Fully Dead lock- 5 flit GPU
DA 2D adaptive free and 64 based on
[25] mesh based on - bit - - - cyclic
virtual accurate
channel NoC
31 ZigZig 2017 2D deterministi Packet The load is Average NIRGA
[34] mesh c is 50% then latency M
- - 20bytes 62.698 mw is -
46.2517
cycle/fli
t
32 Adapti 2018 4×4, Adaptive Congestion 8 flit For 4×4 HNOC
ve 8×8 aware and 32 Load based on
multip 2D - bit - 0/9 - OMNET
ath mesh GB/sec ++
[28] 330 Ns
33 Link- 2018 8×8 Congestion Wormhole Flit is 2.86 mw RTL
Sharin 2D - aware 32 bit - - level by
g [50] mesh using
VHDL
34 UMF 2019 Mesh - Dead lock - 5 flit - - - PopNet
[51] free
35 TBTF 2019 8×8 Congestion Offer OPNET
TA 2D aware, load
[52] mesh - thermal-fault - - - - increas
tolerant es high
throug
hput
36 3DEP 2019 3D Partially Dead lock - - - - - Noxim
[30] mesh adaptive free
37 CFPA 2019 Mesh Congestion HNOC
[53] and aware, fault- for
torus tolerant algorithm
- - - - - - and MC
used for
circuit-
level
delay

5. Switching Technique
Switching technique refers to the flow control mechanism of the packet is forwarded to the next router if it ensures that
messages between routers. The basic switching techniques the whole packet is store in it [10, 54].
that are used in NoC is circuit switching and packet Due to pipeline nature and low latency wormhole
switching. Packet switching is further divided into three switching technique are preferring in designing of routing
broad categories wormhole, store and forward and virtual algorithm. The GAL, DyAD, BARP, MaS, Preconcerted
cut through [10, 54]. In the wormhole, the packet is divided wormhole routing, DTRL and Link sharing are implemented
into flit (head flit, body flit and tail flit). Head flit contains using wormhole switching technique [15, 18, 19, 31, 47, 49,
source and destination information, body flit contains data 50].
which is transmitted to destination and tail flit contain
ending information of flit. Due to the pipelined nature of
wormhole, it redacts message latency [31, 54, 55]. In store 6. Congestion Awareness
and forward technique, the whole packet is store in the
router then routed to the next router. In virtual cut through, It’s characteristic of routing algorithm which improves the
performance of NoC by distributing the load over network

EAI Endorsed Transactions on


7 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Khurshid Ahmad, Muhammad Athar Javed Sethi

and send the traffic over the less congested area. On 9. Latency and Throughput
congestion awareness basis routing algorithm are divided
into two types. Congestion oblivious algorithm and The performance of the routing algorithm depends on
congestion aware algorithm [46, 56]. Congestion oblivious latency and throughput. The performance of routing is batter
algorithm is unable to balance the load over the network and when its latency is low, and throughput is high. Most of the
can’t consider the congestion status of the network. Without researchers compare their own proposed routing algorithm
congestion awareness interconnection system of the network latency and throughput with other routing algorithms for
is unbalanced and burst. On the other hand, the congestion performance evaluation. Throughput of CFPA is compared
aware algorithm routes the traffic through the largest with XY and DyAD and shown that its throughput is better
available virtual channel. than XY and DyAD [53].
Table 1 show that GAL, DyAD, DyXY, BARP, EDXY, The latency and throughput of routing algorithm depend
FA-DyAD, CATRA, Free-Rider, Novel adaptive routing, on the number of tiles in NoC, e.g. for same algorithm
DTRL, MCAR, Adaptive multipath, Link sharing and CFPA latency and throughput for 4×4 and 8×8 are different. When
are congestion aware algorithms [15, 16, 20, 22, 27, 28, 31, the number of tiles in NoC increases the latency of routing
32, 44, 46, 50, 52, 53]. Congestion awareness decrees algorithm increase and throughput is decrees [23, 28, 34,
latency and increases throughput. Congestion aware 44]. Latency and throughput of routing algorithm also
algorithms are further divided on the base of sharing of depend on the injection rate [16, 18, 32, 40]. Latency and
congestion information are local and non-local [22]. throughput of the review routing algorithms are shown in
Table 1.

7. Fault tolerance
10. Simulator
Fault tolerance is network property to examine the
functioning of the network component and remains its There are three approaches for the to evaluate the
functioning when some components are inactive/faulty. performance of the system
Fault can occur as a result of a defect in the system, • Actual system monitoring
improper environment and improper design of a system. • Mathematical modelling
Fault in NoC is categories in two groups on the base of time • Simulation modelling
and occurrence. Hardware fault occurs due to hardware fault The simulation environment provides an extensible
of the system and for its removing extra hardware or framework for NoC evaluation and provides more feature,
resources are required [57-59]. The soft fault is denoted by a different topology, swathing technique, routing algorithm,
bug in the software and it can be addressed by routing flow control polices and high accuracy [67-69].
algorithm. In this review, Table 1 shows most of the routing
Scientists and researchers propose different fault-tolerant algorithm performance is evaluated on Noxim, Booksim and
routing to overcome fault in NoC [10, 60]. A routing NIGRAM simulator. Noxim simulator is used for the
algorithm for fault tolerance is Fault aware dynamic routing, performance evaluation of ADBR, FT-XY, FAFT routing
FA-DyAD, FAFT routing, FT-DyXY, TBTFTA and CFPA algorithm, Novel adaptive routing, Efficient deadlock-free
[20, 21, 32, 40, 52, 53]. The compression of these adaptive routing and 3DEP. Booksim simulator is used for
algorithms is shown in table 1. FT-DyXY, free-rider and MCAR. Precocerted wormhole
routing, traffic allocation routing and ZigZig routing
algorithm performance are evaluated through NIGRAM
8. Power Dissipation simulator. Some other simulator Event-driven, XMultar,
PopNET, gpNoCsim, OpNET, Matlab simulator and event-
In the designing of the routing algorithm, the power
driven are used for performance evaluation of routing
dissipation is under consideration of scientists and
algorithms.
researchers. Power dissipation is divide into two parts; static
and dynamic. Static power relates to manufacturing
technology and dynamic power depends on the router 11. Conclusion
activity [61]. In [62-66] are the technique are proposed to
reduce power consumption. This paper review different routing algorithm of NoC on the
The power consumption of FT-XY under uniform traffic base of different parameter. In our view, it’s helpful for the
of 4×4 NoC is .01 to .09 J and for 6×6 NoC its .02 to .09 J researcher community to find and resolve the unanswered
for injection rate of .005 to .019 packet/cycle/node [33]. The issue of this area. Based on Table 1, it is concluded that
power consumption for ADBR is .00035J, for MaS most of the researchers are interested in to design the
algorithm it’s a 6.5w at injection rate of 0.21 routing algorithm, which has low latency, high throughput,
packet/cycle/node, for Traffic allocation routing algorithm low power consumption, congestion aware and fault-
it’s 61.973mw when load is 50%, for ZigZig algorithm it’s tolerant. From this review it is concluded that, in the
62.698mw when load is 50% and for Link sharing algorithm designing of routing algorithm the designers/researchers
it’s 2.86mw [17, 19, 24, 34, 50]. should compromise on some parameters. When the

EAI Endorsed Transactions on


8 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Review of Network on Chip Routing Algorithms

algorithm is congestion aware, fault- tolerant, dead lock free and some other parameter like processing are increase but
and live-lock free than power consumption, area utilisation the latency is decrease and throughput is increase.
chip," Microprocessors and Microsystems,
vol. 36, pp. 531-542, 2012.
References [10] M. Athar Javed Sethi, F. Azmadi Hussin, and
[1] A. V. de Mello, L. C. Ost, F. G. Moraes, and N. Hisham Hamid, "Review of network on
N. L. V. Calazans, "Evaluation of routing chip architectures," Recent Advances in
algorithms on mesh based nocs," PUCRS, Electrical & Electronic Engineering (Formerly
Av. Ipiranga, p. 22, 2004. Recent Patents on Electrical & Electronic
[2] A. Mejia, M. Palesi, J. Flich, S. Kumar, P. Engineering), vol. 10, pp. 4-29, 2017.
López, R. Holsmark, et al., "Region-based [11] C. Wang, W.-H. Hu, S. E. Lee, and N.
routing: a mechanism to support efficient Bagherzadeh, "Area and power-efficient
routing algorithms in NoCs," IEEE innovative congestion-aware Network-on-
Transactions on Very Large Scale Chip architecture," Journal of Systems
Integration (VLSI) Systems, vol. 17, pp. 356- Architecture, vol. 57, pp. 24-38, 2011.
369, 2009. [12] G. Adamu, P. Chejara, and A. B. Garko,
[3] G. Adamu, M. P. Chejara, and A. B. Garko, "Review of Deterministic Routing Algorithm
"Review of Deterministic Routing Algorithm For Network-On-Chip," in 2nd international
For Network-On-Chip," in 2nd international conference on science, technology and
conference on science, technology and management, 2015, pp. 741-745.
management, 2015, pp. 741-745. [13] P. Parandkar, J. Dalal, and S. Katival,
[4] S. D. Chawade, M. A. Gaikwad, and R. M. "Performance Comparison of XY, OE and DY
Patrikar, "Review of XY routing algorithm Ad Routing Algorithm by Load Variation
for network-on-chip architecture," Analysis of 2-Dimensional Mesh Topology
International Journal of Computer Based Network-on-Chip," BIJIT Journal, vol.
Applications, vol. 43, pp. 975-8887, 2012. 4, pp. 391-396, 2012.
[5] P. Guerrier and A. Greiner, "A generic [14] A. Singh, W. J. Dally, A. K. Gupta, and B.
architecture for on-chip packet-switched Towles, "GOAL: a load-balanced adaptive
interconnections," in Proceedings of the routing algorithm for torus networks," in
conference on Design, automation and test 30th Annual International Symposium on
in Europe, 2000, pp. 250-256. Computer Architecture, 2003. Proceedings.,
[6] S. Kumar, A. Jantsch, J.-P. Soininen, M. 2003, pp. 194-205.
Forsell, M. Millberg, J. Oberg, et al., "A [15] A. Singh, W. J. Dally, B. Towles, and A. K.
network on chip architecture and design Gupta, "Globally adaptive load-balanced
methodology," in Proceedings IEEE routing on tori," IEEE computer architecture
Computer Society Annual Symposium on letters, vol. 3, pp. 2-2, 2004.
VLSI. New Paradigms for VLSI Systems [16] M. Li, Q.-A. Zeng, and W.-B. Jone, "DyXY: a
Design. ISVLSI 2002, 2002, pp. 117-124. proximity congestion-aware deadlock-free
[7] D. Atienza, F. Angiolini, S. Murali, A. Pullini, dynamic routing method for network on
L. Benini, and G. De Micheli, "Network-on- chip," in Proceedings of the 43rd annual
chip design and synthesis outlook," Design Automation Conference, 2006, pp.
Integration, vol. 41, pp. 340-359, 2008. 849-852.
[8] P. Liu, B. Xia, C. Xiang, X. Wang, W. Wang, [17] X. Canwen, Z. Minxuan, D. Yong, and Z.
and Q. Yao, "A networks-on-chip Zhitong, "Dimensional bubble flow control
architecture design space exploration–the and fully adaptive routing in the 2-d mesh
LIB," Computers & Electrical Engineering, network on chip," in 2008 IEEE/IFIP
vol. 35, pp. 817-836, 2009. International Conference on Embedded and
[9] F. Safaei and M. ValadBeigi, "An efficient Ubiquitous Computing, 2008, pp. 353-358.
routing methodology to tolerate static and [18] P. Lotfi-Kamran, M. Daneshtalab, C. Lucas,
dynamic faults in 2-D mesh networks-on- and Z. Navabi, "BARP-a dynamic routing
protocol for balanced distribution of traffic

EAI Endorsed Transactions on


9 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Khurshid Ahmad, Muhammad Athar Javed Sethi

in NoCs," in 2008 Design, Automation and and Microsystems, vol. 49, pp. 117-126,
Test in Europe, 2008, pp. 1408-1413. 2017.
[19] J. Lin, X. Lin, and L. Tang, "Making-a-stop: A [28] F. Shafiei and V. Sattari-Naeini,
new bufferless routing algorithm for on- "Development of an Adaptive Multipath
chip network," Journal of Parallel and Routing Algorithm by Examining the
Distributed Computing, vol. 72, pp. 515-524, Congestion and Channel Fault of One-Hop
2012. Nodes in Network-on-Chip," in 2018 8th
[20] X. Jiang and T. Watanabe, "A novel fully International Conference on Computer and
adaptive fault-tolerant routing algorithm for Knowledge Engineering (ICCKE), 2018, pp.
3d network-on-chip," in 2013 IEEE 231-236.
International Conference of IEEE Region 10 [29] G.-M. Chiu, "The odd-even turn model for
(TENCON 2013), 2013, pp. 1-4. adaptive routing," IEEE Transactions on
[21] H. N. Jouybari and K. Mohammadi, "A low parallel and distributed systems, vol. 11, pp.
overhead, fault tolerant and congestion 729-738, 2000.
aware routing algorithm for 3D mesh-based [30] F. Vahdatpanah, M. Elahi, S. Kashi, E. Taheri,
Network-on-Chips," Microprocessors and and A. Patooghy, "3DEP: A Efficient Routing
Microsystems, vol. 38, pp. 991-999, 2014. Algorithm to Evenly Distribute Traffic Over
[22] S. Liu, T. Chen, L. Li, X. Li, M. Zhang, C. 3D Network-on-Chips," in 2019 27th
Wang, et al., "FreeRider: non-local adaptive Euromicro International Conference on
network-on-chip routing with packet- Parallel, Distributed and Network-Based
carried propagation of congestion Processing (PDP), 2019, pp. 237-241.
information," IEEE Transactions on Parallel [31] J. Hu and R. Marculescu, "DyAD: smart
and Distributed Systems, vol. 26, pp. 2272- routing for networks-on-chip," in
2285, 2014. Proceedings of the 41st annual Design
[23] L. Zeng, T. Pan, X. Jiang, and T. Watanabe, Automation Conference, 2004, pp. 260-263.
"A performance enhanced adaptive routing [32] A. Mehranzadeh, A. Khademzadeh, and A.
algorithm for 3D Network-on-Chips," in Mehran, "FADyAD-fault and congestion
TENCON 2015-2015 IEEE Region 10 aware routing algorithm based on DyAD
Conference, 2015, pp. 1-4. algorithm," in 2010 5th International
[24] N. Wang and P. Valencia, "Traffic Allocation: Symposium on Telecommunications, 2010,
An efficient adaptive network-on-chip pp. 274-279.
routing algorithm design," in 2016 2nd IEEE [33] M. Valinataj, S. Mohammadi, S. Safari, and
International Conference on Computer and J. Plosila, "A link failure aware routing
Communications (ICCC), 2016, pp. 2015- algorithm for Networks-on-Chip in nano
2019. technologies," in 2009 9th IEEE Conference
[25] A. Charif, A. Coelho, N.-E. Zergainoh, and M. on Nanotechnology (IEEE-NANO), 2009, pp.
Nicolaidis, "Mini-espada: A low-cost fully 687-690.
adaptive routing mechanism for networks- [34] P. Valencia, E. Muller, and N. Wang, "ZigZag:
on-chips," in 2017 18th IEEE Latin American An efficient deterministic Network-on-chip
Test Symposium (LATS), 2017, pp. 1-4. routing algorithm design," in 2017 8th IEEE
[26] J. Dai, X. Jiang, R. Li, and T. Watanabe, "An Annual Information Technology, Electronics
Efficient Deadlock-Free Adaptive Routing and Mobile Communication Conference
Algorithm for 3D Network-on-Chips," in (IEMCON), 2017, pp. 1-5.
2017 IEEE 11th International Symposium on [35] T. N. K. Reddy, A. K. Swain, J. K. Singh, and
Embedded Multicore/Many-core Systems- K. K. Mahapatra, "Performance assessment
on-Chip (MCSoC), 2017, pp. 29-36. of different Network-on-Chip topologies," in
[27] R. Xie, J. Cai, X. Xin, and B. Yang, "MCAR: 2014 2nd International Conference on
Non-local adaptive Network-on-Chip Devices, Circuits and Systems (ICDCS), 2014,
routing with message propagation of pp. 1-5.
congestion information," Microprocessors

EAI Endorsed Transactions on


10 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Review of Network on Chip Routing Algorithms

[36] J. Chen, C. Li, and P. Gillard, "Network-on- [45] A. V. V. Rose, R. Seshasayanan, and G.
chip (NoC) topologies and performance: a Oviya, "Fpga implementation of low latency
review," in Proceedings of the 2011 routing algorithm for 3d network on chip,"
Newfoundland Electrical and Computer in 2011 International Conference on Recent
Engineering Conference (NECEC), 2011, pp. Trends in Information Technology (ICRTIT),
1-6. 2011, pp. 385-388.
[37] A. Kalita, K. Ray, A. Biswas, and M. A. [46] M. Ebrahimi, M. Daneshtalab, P. Liljeberg, J.
Hussain, "A topology for network-on-chip," Plosila, and H. Tenhunen, "CATRA-
in 2016 International Conference on congestion aware trapezoid-based routing
Information Communication and Embedded algorithm for on-chip networks," in 2012
Systems (ICICES), 2016, pp. 1-7. Design, Automation & Test in Europe
[38] Y. Salah, M. Atri, and R. Tourki, "Design of a Conference & Exhibition (DATE), 2012, pp.
2d mesh-torus router for network on chip," 320-325.
in 2007 IEEE International Symposium on [47] W. Hao and W. Ling, "Preconcerted
Signal Processing and Information wormhole routing algorithm for Mesh
Technology, 2007, pp. 626-631. structure based on the network on chip," in
[39] D. Seo, A. Ali, W.-T. Lim, and N. Rafique, 2012 International Conference on
"Near-optimal worst-case throughput Information Management, Innovation
routing for two-dimensional mesh Management and Industrial Engineering,
networks," in 32nd International 2012, pp. 154-158.
Symposium on Computer Architecture [48] W. Ni and Z. Liu, "A routing algorithm for
(ISCA'05), 2005, pp. 432-443. Network-on-Chip with self-similar traffic," in
[40] A. Hosseini, T. Ragheb, and Y. Massoud, "A 2015 IEEE 11th International Conference on
fault-aware dynamic routing algorithm for ASIC (ASICON), 2015, pp. 1-4.
on-chip networks," in 2008 IEEE [49] J. Wang, H. Gu, K. Wang, Y. Yang, and K.
International Symposium on Circuits and Wang, "DRTL: A heat-balanced deadlock-
Systems, 2008, pp. 2653-2656. free routing algorithm for 3D topology
[41] A. M. Shafiee, M. Montazeri, and M. network-on-chip," Microprocessors and
Nikdast, "An innovational intermittent Microsystems, vol. 45, pp. 95-104, 2016.
algorithm in networks-on-chip (noc)," [50] C. Chen, Q. Li, N. Li, H. Liu, and Y. Dai, "Link-
ICCSE2008, Paris, 2008. Sharing: Regional Congestion Aware
[42] A. Patooghy and S. G. Miremadi, "XYX: A Routing in 2D NoC by Propagating
power & performance efficient fault- Congestion Information on Idle Links," in
tolerant routing algorithm for network on 2018 IEEE 3rd International Conference on
chip," in 2009 17th Euromicro International Integrated Circuits and Microsystems
Conference on Parallel, Distributed and (ICICM), 2018, pp. 291-297.
Network-based Processing, 2009, pp. 245- [51] Y. Song and B. Lin, "Uniform Minimal First:
251. Latency Reduction in Throughput-Optimal
[43] K. Wang, C. Wang, and H. Gu, "Quality of Oblivious Routing for Mesh-Based
service routing algorithm in the torusbased Networks-on-Chip," IEEE Embedded Systems
network on chip," in 2009 IEEE 8th Letters, vol. 11, pp. 81-84, 2019.
International Conference on ASIC, 2009, pp. [52] L. Zhu and H. Gu, "A Traffic-Balanced and
952-954. Thermal-Fault Tolerant Routing Algorithm
[44] P. Lotfi-Kamran, A.-M. Rahmani, M. for Optical Network-on-Chip," in 2019 18th
Daneshtalab, A. Afzali-Kusha, and Z. Navabi, International Conference on Optical
"EDXY–A low cost congestion-aware routing Communications and Networks (ICOCN),
algorithm for network-on-chips," Journal of 2019, pp. 1-3.
Systems Architecture, vol. 56, pp. 256-264, [53] S. T. Muhammad, M. Saad, A. A. El-Moursy,
2010. M. A. El-Moursy, and H. F. Hamed, "CFPA:
Congestion aware, fault tolerant and

EAI Endorsed Transactions on


11 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5
Khurshid Ahmad, Muhammad Athar Javed Sethi

process variation aware adaptive routing fine-grained run-time power gating of on-
algorithm for asynchronous Networks-on- chip routers for CMPs," in 2010 Fourth
Chip," Journal of Parallel and Distributed ACM/IEEE International Symposium on
Computing, vol. 128, pp. 151-166, 2019. Networks-on-Chip, 2010, pp. 61-68.
[54] D. S. D. T. P. S. R. B. Rajesh Singh Thakur, [63] N. Madan, A. Buyuktosunoglu, P. Bose, and
"Network Switching: Traditional Techniques M. Annavaram, "A case for guarded power
and Evolution," International Journal of gating for multi-core processors," in 2011
Engineering and Computer Science, vol. 5, IEEE 17th International Symposium on High
05/02 2016. Performance Computer Architecture, 2011,
[55] P. Guerrier and A. Greiner, "A generic pp. 291-300.
architecture for on-chip packet-switched [64] L. Chen and T. M. Pinkston, "Nord: Node-
interconnections," in Design, Automation, router decoupling for effective power-
and Test in Europe, 2008, pp. 111-123. gating of on-chip routers," in 2012 45th
[56] P. Gratz, B. Grot, and S. W. Keckler, Annual IEEE/ACM International Symposium
"Regional congestion awareness for load on Microarchitecture, 2012, pp. 270-281.
balance in networks-on-chip," in 2008 IEEE [65] R. Das, S. Narayanasamy, S. K. Satpathy, and
14th International Symposium on High R. G. Dreslinski, "Catnap: Energy
Performance Computer Architecture, 2008, proportional multiple network-on-chip,"
pp. 203-214. ACM SIGARCH Computer Architecture News,
[57] M. Ali, M. Welzl, and S. Hessler, "A fault vol. 41, pp. 320-331, 2013.
tolerant mechanism for handling [66] S. Yue, L. Chen, D. Zhu, T. M. Pinkston, and
permanent and transient failures in a M. Pedram, "Smart butterfly: reducing
network on chip," in Fourth International static power dissipation of network-on-chip
Conference on Information Technology with core-state-awareness," in Proceedings
(ITNG'07), 2007, pp. 1027-1032. of the 2014 international symposium on
[58] T. Lehtonen, D. Wolpert, P. Liljeberg, J. Low power electronics and design, 2014, pp.
Plosila, and P. Ampadu, "Self-adaptive 311-314.
system for addressing permanent errors in [67] L. Jain, B. Al-Hashimi, M. Gaur, V. Laxmi, and
on-chip interconnects," IEEE Transactions A. Narayanan, "NIRGAM: a simulator for
on Very Large Scale Integration (VLSI) NoC interconnect routing and application
Systems, vol. 18, pp. 527-540, 2009. modeling," in Design, automation and test
[59] Y.-C. Chang, C.-T. Chiu, S.-Y. Lin, and C.-K. in Europe conference, 2007, pp. 16-20.
Liu, "On the design and analysis of fault [68] A. Nayebi, S. Meraji, A. Shamaei, and H.
tolerant NoC architecture using spare Sarbazi-Azad, "Xmulator: a listener-based
routers," in 16th Asia and South Pacific integrated simulation platform for
Design Automation Conference (ASP-DAC interconnection networks," in First Asia
2011), 2011, pp. 431-436. International Conference on Modelling &
[60] M. A. J. Sethi, F. A. Hussin, and N. H. Hamid, Simulation (AMS'07), 2007, pp. 128-132.
"Implementation of biological sprouting [69] Y. Ben-Itzhak, E. Zahavi, I. Cidon, and A.
algorithm for NoC fault tolerance," in 2013 Kolodny, "HNOCS: modular open-source
IEEE International Conference on Circuits simulator for heterogeneous NoCs," in 2012
and Systems (ICCAS), 2013, pp. 39-44. international conference on embedded
[61] D. Zhu, Y. Li, and L. Chen, "On Trade-off computer systems (SAMOS), 2012, pp. 51-
Between Static and Dynamic Power 57.
Consumption in NoC Power Gating," in 2019
IEEE/ACM International Symposium on Low
Power Electronics and Design (ISLPED),
2019, pp. 1-6.
[62] H. Matsutani, M. Koibuchi, D. Ikebuchi, K.
Usami, H. Nakamura, and H. Amano, "Ultra

EAI Endorsed Transactions on


12 Context-aware Systems and Applications
09 2020 - 12 2020 | Volume 7 | Issue 22 | e5

View publication stats

You might also like