VLSI Master Guide
VLSI Master Guide
FROM BASICS TO
ADVANCED
Prasanthi Chanda
TABLE OF CONTENTS
1. INTRODUCTION TO VLSI
What is VLSI ?
Evolution & History of VLSI
Importance of VLSI in modern
Electronics
Applications of VLSI
APPLICATIONS OF VLSI
With continuous scaling and innovations, VLSI technology is now
exploring sub-5nm fabrication nodes, quantum computing, and
neuromorphic computing for the next generation of processors.
Each gate follows a truth table, which defines its behavior based
on input conditions.
TRUTH TABLE
AND GATE
TRUTH TABLE
OR GATE
TRUTH TABLE
NAND GATE
TRUTH TABLE
XOR GATE
TRUTH TABLE
XNOR GATE
COMBINATIONAL CIRCUITS
Combinational circuits do not store state; their outputs depend
only on the current inputs. Common combinational circuits
include:
Multiplexers (MUX): Select one input from multiple sources
based on a control signal.
Demultiplexers (DEMUX): Routes a single input to one of
several outputs.
Encoders: Convert multiple input lines into a smaller set of
output lines.
Decoders: Convert a small set of input lines into multiple
outputs.
SEQUENTIAL CIRCUITS
Sequential circuits use memory elements (flip-flops) to store
past inputs, making them dependent on both current and
previous inputs.
Flip-Flops (SR, D, JK, T): Store a single bit of data.
Registers: Store multiple bits and are used in
microprocessors.
Counters: Sequential circuits that count pulses in binary
form.
CHAPTER 3
VLSI DESIGN FLOW
VLSI (Very Large Scale Integration) design is a structured and
multi-step process that transforms an idea into a working
integrated circuit (IC). The design flow consists of three main
stages:
1. Front-End Design (RTL Coding, Verification, Synthesis)
2. Back-End Design (Physical Design: Floorplanning, Placement,
Routing, DRC, LVS)
3. Fabrication (CMOS Process)
Each stage involves specific tasks and tools that ensure the final
chip meets the desired specifications in terms of performance,
power, and area. Below is a detailed explanation of each stage.
FUNCTIONAL VERIFICATION
Ensures that the RTL design meets the intended
functionality before synthesis.
Simulation is performed using testbenches written in
SystemVerilog, UVM (Universal Verification Methodology),
or directed test approaches.
Common tools used: Mentor Graphics QuestaSim, Cadence
Xcelium, Synopsys VCS.
Functional coverage and assertions are used to validate
design correctness.
SYNTHESIS
Translates the RTL description into a gate-level netlist using
standard cells available in a technology library.
The synthesis process ensures that the design meets timing,
power, and area constraints.
Tools used: Synopsys Design Compiler, Cadence Genus.
The output of this step is a netlist, which is a list of gates
and their connections.
BACK-END DESIGN
Once the synthesized netlist is generated, the design enters the
back-end phase, which involves converting the logical design
into a physical layout that can be fabricated on silicon.
FLOORPLANNING
Determines the placement of functional blocks in the chip.
Goals: Minimize wire length, optimize power distribution,
and reduce congestion.
Decisions include: Macro placement, power grid design, I/O
pad allocation.
Tools: Cadence Innovus, Synopsys ICC2.
PLACEMENT
Involves placing standard cells and macros at optimal
locations.
Ensures signal integrity and power efficiency.
Clock Tree Synthesis (CTS) is performed to distribute the
clock signal efficiently across the design.
ROUTING
Establishes metal interconnections between placed
components.
Global routing determines the general path, and detailed
routing finalizes the wire layout.
Tools: Cadence Innovus, Synopsys IC Compiler, Mentor
Graphics Olympus-SoC.
DRC & LVS (DESIGN RULE CHECK & LAYOUT VS.
SCHEMATIC CHECK)
Once the layout is finalized, it is sent for fabrication using
CMOS technology. The fabrication process involves several
complex steps:
FABRICATION
Once the layout is finalized, it is sent for fabrication using
CMOS technology. The fabrication process involves several
complex steps:
WAFER FABRICATION
Silicon wafers are prepared as the base material for IC
manufacturing.
Photolithography is used to transfer the chip design onto
the wafer.
CMOS PROCESS
The IC is built layer by layer using oxidation, ion
implantation, deposition, and etching.
Transistors, interconnects, and metal layers are created
using EUV (Extreme Ultraviolet) lithography.
Chemical Mechanical Polishing (CMP) ensures wafer flatness.
VERILOG OVERVIEW
Verilog is a widely used HDL for describing digital circuits. It is
mainly used for:
Register Transfer Level (RTL) design
Gate-level modeling
Simulation and verification of digital circuits
SYSTEMVERILOG OVERVIEW
SystemVerilog is an extension of Verilog with additional
features for design and verification. It includes:
Object-oriented programming features
Improved testbench capabilities
Randomization for verification
Assertions for design checking
module tb;
reg A, B;
wire Y;
and_gate uut (.A(A), .B(B), .Y(Y));
initial begin
A = 0; B = 0; #10;
A = 0; B = 1; #10;
A = 1; B = 0; #10;
A = 1; B = 1; #10;
$finish;
end
endmodule
SYSTEMVERILOG TESTBENCH WITH ASSERTIONS
SystemVerilog testbenches improve verification by adding
assertions.
module tb;
logic A, B, Y;
and_gate uut (.A(A), .B(B), .Y(Y));
initial begin
A = 0; B = 0; #10;
A = 0; B = 1; #10;
A = 1; B = 0; #10;
A = 1; B = 1; #10;
$finish;
end
TYPES OF FSMS
1. Moore FSM: Output depends only on the current state.
2. Mealy FSM: Output depends on both current state and
inputs.
2. RTL DESIGN
Develop Verilog or SystemVerilog code to
describe the hardware behavior.
Implement combinational and sequential
logic.
3. FUNCTIONAL VERIFICATION
Develop Verilog or SystemVerilog code to
describe the hardware behavior.
Implement combinational and sequential
logic.
4. SYNTHESIS
Develop Verilog or SystemVerilog code to
describe the hardware behavior.
Implement combinational and sequential
logic.
5. DESIGN FOR TESTABILITY (DFT)
Insert scan chains for easier manufacturing
testing.
Implement Built-In Self-Test (BIST) for on-
chip testing.
6. PHYSICAL DESIGN
Floorplanning: Place major functional blocks.
Placement & Routing: Determine optimal
locations and interconnections.
Clock Tree Synthesis (CTS): Ensure clock
distribution is balanced.
Timing Closure: Use Static Timing Analysis
(STA) to meet performance targets.
1. SPECIFICATION
Define the system functionality.
Choose an FPGA device (e.g., Xilinx, Intel, Lattice).
2. RTL DESIGN
Write Verilog or SystemVerilog code for hardware
description.
Implement combinational and sequential logic.
3. FUNCTIONAL VERIFICATION
Develop a testbench to validate design correctness.
Use simulation tools such as ModelSim.
4. SYNTHESIS
Convert RTL code to a netlist.
Use FPGA synthesis tools like Vivado or Quartus Prime.
ASIC APPLICATIONS
ASICs are used in applications that require high performance,
low power consumption, and mass production. Some examples
include:
AUTOMOTIVE
Advanced Driver-Assistance Systems (ADAS)
Electric vehicle battery management ICs
FPGA APPLICATIONS
FPGAs are ideal for applications requiring flexibility, rapid
prototyping, and hardware acceleration. Some examples include:
INDUSTRIAL AUTOMATION
FPGA-based motor control systems
Real-time data acquisition systems
CHAPTER 6
SEMICONDUCTOR TECHNOLOGY
NODES & SCALING
MOORE’S LAW & TRANSISTOR SCALING
Moore’s Law, proposed by Gordon Moore in 1965, states that
the number of transistors on an integrated circuit (IC) doubles
approximately every two years, leading to increased
performance and reduced cost per transistor. This prediction
has driven the semiconductor industry for decades, enabling
continuous advancements in computing power.
TRANSISTOR SCALING
Dennard Scaling: This principle states that as transistors
shrink in size, their power density remains constant,
allowing for faster clock speeds without excessive heat
dissipation.
7NM TECHNOLOGY:
Introduced in high-performance processors by companies
like TSMC and Intel.
Provides increased transistor density (~100 million
transistors per mm²).
Used in modern CPUs, GPUs, and mobile SoCs.
Offers better energy efficiency and performance compared
to 10nm and 14nm nodes.
5NM TECHNOLOGY:
Further reduces transistor size, enhancing energy efficiency.
Uses EUV (Extreme Ultraviolet Lithography) to define
smaller transistor structures with high precision.
Deployed in high-end smartphones, AI accelerators, and
HPC (High-Performance Computing) applications.
Apple’s A14 and M1 chips were among the first commercial
processors at 5nm.
3. MANUFACTURING COMPLEXITY:
Advanced lithography techniques like EUV are expensive and
require extreme precision, increasing production costs.
4. MATERIAL LIMITATIONS:
Traditional silicon-based transistors may reach their
fundamental limits, pushing the industry to explore alternative
materials like graphene, carbon nanotubes, and new III-V
compounds.
5. COST & YIELD ISSUES:
The complexity of sub-5nm fabrication results in lower
manufacturing yields, making it economically challenging for
mass production.
BEYOND SILICON:
Research into new materials such as 2D semiconductors,
carbon nanotubes, and photonic computing is gaining
momentum.
ERROR DETECTION:
Even minor errors in hardware design can lead to
catastrophic failures in real-world applications. Early
detection prevents costly fixes during silicon fabrication.
FUNCTIONAL CORRECTNESS:
Ensures that the design meets the intended specification
and behaves as expected under various conditions.
DESIGN COMPLEXITY:
Modern VLSI chips integrate billions of transistors, making
manual validation impossible. Automated verification
methods are required.
COST REDUCTION:
Detecting and fixing errors in simulation is significantly
cheaper than debugging post-silicon issues.
OBJECT-ORIENTED APPROACH:
Uses SystemVerilog classes, inheritance, and polymorphism for
modular testbench architecture.
CONSTRAINED-RANDOM TESTING:
Generates a wide range of test scenarios using constraints to
improve coverage.
ASSERTIONS IN VERIFICATION
Assertions are properties or conditions that must hold true in a
design. They help detect protocol violations, unexpected state
transitions, and incorrect signal behaviors.
IMMEDIATE ASSERTIONS : Checked at a specific simulation
time.
CONCURRENT ASSERTIONS : Monitors ongoing behavior
across multiple clock cycles.
SYSTEMVERILOG ASSERTIONS (SVA) : Enables powerful
property checking using sequence constructs like assert,
assume, and cover.
COVERAGE METRICS IN VERIFICATION
Coverage metrics quantify how well a design has been tested.
There are three primary types:
+91- 9182280927