423 Final Document
423 Final Document
CERTIFICATE
This is to certify that semester industrial internship report entitled “VLSI-
DESIGN FOR TESTABILITY” is being submitted by BURLU
MOUNIKA(21U91A0414) in the partial fulfilment of the requirement for the
degree Bachelor of Technology in Electronics and Communication
Engineering of Jawaharlal Nehru Technological University, Kakinada during
the academic year 2023-2024. This work is done under my supervision and
guidance.
Date:
Place:
Certified that the candidate was examined by us in the viva voice examination held at SRI
Department : ECE
Date of submission :
iii
STUDENT DECLARATION
Of the Department of ECE. I hereby declare that I have completed the mandatory industrial
APSCHE (Andhra Pradesh State Council of Higher Education) under the Faculty Guideship Mr.
iv
OFFICIAL CERTIFICATION
This is to certify that BURLU MOUNIKA Reg. No: 21U91A0414 has completed her
internship in accordance with APSCHE on BIST TECHNOLOGIES under my supervision as
a part of partial fulfillment of the requirement for the Degree of B. TECH in the Department of
ELECTRONICS AND COMMUNICATION ENGINEERING in SRI MITTAPALLI
COLLEGE OF ENGINEERING. This is accepted for evaluation.
Endorsements
v
CERTIFICATE FROM INTERN ORGANIZATION
vi
ACKNOWLEDGMENT
It is a great privilege for me to convey my sincere gratitude to Prof Dr.S. Gopi krishna,.M.Tech
Ph.D. principal of my college for his encouragement and for providing excellent lab facilities.
I would like to express my sincere thanks to my entire Industrial Internship guide Mr. B. HANISH
CHAITANYA, M. Tech, (Ph. D ) for his valuable guidance, best suggestions and constant encouragement right to
inception to the end of this report which is also enabled to complete the semester internship successfully in time.
I wish to thank all the Staff Members in the department for their kind cooperation and my parents
for giving support throughout the industrial internship.
Finally, I acknowledge sincerely the effective services rendered by one and all involved directly
and indirectly in the entire industrial internship.
By
BURLU MOUNIKA
21U91A0414
vii
INDEX
CONTENTS: Pg. No
viii
CHAPTER-5: OUTCOMES DESCRIPTION
5.1: WORK ENVIRONMENT 24
5.2: TECHNICAL SKILLS 25
5.3: MANAGERIAL SKILLS 26
5.4: IMPROVEMENT ON COMMUNICATION SKILLS 27
5.5: TECHNOLOGICAL DEVELPMENTS 29
ix
CHAPTER 1: EXECUTIVE SUMMARY
1.1 : INTRODUCTION: -
In its broadest definition, Design for Testability (DFT) is a process for designing
integrated circuits (ICs) that ensures efficient testing and identification of manufacturing
problems. DFT attempts to enhance product quality, lower production costs, and boost overall
yield by using specialized test circuitry and techniques such scan chains, built-in self-test
(BIST), and boundary scan (JTAG). Despite potential trade-offs in terms of area, power, and
complexity, DFT is crucial in modern integrated circuit design because it allows for complete
validation and testing processes.
As IC complexity increases, current DFT research and development focuses on
improving approaches to solve increasing issues and improve testability for future generations
of integrated circuits. The basic purpose of DFT is to ensure that manufactured integrated
circuits can be extensively and efficiently tested to detect any faults introduced during
fabrication. Early discovery of flaws improves product quality, lowers production costs, and
increases overall yield. One of the most often utilized DFT strategies is to incorporate scan
chains into the design.
These chains are made up of serially connected flip-flops, which allows for simple access to
internal circuit nodes during testing. BIST entails integrating test circuitry within the IC itself.
This enables self-testing of the device without the need for external test equipment, making it
especially beneficial for applications that require regular testing. Joint Test Action Group
(JTAG) is a standardized boundary scan approach for testing and programming integrated
circuits (ICs) after they have been connected onto a printed circuit board (PCB). This technique
is particularly useful for validating the interconnections of several ICs on a PCB.
Specifically designed for testing embedded memories within ICs, this technique
involves incorporating dedicated circuitry for testing memory blocks. While DFT techniques
improve testability, they may also introduce overhead in terms of area, power, and design
complexity. Therefore, designers must carefully balance these trade-offs to ensure that the
benefits of DFT outweigh its costs. DFT is integrated into the design process alongside other
design considerations such as power optimization, timing closure, and area minimization.
Designers use specialized tools and methodologies to incorporate DFT features
seamlessly into the overall chip design flow. Once DFT features are integrated into the design,
they undergo rigorous validation to ensure their effectiveness in detecting manufacturing
defects. This involves running extensive simulations and test patterns to verify the functionality
of the test circuitry under various conditions.
1
With the rising complexity of current integrated circuits, DFT approaches are evolving
to handle new issues such as process fluctuations, aging effects, and heterogeneous component
integration. This field's research focuses on building more efficient and robust DFT solutions to
fulfil the needs of emerging technology.
Learning Objectives for Design for Testability encompasses a range of topics aimed at
providing students or professionals with a comprehensive understanding of the principles,
techniques and applications of DFT in the design and testing of Integrated Circuits (ICs).
The main learning Objectives for DFT Engineer are:
➢ Recognize the challenges associated with testing modern integrated circuits, including
increasing complexity, shrinking geometries, and manufacturing variability.
➢ Understand the fundamental concepts of DFT, including scan chains, test access
mechanisms, test patterns, fault models, and fault simulation techniques.
➢ Gain familiarity with various DFT techniques such as scan design, boundary scan
(JTAG), built-in self-test (BIST), memory BIST, and at-speed testing.
➢ Learn how to apply DFT methods effectively during the design phase to enhance
testability without compromising other design objectives such as area, power, and
performance.
➢ Understand the architecture and implementation of DFT features within IC designs,
including how scan chains and other test structures are inserted and optimized.
➢ Learn techniques for generating efficient test patterns to achieve high fault coverage
while minimizing test time and test data volume, including test pattern compression
methods.
➢ Understand different fault models used in DFT, such as stuck-at faults, transition faults,
and delay faults, and learn how to simulate these faults to assess test coverage.
➢ Gain knowledge of validation and verification techniques for DFT features, including
simulation-based verification, formal verification, and hardware emulation.
➢ Learn how to integrate DFT seamlessly into the overall IC design flow, including design
synthesis, place-and-route, and timing closure processes.
➢ Understand different testing strategies such as structural testing, functional testing, and
in-system testing, and learn how to evaluate testability using metrics such as fault
coverage, test generation efficiency, and test time.
➢ Explore advanced topics in DFT, such as DFT for analog/mixed-signal circuits, DFT for
system-on-chip (SoC) designs, DFT for 3D integrated circuits, and emerging trends in
DFT research.
2
CHAPTER 2: OVERVIEW OF THE ORGANIZATION
2.1 : ABOUT ORGANIZATION: -
3
2.2 : VISION OF ORGANIZATION: -
BIST TECHNOLOGIES having the appropriate and absolute vision on their
organization which empowers the students and professionals to dedicate their excellence,
integrity and social responsibility towards the society for positive change and creating lasting
impact and leaving a legacy of hope and possibility for generations to come across India.
At BIST TECHNOLOGIES, we envision a future where every individual has the
opportunity to thrive in a world of limitless possibilities and seen a society where equality,
justice and sustainability are not just aspirations but fundamental realities ingrained in every
aspect of life. Our vision is of a world where innovation and collaboration drive positive
change, where diverse voices are heard and respected, and where every person is empowered to
reach their full potential. We imagine communities that are vibrant, inclusive, and resilient,
where people come together to solve challenges and celebrate successes.
Through our dedication to excellence, integrity, and social responsibility, we aim to be a
catalyst for positive change, creating lasting impact and leaving a legacy of hope and possibility
for generations to come. Our vision is not just a dream; it's a shared commitment and a guiding
light that inspires everything we do. Together, we will make this vision a reality, building a
brighter, more sustainable future for all.
2.3 : MISSION OF ORGANIZATION: -
At BIST TECHNOLOGIES, our mission is to provide aspiring professionals with
transformative internship experiences that equip them with the skills, knowledge and networks
necessary to succeed in their chosen fields. By dedicating fostering personal and professional
growth by offering hands-on learning opportunities, mentorship from industry experts and
exposure to real-world challenges. Main goal is to empower like us interns to discover our
passions, develop our talents and skills and build the confidence needed to excel in today’s
dynamic job market.
Central to our mission is the belief in the power of diversity, equity, and inclusion. We
are committed to providing equal access to opportunities for all individuals, regardless of
background or circumstance, and to fostering a culture of belonging where every voice is heard
and valued. Driven by our core values of excellence, integrity, and innovation, we continuously
seek to improve and innovate our programs to better meet the evolving needs of our interns and
partners. We measure our success not only by the skills gained and connections made but also
by the lasting impact our interns have on their communities and the world. Ultimately, our
mission is to empower the next generation of leaders, change-makers, and lifelong learners,
creating a brighter future for individuals and societies worldwide.
4
CHAPTER 3: INTERNSHIP PART
3.1 : ABOUT INTERNSHIP: -
In this internship training I had learnt many of the activities in the part of VLSI –
Design for Testability intern under BIST TECHNOLOGIES in accordance with the
APSCHE (Andhra Pradesh State Council of Higher Education), I have been actively
engaged in acquiring the skills necessary to excel in this role. I am having a solid
understanding of digital design concepts, including Boolean Algebra, logic gates, flip-
flops, sequential and combinational logic principles and synchronous design principles.
I exposure to Electronic Design Automation (EDA) tools commonly used in DFT, such
as synthesis tools, simulation tools, and DFT tools like ATPG (Automatic Test Pattern
Generation) and scan insertion tools. Experience with tools such as Synopsys Design Compiler,
Cadence Encounter, or Mentor Graphics would be advantageous. Basic knowledge of DFT
techniques and methodologies is essential. This includes understanding concepts such as scan
chains, built-in self-test (BIST), boundary scan (JTAG), memory BIST, and other DFT
structures and algorithms used to enhance testability and fault coverage in integrated circuits.
I have a strong desire to learn and grow in the field of DFT. This includes being
proactive in seeking out opportunities for skill development, staying updated on industry trends
and advancements, and actively contributing to project teams and discussions. The critical
nature
5
od’s DFT in ensuring the quality and reliability of integrated circuits and ensured the DFT
features are implemented correctly and meet design requirements.
7
CHAPTER 4: WEEKLY ACTIVITIES
4.1 : ACTIVITY LOG FOR THE FIRST WEEK
8
WEEKLY REPORT
WEEK – 1 (From 03-06-2024 to 08-06-2024)
I dedicated my time to grasping the concept of Design Under Test (DUT). The DUT
refers to the specific component or module within an integrated circuit that is undergoing
testing. I learned about the importance of designing robust test benches and methodologies to
effectively verify and validate the functionality of the DUT. Understanding DUT is crucial for
ensuring the reliability and quality of ASICs. I focused on exploring threshold voltages in
semiconductor devices, particularly in the context of ASIC design.
I familiarized myself with DFT principles such as scan chains, built-in self-test (BIST),
and boundary scan, which are essential for enhancing test coverage and reducing test costs in
ASIC manufacturing. I focused on investigating manufacturing defects that can occur during the
fabrication process of ASICs. I studied different types of defects such as process variations,
material impurities, and physical abnormalities that can adversely impact the functionality and
reliability of integrated circuits. Understanding manufacturing defects is crucial for
implementing effective quality assurance measures and yield enhancement techniques in ASIC
production.
9
4.2 : ACTIVITY LOG FOR THE SECOND WEEK
10
WEEKLY REPORT
WEEK – 2 (From 10 - 06 - 2024 to 15 - 06 - 2024 )
They form the backbone of RTL designs, facilitating the transfer of data between
different components. RTL describes the flow of data between registers, indicating how data is
transferred and manipulated within a digital circuit. This data flow is represented using
symbolic notation, aiding in the visualization and analysis of circuit behavior. RTL
encompasses various logical and arithmetic operations performed on data as it flows through
the circuit.
These operations include addition, subtraction, AND, OR, and more, which are applied
to data stored in registers. RTL provides a higher level of abstraction compared to gate-level or
transistor-level designs. It enables designers to focus on functionality rather than
implementation details, thus simplifying the design process. Gain a solid understanding of RTL
fundamentals, including registers, data flow, and operations. Learned how to translate high-
level designs into RTL representations. Develop problem-solving skills through hands-on
exercises and analysis of RTL designs.
During this week, the focus was on understanding different numbering systems and
mastering the art of converting numbers between them. This knowledge lays a strong
foundation for various digital design tasks and helps in effectively representing data in different
contexts. the focus shifted to more advanced number conversions, including octal, Binary
Coded Decimal (BCD), and gray code.
Additionally, the basics of logic gates were introduced, laying the groundwork for
understanding digital logic and circuit design. The focus was on combinational logic design and
Register-Transfer Level (RTL) basics. Combinational logic circuits form the foundation of
digital systems, while RTL provides an abstraction for describing digital circuits in terms of
data flow and operations.
11
4.3 : ACTIVITY LOG FOR THE THIRD WEEK
12
WEEKLY REPORT
WEEK – 3 (From 17-06-2024 to 22-06-2024)
I focused on operators in Verilog HDL, crucial for expressing digital logic operations. I
studied arithmetic operators, logical operators, bitwise operators, and relational operators,
understanding their usage and precedence rules. Additionally, I explored their application in
various Verilog constructs such as assignment statements and conditional expressions. Through
coding assignments, I implemented Verilog modules utilizing different types of operators,
enhancing my proficiency in Verilog programming.
14
WEEKLY REPORT
WEEK – 4 (From 24 - 06 - 2024 to 29 - 06 - 2024 )
Objective of the Activity Done: Operation Modes & DFT Design Flow
Detailed Report:
In this detailed report for this week, I embarked on understanding the ASIC Design
Flow, a systematic process used to design and manufacture Application-Specific Integrated
Circuits. The ASIC design flow typically involves several stages including requirements
analysis, architectural design, logic design, verification, synthesis, physical design, and
fabrication. I familiarized myself with each stage and gained insights into the tools and
methodologies commonly employed in ASIC design, setting a solid foundation for my future
tasks.
I have I focused on comprehending the ASIC Design Flow. ASIC Design Flow outlines
the step-by-step process involved in designing Application-Specific Integrated Circuits
(ASICs), from initial specification to fabrication. I familiarized myself with the various stages
of ASIC Design Flow, including requirements analysis, architecture design, RTL coding,
synthesis, physical design, verification, and manufacturing. Understanding ASIC Design Flow
is crucial for efficiently managing ASIC projects and ensuring the successful development of
custom integrated circuits.
15
implementation.
16
4.5 : ACTIVITY LOG FOR THE FIFTH WEEK
17
WEEKLY REPORT
WEEK – 5 (From 01 - 07- 2024 to 06 - 07 - 2024 )
I focused on understanding the goals of Design for Testability (DFT) in ASIC design.
DFT aims to enhance the testability of integrated circuits by incorporating design features and
structures that facilitate efficient testing and fault detection during manufacturing. I delved into
the objectives of DFT such as achieving high test coverage, reducing test time and cost, and
improving fault diagnosis capabilities. Understanding the goals of DFT is essential for
implementing effective testing strategies in ASIC designs.
I had learnt about the libraries; Libraries play a crucial role in DFT by providing a
collection of pre-characterized standard cells optimized for testability features. These libraries
contain a variety of functional elements such as flip-flops, multiplexers, and logic gates, each
designed to meet specific testability requirements.
18
4.6 : ACTIVITY LOG FOR THE SIXTH WEEK
19
WEEKLY REPORT
WEEK – 6 (From 08-07-2024 to 13-07-2024)
Objective of the Activity Done: IC Design Flow & Quality Test Patterns
Detailed Report:
In this detailed report for this week, I have gained the knowledge on IC Design Flow &
Quality Test Patterns. During this week of my internship, I immersed myself in understanding
the Integrated Circuit (IC) Design Flow. The IC Design Flow is a systematic process that
outlines the steps involved in designing and fabricating integrated circuits. I familiarized
myself with various stages of the design flow, including specification, architecture, design,
verification, synthesis, layout, and fabrication. Understanding the IC Design Flow provided me
with a comprehensive overview of the entire process, laying the foundation for my future tasks.
I delved into studying defects that occur in semiconductor materials such as Silicon and
Germanium. I learned about different types of defects, including point defects, line defects, and
surface defects, and their impact on semiconductor device performance. Understanding defects
is crucial for optimizing manufacturing processes and improving the quality and reliability of
integrated circuits. I gained insights into defect analysis techniques and methodologies used in
semiconductor fabrication facilities.
20
4.7 : ACTIVITY LOG FOR THE SEVENTH WEEK
Day – 2
I have completed the learning of Boundary Scan
(16-07-2024)
concept named Boundary Scan
Day – 3
I have completed the learning of Memory Test and Repair
(17-07-2024)
concept named Memory Test &
Repair
Day – 4
I have completed the learning of Design for debugging
(18-07-2024)
concept named Design for debugging
21
WEEKLY REPORT
WEEK – 07 (From 15-07-2024 to 20-07-2024)
Detailed Report:
In this detailed report for this week, I I had the opportunity to explore Built-in Self-Test
(BIST) techniques for enhancing testability in integrated circuits (ICs). BIST is a methodology
that enables ICs to test themselves without the need for external test equipment. I learned about
the design and implementation of BIST structures, including on-chip test pattern generators and
result analyzers.
Another important aspect of testability that I explored during the internship was
Boundary Scan, also known as Joint Test Action Group (JTAG). Boundary Scan is a
standardizedmethod for testing and debugging ICs, particularly for verifying interconnects on
printed circuit boards (PCBs). I learned about the architecture and operation of JTAG chains,
scan cells, and Test Access Ports (TAPs).
22
4.8 : ACTIVITY LOG FOR THE EIGHTH WEEK
Day – 1
From all the modules, I had attempted Attempted Test-01
(22-07-2024)
the test-01
Day – 2
From all the modules, I had attempted Attempted Test-02
(23-07-2024)
the test-02
Day – 3
By completing all the modules in this Received Certificate from
(24-07-2024)
course and received certificate Intern Organization
Day – 5
By placing all the gathered Working on
(26-07-2024)
information in to the detailed report Internship
by day-wise Detailed Report
23
WEEKLY REPORT
WEEK – 08 (From 22-07-2024 to 27-07-2024)
Detailed Report:
In this detailed report for this week, I attempted the first test, which assessed my
understanding of fundamental concepts related to digital design and Verilog HDL. The test
covered topics such as adders, subtractors, encoders, decoders, data types, operators, and
procedural statements. I prepared for the test by reviewing lecture materials, completing
practice problems, and participating in study sessions with fellow interns. During the test, I
demonstrated proficiency in applying theoretical knowledge to solve practical problems and
accurately implementing Verilog code to simulate digital circuits. While I encountered some
challenges, such as time constraints and complex problem scenarios, I successfully completed
the test and gained valuable insights into areas for further improvement.
The second test in the internship focused on advanced topics in digital design and
Verilog HDL, including timing control, state machines, memory elements, and design
optimization techniques. Leading up to the test, I dedicated significant time to studying
advanced concepts, experimenting with advanced Verilog constructs, and seeking clarification
on complex topics from mentors and colleagues. During the test, I encountered challenging
scenarios that required careful analysis and creative problem-solving skills. Despite facing
some difficulties, I approached each problem methodically and leveraged my understanding of
digital design principles to devise effective solutions. Overall, the second test provided an
opportunity to deepen my knowledge and skills in advanced areas of digital design and Verilog
programming.
As part of the industrial internship, I was tasked with preparing a comprehensive report
documenting my experiences, learnings, and contributions throughout the internship.
24
CHAPTER 5: OUTCOMES DESCRIPTION
25
5.2 : Technical skills I have acquired: -
I had acquired a diverse set of technical skills that would prepare me for a career in
semiconductor design, testing, and verification. These skills would enable me to contribute
effectively to the development of high-quality and reliable integrated circuits in various
industries. I have acquired the real time technical skills are gaining expertise in performing
physical or digital tasks. There are many different kinds of technical skills, I had the
privilege of acquiring during this journey. Your guidance and support have been
invaluable in shaping my learning experience. I've gained proficiency in VLSI – Design
for Testability domain of having a strong understanding of DFT principles, including
various testing methodologies such as scan-based testing, built-in self-test (BIST), and
boundary scan (JTAG).
I would learn about fault models, test coverage metrics, and the importance of
designing testable integrated circuits. I would become familiar with different DFT
techniques and methodologies used to enhance testability and fault coverage in integrated
circuits. This would include understanding the operation and implementation of scan
chains, memory BIST, logic BIST, and other DFT structures and algorithms. I would gain
proficiency in using Electronic Design Automation (EDA) tools specifically designed for
DFT tasks. This might include tools for scan insertion, ATPG (Automatic Test Pattern
Generation), fault simulation, and test coverage analysis. I would become adept at
navigating and utilizing tools such as Mentor Graphics, Synopsys' DFTMAX, and
Cadence's Encounter Test.
I would learn techniques for debugging DFT-related issues and optimizing DFT
implementations. This might involve identifying and resolving issues such as timing
violations, test pattern failures, and DFT-related design errors. I would acquire the ability
to modify and optimize existing IC designs to incorporate DFT features effectively.
26
5.3 : The managerial skills I have acquired: -
I have acquired the best managerial skills from the institution which I have performed
and gave my best in as part of internship. In this I have learnt about the managerial skills that
are technical skills, conceptual skills, human management skills. in part of internship, I had
learnt that defining the abilities that can executive should possess in order to fulfill specific
tasks in an organization. I've had the opportunity to develop and hone various managerial
skills that are crucial for effective leadership and team management.
I have learned to effectively communicate with team members, supervisors, and clients
both verbally and in writing. I can articulate ideas clearly, listen actively to others, and
provide constructive feedback. Through regular team meetings and project updates, I have
ensured that everyone is on the same page regarding project goals, timelines, and
expectations. I have demonstrated the ability to lead and motivate team members towards
common goals. By setting clear objectives, delegating tasks effectively, and providing
guidance and support, I have helped my team achieve success in various projects.
I have also fostered a collaborative and inclusive work environment where everyone
feels valued and empowered to contribute their ideas. Managing multiple tasks and deadlines
has been a significant aspect of my internship experience. I have learned to prioritize tasks
based on their importance and urgency, allocate time and resources efficiently, and adapt to
changing priorities. Through careful planning and organization, I've been able to meet project
deadlines and deliver high-quality work consistently.
I have developed strong analytical and critical thinking skills that enable me to identify
issues, analyse root causes, and develop effective solutions. Whether it's resolving conflicts
within the team, overcoming obstacles in a project, or addressing challenges faced by clients, I
approach problems with a proactive and solution-oriented mindset. I have gained experience
in making informed and timely decisions by weighing various factors and considering
potential outcomes. I have learned to gather relevant information, evaluate different options,
and choose the course of action that aligns with project objectives and organizational goals.
27
5.4 : Improvement on my communication skills: -
During my internship period I have learnt many of the skills like verbal
communication, listening skills, written communication, interpersonal communication,
presentation skills, negotiation and persuasion, conflict resolution, feedback solicitation and
incorporation, cross- cultural communication, time management communication, remote
communication all these skills are a part from the communication skills which I have
acquired during my period of internship.
In this internship training I learnt many of the communication skills, I learnt about the
oral communication, written communication, conversational abilities, confidence levels
while communicating. by the above-mentioned skills, I can make my resume powerful and to
notice by the interviewer. By listening, I learnt many of the things about the subject related
topics and to speak fluently with others and among them I learnt that to speak with others in
understandable language and in this the body language also matters.
It is very important in the face-to-face meetings and interviews and also in the video
conferences. Making that the appear accessible, that should not cross arms by making the
eye contact the other person will knows the attention. The main skill is noting the notes
which is delivering by another person. It is the main to treat every person equally,
communication communicate effectively is a teachable skill, ready to communicate, be sure
that you are in right frame of mind, tiredness, frustration, sadness, and anger, among the
other range of emotions can hamper.
Giving greetings to the others also main theme in the communication skills. be always
thankful to others who have given you chance to prove what you are and to stand by yourself
in that particular field. Without going with the negative information who spreads the
negative more then don’t be the tail of them be positive and maintain your ideas and
strategies very secretly and appreciate others without ego tic issues in mind. As the skill
developer student, I have and will to take more actions to grew more and more.
28
I've learned to express ideas and convey information in a clear and concise manner,
ensuring that my messages are easily understood by others. By practicing effective
communication techniques such as structuring my thoughts logically and using simple
language, I've minimized misunderstandings and improved overall clarity in my
communication. I've developed active listening skills, which involve fully focusing on what
others are saying without interrupting, judging, or formulating responses prematurely.
I've actively sought feedback on my communication skills from supervisors, peers, and
mentors, recognizing it as a valuable opportunity for improvement. Incorporating
constructive feedback, I've worked on areas such as vocal tone, body language, and
presentation style to enhance the impact of my communication. Through various written
communication tasks such as emails, reports, and presentations, I've improved my writing
skills, ensuring clarity, coherence, and professionalism in my written correspondence.
I've also learned to adapt my writing style based on the purpose and audience, whether
it's drafting formal reports for stakeholders or composing concise emails for team members.
I've gained confidence in public speaking through opportunities such as team presentations,
client meetings, and internal workshops. By practicing techniques such as maintaining eye
contact, controlling nervousness, and using visual aids effectively, I've become more
comfortable and proficient in delivering engaging presentations. I've developed
communication skills specifically tailored for conflict resolution situations, such as active
listening, empathy, and assertiveness.
29
5.5 : Technological developments I have observed: -
In this internship training I had observed the best developments in Very Large-Scale
Integration, there have been several significant technological developments in recent years,
driven by the continuous demand for smaller, faster and more power-efficient semiconductor
devices and In the domain of Design for Testability (DFT) within Very Large Scale Integration
(VLSI), there have been several notable technological developments aimed at enhancing the
efficiency, accuracy, and effectiveness of testing semiconductor devices.
3D integration has emerged as a promising approach to overcome the limitations of
traditional planar scaling. Through technologies such as Through-Silicon Vias (TSVs) and
Wafer-on-Wafer (WoW) bonding, multiple layers of active devices can be vertically stacked,
enabling higher integration density, shorter interconnect lengths, and improved performance
while reducing power consumption. Innovations in semiconductor packaging have played a
crucial role in enhancing the performance and functionality of VLSI devices.
Techniques such as System-in-Package (Sip), Chip-on-Wafer-on-Substrate (Co-WOS),
and Fan-Out Wafer-Level Packaging (FOWLP) enable the integration of diverse functionalities,
including memory, sensors, and RF components, into a single package, offering improved
system-level performance and efficiency. With the proliferation of battery-powered and energy-
constrained devices, low-power design has become increasingly important in VLSI. Advanced
power management techniques, such as dynamic voltage and frequency scaling (DVFS), power
gating, and adaptive clocking, are being employed to minimize energy consumption while
maintaining or improving performance.
The demand for high-density, low-latency, and non-volatile memory solutions has
driven the development of emerging memory technologies. Technologies such as Resistive
Random- Access Memory (ReRAM), Phase-Change Memory (PCM), and Magnetic Random-
Access Memory (MRAM) offer potential advantages in terms of scalability, endurance, and
power efficiency compared to traditional memory technologies like DRAM and NAND flash.
Heterogeneous integration involves combining diverse semiconductor technologies, such as
CMOS logic, Analog, RF, and MEMS, within a single chip or package.
By integrating different functionalities on a single platform, heterogeneous integration
enables the development of highly integrated and versatile systems-on-chip (SoCs) for
applications ranging from smartphones and IoT devices to automotive and aerospace systems.
Design automation tools and methodologies continue to evolve to address the increasing
complexity and time-to-market pressures associated CHAPTER 6: VIDEO LINKS
30
INTERNAL ASSESMENT STATEMENT
Date:
CERTIFIED BY
Date:
Seal:
31