0% found this document useful (0 votes)
36 views98 pages

2024 April May Issue

The document provides a comprehensive review of Taiwan's role in the global semiconductor supply chain in 2023, highlighting its significance amidst geopolitical tensions, particularly between the U.S. and China. It discusses the challenges faced by the semiconductor industry in 2023 and anticipates a rebound in 2024, driven by advancements in automotive, computation, and wireless communications sectors. Taiwan's semiconductor ecosystem, featuring major companies like TSMC, is positioned as a crucial partner for global semiconductor initiatives, emphasizing opportunities for collaboration and investment.
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
36 views98 pages

2024 April May Issue

The document provides a comprehensive review of Taiwan's role in the global semiconductor supply chain in 2023, highlighting its significance amidst geopolitical tensions, particularly between the U.S. and China. It discusses the challenges faced by the semiconductor industry in 2023 and anticipates a rebound in 2024, driven by advancements in automotive, computation, and wireless communications sectors. Taiwan's semiconductor ecosystem, featuring major companies like TSMC, is positioned as a crucial partner for global semiconductor initiatives, emphasizing opportunities for collaboration and investment.
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 98

Bumper Issue April/May 2024

TAIWAN AND
THE GLOBAL SEMICONDUCTOR
SUPPLY CHAIN: 2023 IN REVIEW

Edited by:
Chen-Yuan Tung, Ph.D.
Representative
Taipei Representative Office in Singapore

Taipei Representative Office in Singapore


Table of Contents
1. Executive Summary ................................................................................................... 6
2. Background: Global Semiconductor Industry ............................................................. 8
A. Introduction ..................................................................................................................... 8
 What Are Semiconductors ...................................................................................................... 8
 Importance of Semiconductors............................................................................................... 9
 Semiconductor Industry Business Models ............................................................................ 11
 Global Semiconductor Supply Chain ..................................................................................... 14
B. Global Industry Trends .................................................................................................. 20
 Market Growth ..................................................................................................................... 20
 Chip Miniaturization ............................................................................................................. 27
 Growth of Advanced Semiconductor Packaging ................................................................... 28
 Development and Innovation of Various Day-to-day and Industrial Applications ............... 30
C. Geo-politics and Policies in the Global Semiconductor Supply Chain .......................... 33
 U.S. – China conflict over Semiconductors ........................................................................... 33
 Semiconductor Policies of U.S.A., China, and India .............................................................. 38
3. Status of Semiconductor Industry in Taiwan ............................................................ 53
A. Taiwan’s Ecosystem of Semiconductor Companies and Its Major Actors .................... 56
B. Capital Expenditure ....................................................................................................... 76
C. Employment, R&D Expenditure and Researchers......................................................... 76
D. Global Expansion of Taiwan’s Leading Semiconductor Companies .............................. 78
4. Opportunities and Prospects of Taiwan’s Semiconductor Industry ........................... 83
A. Taiwan’s Stock Market .................................................................................................. 83
B. Talent Cultivation .......................................................................................................... 87
C. Resilience of the Global Supply Chain and Peace across the Taiwan Strait .................. 89
D. Forging A Semiconductor Partnership with Taiwan ...................................................... 91
 Joining Taiwan’s Core Cluster of the Global Semiconductor Industry .................................. 92
 Exploring the Growing Global Market for Semiconductor Equipment and Materials.......... 93
 Establishing Operations and Research Centers .................................................................... 95

1 | 98 Taipei Representative Office in Singapore


List of Figures and Tables
FIGURES
Figure 1: World’s Top Three Chip Manufacturers by Revenue: 2023................................................. 13
Figure 2: Prospects of Global Semiconductor Market by Quarter: 2019Q1-2023Q4 ......................... 21
Figure 3: Prospects of Global Semiconductor Market by Region and by Quarter: 2019Q1-2023Q4. 22
Figure 4: Prospects of Global Semiconductor Market: 2011-2026 ..................................................... 23
Figure 5: McKinsey’s Projection of the Global Semiconductor Market in 2030 ................................ 24
Figure 6: Global AI Semiconductor Market Trend: 2022-2027 ........................................................... 26
Figure 7: Global Market Share of Advanced Packaging: 2028 ............................................................ 29
Figure 8: AI PCs and Generative AI Smartphones Market Share Worldwide: 2023-2025 ................. 31
Figure 9: Share of Monthly IC Produc on in Various Areas: 2023 ...................................................... 34
Figure 10: Rankings and Global Share of Taiwan’s IC Industry in Terms of Production Value: 2023 54
Figure 11: Upstream, Midstream, and Downstream Industry Chains in Taiwan’s Semiconductor
Industry ................................................................................................................................................. 56
Figure 12: Output Value of Taiwan's IC Design Industry by Quarter: 2021Q4-2023Q4 ..................... 58
Figure 13: Share of Taiwan's IC Design Industry Revenue by Products: 2023Q4 ............................... 60
Figure 14: Share of Taiwan's IC Design Industry Sales by Regions: 2023Q4 ....................................... 61
Figure 15: Taiwan's Exports of Semiconductors: 2016-2023 .............................................................. 71
Figure 16: Taiwan's Imports of Semiconductors: 2016-2023 .............................................................. 72
Figure 17: Share of Taiwan's Semiconductor Exports by Countries: 2016-2023 ................................ 73
Figure 18: Share of Taiwan's Semiconductor Imports by Countries: 2016-2023 ............................... 74
Figure 19: Capital Expenditure of Taiwan's IC Industry: 2016-2023 ................................................... 76
Figure 20: Number of Employees in Taiwan's IC Industry: 2016-2023 ............................................... 78
Figure 21: TSMC’s Global Expansion .................................................................................................... 80

2 | 98 Taipei Representative Office in Singapore


TABLES
Table 1: Top 10 Semiconductor Vendors by Revenue Worldwide: 2023 ........................................... 12
Table 2: Type of Semiconductor Company by Business Model .......................................................... 14
Table 3: Sectors in Semiconductor Supply Chain ................................................................................ 15
Table 4: Ranking of Global Top 10 Foundries by Revenue: 2023Q4 ................................................... 17
Table 5: Ranking and Market Share of Global Top 10 Foundries by Revenue: 2022Q1-2023Q4 ....... 18
Table 6: Global AI Semiconductor Market Growth Trend: 2023-2027 ............................................... 27
Table 7: CHIPS and Science Act provides US$ 52.7 billion for U.S. semiconductor research,
development, and manufacturing ....................................................................................................... 40
Table 8: Chinese Semiconductor Industrial Policy .............................................................................. 44
Table 9: China's Self-sufficiency Rate on Semiconductor: 2009-2026 ................................................ 47
Table 10: China's Self-Sufficiency Rate by China’s Headquartered Companies: 2019-2021 ............. 48
Table 11: Schemes under the “Semicon India Programme” ............................................................... 50
Table 12: Number of Taiwan's Semiconductor Companies: 2016-2023 ............................................. 57
Table 13: Taiwan’s Global Market Share of Semiconductor Sub-industries In Terms of Production
Value: 2016-2023.................................................................................................................................. 57
Table 14: Overview of Global Capacity of Process Technologies: 2023 ............................................. 62
Table 15: Global Foundry Advanced Process Mass Production Timeline: 2021-2025 ....................... 63
Table 16: Ranking of Main Global IC Packaging and Testing Companies by Revenue: 2023............. 64
Table 17: Ranking of Main Taiwanese IC Packaging and Testing Companies by Revenue: 2023 ...... 65
Table 18: Main Listed Companies in Taiwan’s Semiconductor Equipment Manufacturing And
Materials/Substrates/Chemicals Sector.............................................................................................. 67
Table 19: Ranking of Main Global IC Distributors by Revenue: 2023 ................................................. 68
Table 20: Ranking of Main Taiwanese IC Distributors by Revenue and Products Distributed: 2023 69
Table 21: Output Value of Taiwan's Semiconductor Industry: 2016-2023......................................... 70
Table 22: Share of Taiwan's Semiconductor Export by Countries: 2016-2023................................... 73
Table 23: Share of Taiwan's Semiconductor Imports by Countries: 2016-2023 ................................ 74
Table 24: Value-added Contribution of Taiwan's Semiconductor Sub-industries: 2016-2023 .......... 75
Table 25: Value-added Ratio of Taiwan's Semiconductor Sub-industries: 2016-2023 ...................... 75
Table 26: Research and Development Expenditure of Taiwan's Semiconductor Industry: 2016-2023
.............................................................................................................................................................. 77
Table 27: Basic Information of Main Listed Companies of Taiwan’s IC Industry ............................... 84

3 | 98 Taipei Representative Office in Singapore


Please feel free to reach out to the Economic Division of the Taipei
Representative Office in Singapore should you have any enquiries or are
seeking partnership opportunities of investment or collaboration in the field of
semiconductors in Taiwan.

Email: [email protected]
Telephone: +65 6500-0128

Published: Taipei Representative Office in Singapore


Address: 460 Alexandra Road, #23-00 mTower, Singapore 119963
Email: [email protected]
Telephone: +65 6500-0100

The Taipei Representative Office in Singapore provides monthly reports on


Taiwan and global semiconductor supply chains. We welcome you and your
friends to join our WhatsApp community, “Taiwan Semiconductor
Reports”.

https://chat.whatsapp.com/BqwdAMgi1sUIGsujDx3YDk

4 | 98 Taipei Representative Office in Singapore


Past Issues:
Overview on Taiwan and the Global Semiconductor Supply Chain
https://www.roc-taiwan.org/uploads/sites/86/2023/08/20230824-TAIWAN-AND-THE-
GLOBAL-SEMICONDUCTOR-SUPPLY-CHAIN.pdf

September 2023 Report: TSMC’s Global Expansion


https://www.roc-taiwan.org/uploads/sites/86/2023/09/2023-September-Semi-Report.pdf

October 2023 Report: Indian Semiconductor Industry


https://www.roc-taiwan.org/uploads/sites/86/2023/09/231002-October-Issue.pdf

November 2023 Report: U.S.-China Conflict Over Semiconductors


https://www.roc-taiwan.org/uploads/sites/86/2023/10/301027-November-Issue.pdf

December 2023 Report: China’s Semiconductor Industry


https://www.roc-taiwan.org/uploads/sites/86/2023/12/December-2023-Semi-Report.pdf

January 2024 Report: Advanced Semiconductor Packaging and Testing


https://www.roc-taiwan.org/uploads/sites/86/2024/01/2024-January-
Issue_compressed.pdf

February 2024 Report: Taiwan’s Capital Market in Advancing the


Semiconductor Industry
https://www.roc-taiwan.org/uploads/sites/86/2024/02/240202-February-Issue.pdf

March 2024 Report: Artificial Intelligence and the Semiconductor Industry


https://www.roc-taiwan.org/uploads/sites/86/2024/03/240229-March-Issue.pdf

5 | 98 Taipei Representative Office in Singapore


1. Executive Summary
Semiconductors are the foundation of modern technology. As critical
components of electronic products, semiconductors power the development
of high-tech products and services, are a key force driving global economic
development and technological innovation and are integral to applications in
our daily lives and various industries. Today, leading-edge advanced
semiconductors power the most sophisticated technology, including
developing Artificial intelligence (AI) and building critical military capabilities.

Whilst the semiconductor industry had a challenging year in 2023, it is


expected to rebound and recover in 2024. McKinsey reports that about 70% of
the global semiconductor industry growth in the next decade is predicted to be
driven by just three industries: automotive, computation and data storage, and
wireless communications. More recently, the unprecedented success of
OpenAI’s ChatGPT has ushered generative AI to the mainstream, leading to an
even greater appetite for advanced semiconductors.

Much of today’s semiconductor supply capabilities are concentrated in


Asia. The conflict between the U.S.A. and China over semiconductors has led to
a reorientation of the global semiconductor supply chain. In particular, the U.S.
CHIPS and Science Act and the on-going dispute between U.S.A. and China
have significantly impacted the manufacturing and investment decisions of
companies in and outside China. It has also spurred other countries to
strengthen their semiconductor industries as they position themselves as an
alternative to China in semiconductor production.

Recognizing the strategic importance of semiconductors, countries are


also looking at ways to secure their semiconductor supply chains and to
support their domestic semiconductor ecosystems amid increasing geopolitical
tensions. The measures taken by countries – which take various forms from
subsidies, regulations, investment incentives, friend-shoring and supporting
research, development and innovation infrastructures – have significant
impacts on the global semiconductor industry.

6 | 98 Taipei Representative Office in Singapore


The escalating geopolitical tension and rapidly evolving global
technology landscape call attention to the pivotal role Taiwan’s semiconductor
industry in the global semiconductor supply chain. Taiwan, a global
semiconductor powerhouse, is home to some of the world’s largest and most
advanced semiconductor companies, including the Taiwan Semiconductor
Manufacturing Company (TSMC) and ASE Technology Holding (ASEH)
Company. It leads the world in wafer foundry and packaging and testing, two
critical stages in the semiconductor manufacturing process, and is second
globally in semiconductor design.

Taiwan’s role in the global semiconductor industry makes it a natural


and important partner for countries and global semiconductor companies. In
view of the strategic importance of the semiconductor sector to Taiwan’s
economy and its global standing in technology, Taiwan’s Ministry of Economic
Affairs has outlined three areas of partnership, namely, 1) joining Taiwan’s
core cluster, 2) exploring the growing global market for semiconductor
equipment and materials, and 3) establishing operations and research centers
to tap into the fast-growing Asia market.

7 | 98 Taipei Representative Office in Singapore


2. Background: Global Semiconductor
Industry

A. Introduction
 What Are Semiconductors

Semiconductors, also known as integrated circuits (ICs) or chips, are tiny


electronic devices (based primarily on silicon or germanium) composed of
billions of components that can process, store, sense, and move data or
signals.1 They are the brains of modern electronic devices – from everyday
consumer devices such as smartphones, household appliances and computers
to specialized equipment in sectors such as healthcare, automotive, and
defense. The availability of leading-edge semiconductors, in particular, is
essential for advances in emerging technologies, such as AI, Internet of Things
(IoT), 5G/6G communications and quantum computing.2

There are two major ways to categorize semiconductors: by type of


integrated circuitry and by functionality. In terms of circuitry, a chip can be
analog, digital, or mixed. The difference between analog and digital function
has to do with the electric signals they process. In digital chips, the signals are
binary. In analog chips, the signals are continuous, meaning they can take on
any value within a given range, and they use more traditional circuit elements
(resistors, capacitors and occasionally inductors).3

In terms of functionality, there are three broad categories of chips: logic


chips, memory chips and DAO (discrete, analog and other).4 Logic chips process
and act as the ‘brains’ of electronic devices while memory chips are designed
to store information. Both logic chips and memory chips are digital: they

1
ASML, “The basics of microchips,” 2024. https://www.asml.com/en/technology/all-about-
microchips/microchip-basics.
2
McKinsey & Company, “What is a semiconductor?” May 15, 2023.
3
Ibid.
4
ASML, “The basics of microchips,” 2024. https://www.asml.com/en/technology/all-about-
microchips/microchip-basics.

8 | 98 Taipei Representative Office in Singapore


manipulate and store bits and bytes using transistors, and make it possible to
create and use AI.5 DAO chips, on the other hand, include optoelectronics and
sensors, designed to transmit, receive and transform analog environmental
inputs (such as sounds or images) into digital information.6

In recent years, advanced semiconductor packaging techniques, by


enabling the integration of various components from different wafers into a
single unit, have played a crucial role in the development of AI-specific chips
such as Application-Specific Integrated Circuits (ASICs) and Systems-on-a-Chip
(SoCs).7

ASICs are single-purpose chips used for performing repetitive processing


routines such as scanning a barcode. SoCs, on the other hand, are essentially
integrator chips that combine many chips and circuits in a single chip. As both
ASICs and SoCs are designed from ground up for AI, they can perform more
computations per unit of energy, resulting in faster processing speeds and
lower energy consumption compared to general-purpose chips.8

There are also different nodes of semiconductors. Smaller nodes usually


mean higher performance, lower power consumption, and higher density. The
most advanced ones—below 7 nanometers (nm)— are the most sought after
and most difficult to produce. Advanced semiconductors are often used for AI,
high-performance computing, 5G/6G networks, and other emerging domains
that require large amounts of data processing and computation.9

 Importance of Semiconductors

Due to their role in the fabrication of electronic devices across various


industries, semiconductors stand at the forefront of modern technology. They
are used in a wide range of applications, from consumer electronics to

5
Ibid.
6
Semiconductor Industry Association, “Comments of the Semiconductor Industry Association (SIA) on the
Department of Energy “Notice of Request for Information (RFI) on Energy Sector Supply Chain Review,”
January 14, 2022.
7
Ondrej Burkacky, Taeyoung Kim, and Inji Yeom, “Advanced chip packaging: How manufacturers can play to
win,” McKinsey, May 24, 2023.
8
Saif M. Khan and Alexander Mann, Policy Brief: “AI Chips: What They Are and Why They Matter, An AI Chips
Reference,” Center for Security and Emerging Technology, April 2020.
9
Antonio Varas, Raj Varadarajan, Ramiro Palma, Jimmy Goodrich, and Falan Yinug, “Strengthening the Global
Semiconductor Supply Chain in an Uncertain Era,” Boston Consulting Group, April 1, 2021.

9 | 98 Taipei Representative Office in Singapore


industrial and medical equipment. Semiconductors are in devices such as
smartphones and laptops, in communication infrastructures that form the
backbone of the internet, enabling global connectivity, and in medical devices
and equipment as well as implantable technology.10

As technology continues to evolve, we can expect to see even more


innovative uses for semiconductor chips in the future. Notably,
semiconductors form the basis of all advanced technologies, from wireless
communication, IoT, AI, quantum computing and clean energy. When it comes
to sustainability, semiconductors help advance methods for harnessing energy
from renewable sources and can be found in wind turbines and solar farms.
The constant evolution in semiconductor technology is paving the way for AI to
expand its reach into various fields, increasing its impact on our daily lives.11

Analysis by the Global Semiconductor Alliance showed that the


semiconductor industry helped create US$ 7 trillion in global economic activity
and contributed US$ 2.7 trillion directly and indirectly to global GDP in 2012 —
around 10% of global output.12 Since then, semiconductors have further
cemented their status as a foundational element of the modern and
increasingly digitized world. The importance of semiconductors is particularly
underscored by the drastic economic consequences of the semiconductor
shortage in 2021. The U.S. Department of Commerce reported that the
semiconductor shortage shaved an estimated US$ 240 billion off the country’s
GDP in 2021.13 According to Deloitte, the semiconductor shortage contributed
to lost revenue of more than US$ 500 billion worldwide, and US$ 210 billion in
the auto industry in 2021 alone.14

Moreover, cutting-edge semiconductors are considered “dual-use


goods,” meaning they have applications in both civilian and military products.15
They are key to critical infrastructure, such as energy and communications and
are essential for innovation and advancement in internal and external security,

10
Shiona McCallum, “What are semiconductors and how are they used?” BBC, August 4, 2023.
11
Syed Alam, Timothy Chu, Michael Kurniawan, Jaya Shukla and Yanamadala Chowdary, “Unleashing the full
potential of AI,” Accenture, November 21, 2022.
12
Global Semiconductor Alliance, “Why do Semiconductors Matter?” 2023. https://semismatter.com/why/
13
U.S. Department of Commerce, Press Release: “Analysis for CHIPS Act and BIA Briefing,” April 6, 2022.
14
Gill Hofmeyr, “2022 semiconductor industry outlook,” Deloitte, December 21, 2021.
15
Matthew Schleich, DipNote: “Securing Semiconductors: How to Scale-up Global Semiconductor Production
and Protect U.S. National Security at the Same Time,” U.S. Department of State, May 15, 2023.

10 | 98 Taipei Representative Office in Singapore


including defense and space.16 In the case of military technology, for example,
semiconductors and AI increasingly play a crucial role by enabling the
development and operation of advanced communication systems, radar
technology, weapons modeling systems, secure data encryption and modern
warfighting technologies such as unmanned aerial vehicles (UAVs or drones)
and cyber warfare. The U.S.A., for instance, has recognized the importance of
maintaining a robust domestic semiconductor industry as a matter of national
and economic security.17

 Semiconductor Industry Business Models

The semiconductor industry encompasses a diverse landscape of


companies with varying business models. Because of high degrees of
specialization and capital-intensive production processes, not many companies
are involved in the design and production of chips.

According to Gartner, the 10 largest semiconductor vendors in 2023


cover 49.3% or almost half of the sales market share. Some companies, such as
Intel, Samsung, SK Hynix, STMicroelectronics and Texas Instruments, are
involved in all production stages, whereas others such as Qualcomm,
Broadcom, NVIDIA, and Advanced Micro Devices, Inc. (AMD) are leading
companies that focus on semiconductor design (see Table 1).

16
Congressional Research Service, “Semiconductors and the Semiconductor Industry,” April 19, 2023.
17
The White House, Fact Sheet: “One Year after the CHIPS and Science Act, Biden-⁠Harris Administration Marks
Historic Progress in Bringing Semiconductor Supply Chains Home, Supporting Innovation, and Protecting
National Security,” August 9, 2023; National Institute of Science and Technology, U.S. Department of
Commerce, “Chips for America: National Security,” December 28, 2023.

11 | 98 Taipei Representative Office in Singapore


Table 1: Top 10 Semiconductor Vendors by Revenue Worldwide: 2023
Unit: US$ Billion

Market Company
Rank Vendor Revenue Share Headquarter Type
(%)
1 Intel 48.664 9.1 U.S.A. IDM
2 Samsung Electronics 39.905 7.5 South Korea IDM
3 Qualcomm 29.015 5.4 U.S.A. Fabless
4 Broadcom 25.585 4.8 U.S.A. Fabless
5 NVIDIA 23.983 4.5 U.S.A. Fabless
6 SK Hynix 22.756 4.3 South Korea IDM
7 AMD 22.305 4.2 U.S.A. Fabless
8 STMicroelectronics 17.057 3.2 Switzerland IDM
9 Apple 17.050 3.2 U.S.A. NA
10 Texas Instruments 16.537 3.1 U.S.A. IDM
Others (Outside top 10) 268.853 50.7
Total Market 533.025 100.0
Source: Gartner, “Gartner Says Worldwide Semiconductor Revenue Declined 11% in 2023,” January 16, 2024.

TSMC is a leading pure-play chip foundry serving fabless clients like


Apple, AMD, NVIDIA, and Qualcomm. According to Taiwan-based analyst Dan
Nystedt, TSMC generated US$ 69.3 billion in revenue last year (2023),
surpassing Intel's US$ 54.23 billion and Samsung's US$ 50.99 billion
semiconductor divisions (see Figure 1).18 This propelled TSMC to become the
world's largest semiconductor maker by revenue for the first time in 2023.19
TSMC is usually not listed among the top 10 semiconductor firms since it is a
supplier rather than a designer with chips under its brand name. Its leading-
edge manufacturing services, however, has made TSMC an indispensable
partner in producing advanced chips, fueling its rise to the top.20

18
The difference in semiconductor vendor rankings could be due to various factors, such as the criteria used
for ranking, the specific segments of revenue considered, or the timing of the data collection. Both compiled
the numbers from calendar-year revenue figures but Gartner’s results were published in January 2024 while
Dan Nystedt’s results were published in February 2024.
19
Zo Ahmed, “TSMC earns $69.3 billion in 2023 becoming world's largest semiconductor company by
revenue,” Techspot, February 6, 2024.
20
Keoni Everington, “Taiwan's TSMC becomes world's top chip maker by revenue for 1st time,” Taiwan News,
February 5, 2024.

12 | 98 Taipei Representative Office in Singapore


Figure 1: World’s Top Three Chip Manufacturers by Revenue: 2023

Source: Dan Nystedt, Twitter, February 4, 2024.

Depending on their level of integration and business model,


semiconductor companies can be categorized into four main types: integrated
device manufacturers (IDMs), fabless design firms, foundries and outsourced
semiconductor assembly and test (OSAT) companies.21 U.S.-headquartered
companies such as Intel and Texas Instruments are leading IDMs while NVIDIA,
Qualcomm, Broadcom and AMD are dominant fabless companies. Taiwan-
headquartered companies such as TSMC and United Microelectronics
Corporation (UMC) are key foundries while ASEH is a world leader in assembly,
packaging, and testing of semiconductors (see Table 2).

21
Antonio Varas, Raj Varadarajan, Ramiro Palma, Jimmy Goodrich, and Falan Yinug, “Strengthening the Global
Semiconductor Supply Chain in an Uncertain Era,” Boston Consulting Group, April 1, 2021.

13 | 98 Taipei Representative Office in Singapore


Table 2: Type of Semiconductor Company by Business Model
TYPE DESCRIPTION EXAMPLES
IDMs Companies that design, manufacture, and  Intel
sell their own semiconductor products. They  Samsung
handle both the design and production  STMicroelectronics
processes in-house.  Texas Instruments
Fabless Companies focus solely on chip design and  NVIDIA
companies development and outsources the  Qualcomm
manufacturing (fabrication) process to  AMD
external foundries.  MediaTek
Foundries Companies with specialized manufacturing  TSMC
facilities that produce semiconductor wafers  Samsung
based on designs provided by fabless  UMC
companies. They offer fabrication (front-  GlobalFoundries
end manufacturing) services to multiple
clients.

OSATs Companies handle the assembly, packaging,  ASE Technology


and testing (back-end manufacturing) of Holding
semiconductor chips. They take the bare  Amkor Technology
semiconductor dies (chips) and package
them into final products (such as integrated
circuits).

 Global Semiconductor Supply Chain

The semiconductor manufacturing ecosystem is a global network


characterized by its complexity and specialization. It involves a wide range of
highly specialized companies and institutions that are geographically dispersed
but interconnected through a supply chain encompassing the seven sectors,
each with its own specialized role (see Table 3).22 Each sector plays a crucial
role in the semiconductor ecosystem, contributing to the advancement of
technology and innovation.

22
Antonio Varas, Raj Varadarajan, Ramiro Palma, Jimmy Goodrich, and Falan Yinug, “Strengthening the Global
Semiconductor Supply Chain in an Uncertain Era,” Boston Consulting Group, April 1, 2021.

14 | 98 Taipei Representative Office in Singapore


Table 3: Sectors in Semiconductor Supply Chain
SECTOR DESCRIPTION
1. Research & The research and development (R&D) sector
Development (R&D) determines the future capabilities and performance
of semiconductor devices.
2. Design The blueprints for semiconductor devices, including
the architecture and circuit design are created by
engineers during this phase.
3. Front-End After the design stage, semiconductor chips are
Manufacturing: Wafer fabricated in facilities often referred to as fabs or
Fabrication foundries.
4. Back-End After the wafers are fabricated, they are cut into
Manufacturing: individual chips, assembled into packages, tested for
Assembly, Testing and quality and functionality, and then prepared for
Packaging (ATP) shipment.
5. Electronic Design EDA refers to the software tools used for designing
Automation (EDA) and semiconductor devices. Core IP involves the essential
Core Intellectual designs and patents that are part of the
Property (IP)* semiconductor devices.
6. Equipment and Tools* This sector provides the specialized machinery and
tools required for semiconductor manufacturing, such
as lithography equipment, etchers, and testers.
7. Materials* Semiconductors require high-purity materials, including
silicon, various gases, and chemicals used throughout
the manufacturing process.
* These components are considered a specialized support ecosystem of chip manufacturing.

Semiconductor companies must invest heavily in people, facilities, and


equipment. Due to the substantial capital expenditures required for research
and development (R&D), design, and manufacturing processes, the
semiconductor industry is characterized by its high barriers to entry. This
means that even though demand for semiconductors is global, only a handful
of countries and companies have the expertise, infrastructure and resources to
produce them.

The U.S. semiconductor firms maintain a leading position in R&D. In


2022, total U.S. semiconductor industry investment in R&D was US$ 58.8
billion, while total R&D and capital expenditures by U.S. semiconductor firms,

15 | 98 Taipei Representative Office in Singapore


including fabless companies, was US$ 109.6 billion.23 Additionally, the “CHIPS
for America” program, part of the broader “CHIPS and Science Act,” which was
signed into law on August 9, 2022, has an allocation of US$ 11 billion dedicated
to advancing semiconductor R&D. The U.S.A. dominates the semiconductor
design sector with companies like NVIDIA, Intel and Qualcomm.24

The U.S.A. also leads in design automation software known as electronic


design automation (EDA), and reusable pieces of intellectual property (IP),
called core IP. The top three EDA companies, namely, U.S.-based Cadence,
Synopsys, and Mentor Graphics (a U.S.-based subsidiary of the German firm
Siemens) control about 70% of the global EDA market. 25

The precision and control required in wafer fabrication make it one of


the most technology- and capital- intensive processes in manufacturing. In the
wafer fabrication sector, Taiwan’s TSMC holds the distinction of being both
Taiwan’s and the world’s largest foundry.

Table 4 shows the ranking of the global top 10 foundries by revenue in


the fourth quarter of 2023. TSMC had a global market share of 61.2%,
increasing from 57.9% in the third quarter and 56.4% in the second quarter.26
After TSMC, South Korea's Samsung Electronics Co. came in second in the
fourth quarter with a market share of 11.3% after generating US$ 3.62 billion
in sales, ahead of U.S.-based GlobalFoundries (US$ 1.85 billion in sales, 5.8% in
market share). Taiwan’s UMC ranked fourth, followed by China's
Semiconductor Manufacturing International Corporation (SMIC) and Huahong
Group. Israel's Tower Semiconductor Ltd., Taiwan’s Powerchip Semiconductor
Manufacturing Corporation (PSMC), China’s Nexchip, and Taiwan’s Vanguard
International Semiconductor Corporation (VIS) rounded out the top ten (see
Table 4).

23
Semiconductor Industry Association, 2023 SIA Factbook, May 5, 2023.
24
Matthew Fulco, “How Aerospace Can Improve Its Supply of Semiconductors,” Aviation Week, June 13, 2023.
25
Zeyi Yang, “Inside the software that will become the next battle front in US-China chip war,” MIT Technology
Review, August 18, 2022.
26
TrendForce, Press Release: “Global Top 10 Foundries Q4 Revenue Up 7.9%, Annual Total Hits US$ 111.54
Billion in 2023, Says TrendForce,” March 12, 2024.

16 | 98 Taipei Representative Office in Singapore


Table 4: Ranking of Global Top 10 Foundries by Revenue: 2023Q4
Unit: US$ million

Ranking Company Revenue Market Share


2023Q4 2023Q3 QoQ 2023Q4 2023Q3
1 TSMC (TW) 19,660 17,249 14.0% 61.2% 57.9%
2 Samsung (KR) 3,619 3,690 -1.9% 11.3% 12.4%
3 GlobalFoundries (USA) 1,854 1,852 0.1% 5.8% 6.2%
4 UMC (TW) 1,727 1,801 -4.1% 5.4% 6.0%
5 SMIC (CN) 1,678 1,620 3.6% 5.2% 5.4%
6 Huahong Group (CN) 657 766 -14.2% 2.0% 2.6%
7 Tower (IL) 352 358 -1.7% 1.1% 1.2%
8 PSMC (TW) 330 305 8.0% 1.0% 1.0%
9 Nexchip (CN) 308 283 9.1% 1.0% 1.0%
10 VIS (TW) 304 333 -8.7% 1.0% 1.1%
Total of Top 10 30,489 28,258 7.9% 95% 95%
Notes:
1. 2023Q4 USD: KRW= 1:1,321.85; USD: TWD= 1: 31.8177
2. Samsung’s figures only include revenue from Samsung Foundry.
3. PSMC’s revenue only derives from its foundry services.
4. Huahong Group’s revenue includes revenue from Shanghai Huahong Grace Semiconductor
Manufacturing Corporation and Shanghai Huali Microelectronics Corporation.
5. Huahong’s 3Q23 revenue is an estimation from TrendForce.
Source: TrendForce, Press Release: “Global Top 10 Foundries Q4 Revenue Up 7.9%, Annual Total Hits
US$ 111.54 Billion in 2023, Says TrendForce,” March 12, 2024.

Despite having a foundry market share of less than 1% in the fourth


quarter of 2023, Intel aims to become the second-largest foundry by 2030. It
announced its collaboration with UMC in January 2024, and is reportedly going
for Samsung’s customer base of tech companies and chip designers as it works
towards its goal of overtaking the South Korean conglomerate.27 Intel also
revealed its plans to retake the mantle of making the world's fastest chips from
TSMC later this year (2024) with what it calls Intel 18A manufacturing
technology and to extend that lead into 2026 with Intel 14A manufacturing
technology.28

27
Intel Corp., Press Release: “Intel and UMC Announce New Foundry Collaboration,” January 25, 2024.
28
Stephen Nellis and Max A. Cherney, “Intel signs Microsoft as foundry customer, says on track to overtake
TSMC,” Reuters, February 22, 2024; Matthew Connatser, “Intel Foundry is trying to poach Samsung's South
Korean customers in its bid to become world's second-biggest foundry: report,” Tom’s Hardware, March 4,
2024.

17 | 98 Taipei Representative Office in Singapore


Table 5: Ranking and Market Share of Global Top 10 Foundries by Revenue:
2022Q1-2023Q4
Market Share
Ranking Company
2023Q4 2023Q3 2023Q2 2023Q1 2022Q4 2022Q3 2022Q2 2022Q1

1 TSMC (TW) 61.2% 57.9% 56.4% 60.1% 58.5% 56.1% 53.4% 53.6%

2 Samsung (KR) 11.3% 12.4% 11.7% 12.4% 15.8% 15.5% 16.4% 16.3%

GlobalFoundries
3 5.8% 6.2% 6.7% 6.6% 6.2% 5.8% 5.9% 5.9%
(USA)
4 UMC (TW) 5.4% 6.0% 6.6% 6.4% 6.3% 6.9% 7.2% 6.9%
5 SMIC (CN) 5.2% 5.4% 5.6% 5.3% 4.7% 5.3% 5.6% 5.6%
Huahong Group
6 2.0% 2.6% 3.0% 3.0% 2.6% 3.3% 3.1% 3.2%
(CN)
7 Tower (IL) 1.1% 1.2% 1.3% 1.3% 1.2% 1.2% 1.3% 1.3%
8 PSMC (TW) 1.0% 1.0% 1.2% 1.2% 1.2% 1.6% 1.9% 2.0%

9 Nexchip (CN) 1.0% 1.0% n.a. n.a. n.a. 1.0% 1.4% 1.4%

10 VIS (TW) 1.0% 1.1% 1.2% 1.0% 0.9% 1.2% 1.5% 1.5%
Total of Top 10 95% 95% 94% 98% 98% 97% 98% 98%
Source: TrendForce, Press Release, “Global Top 10 Foundries Q4 Revenue Up 7.9%, Annual Total Hits US$
111.54 Billion in 2023, Says TrendForce,” March 12, 2024. TrendForce, Press Release, “Top 10 Foundries
Experience 7.9% QoQ Growth in 3Q23, with a Con nued Upward Trend Predicted for Q4, Says TrendForce,”
December 6, 2023. TrendForce, Press Release, “Top 10 Foundries Report Nearly 20% QoQ Revenue Decline in
1Q23, Con nued Slide Expected in Q2, Says TrendForce,” June 12, 2023. TrendForce, Press Release,” June 12,
2023. TrendForce, Press Release, “Global Top 10 Foundries’ Total Revenue Grew by 6% QoQ for 3Q22, but
Foundry Industry’s Revenue Performance Will Enter Correc on Period in 4Q22, Says TrendForce,” December 8,
2022. TrendForce, Press Release, “Consumer Terminals Market Reverses as Tide of Shortages Recedes, 2Q22
Output Value Growth at Top 10 Foundries Falls to 3.9% QoQ, Says TrendForce,” September 27, 2022.

Table 5 shows the ranking and market share of the global top 10
foundries by revenue from the first quarter of 2022 to the fourth quarter of
2023. The global foundry market is dominated by the top 10 foundries, which
captured 98% of the market for the most part of 2022 and dropped to 95% in
the fourth quarter of 2023. With the sole exception of TSMC, the global market
share of all other top foundries took a nosedive in the fourth quarter of 2023.

According to TrendForce, TSMC’s global market share has increased from


an average of 55.4% in 2022 to 58.9% in 2023, and even hit a record high of 61.2%
in the fourth quarter. As of the fourth quarter of last year, the company held a
61.2% market share in foundry, with Samsung trailing behind with 11.3%.
GlobalFoundries and UMC each took third and fourth places with shares of 5.8%
and 5.4%. SMIC was fifth with 5.2%. In contrast, the global market share of

18 | 98 Taipei Representative Office in Singapore


TSMC's main rival, Samsung Electronics, has dropped significantly from an
average of 16.0% in 2022 to 12.0% in 2023 (see Table 5).

Outside of leading foundries like TSMC, Samsung, and Intel, other


foundries tend to focus on the production of less advanced semiconductors.
The world’s third, fourth, and fifth largest foundries— U.S.-based
GlobalFoundries, Taiwan-based UMC, and China-based SMIC, are significant
players in more mature processes (28nm and above). By comparison, TSMC
reported in the fourth quarter of 2023 that 67% of its revenue was from
processes at or under 7 nm, and 82% from those at or below 28 nm.29 The
rapid growth in the AI sector also contributed to the demand for TSMC’s
advanced chips, further boosting its performance in 2023.30

After front-end fabrication of the chips, wafers are typically sent to other
facilities for back-end manufacturing activities such as assembly, testing, and
packaging (collectively known as ATP). Semiconductor packaging and testing is
largely a labor-intensive process involving precise handling, assembly, and
inspection of tiny and delicate semiconductor devices. The global
semiconductor packaging and testing industry is largely dominated by OSAT
companies. These firms specialize in providing third-party IC packaging and
testing services, including wafer bumping, wafer probing, IC packaging, and IC
testing. To take advantage of lower wages and input costs, a significant portion
of the world’s assembly, testing, and packaging (ATP) production is located in
Asia. The global top two OSAT companies are Taiwan’s ASEH and US-
headquartered Amkor Technology. 31

Semiconductor production is supported by a broad network of materials,


equipment, software design tools, and core intellectual property suppliers. For
example, semiconductor manufacturing equipment (SME) vendors specialize in
providing the machines necessary to produce chips. U.S.-headquartered firms
lead in the producing of nearly all types of semiconductor manufacturing
equipment except photolithography and wafer handling. Leading global
equipment suppliers headquartered in the United States include Applied
29
Taiwan Semiconductor Manufacturing Company Limited, TSMC Financial Results -2023Q4.
https://investor.tsmc.com/english/encrypt/files/encrypt_file/reports/2024-
01/3e43ab2cb1ddad664ef9fe09c6ae80fa69167eea/4Q23Presentation%28E%29.pdf
30
Jane Lanhee Lee, “TSMC halts sales declines after AI demand offsets chip malaise,” Bloomberg, January 10,
2024.
31
TrendForce, “Chinese Semiconductor Design Industry Diverts to Malaysia to Evade U.S. Controls; Potential
Advanced Packaging Orders Surge for ASE,” December 19, 2023.

19 | 98 Taipei Representative Office in Singapore


Materials, Lam Research, and KLA, which together control about 35% of the
global market for semiconductor production tools.32

The Netherlands’ ASML is the sole maker of a key technology - extreme


ultraviolet (EUV) photolithography - needed to manufacture the most
advanced chips.33 Meanwhile, four Japanese companies—JSR, Tokyo Ohka
Kogyo, Shin-Etsu Chemical, and Fujifilm Electronic Materials—control about
three-quarters of the market for high-end photoresists used in
photolithography and photoengraving of semiconductors. They also have a
near-monopoly on EUV lithography photoresists.

The semiconductor industry’s supply of silicon wafers, too, is dominated


by a few key players, including Japan’s Shin-Etsu Chemical and Sumco, and
Taiwan’s GlobalWafers.34

Each of the seven sectors across different countries must work in


harmony to ensure a smooth supply chain, from the initial concept to the final
product reaching the consumer. Disruptions in any part of this chain can have
significant ripple effects across multiple industries.

B. Global Industry Trends


 Market Growth

With nearly US$ 1.5 trillion in annual trade flows by 2022,


semiconductors are the world’s 4th most traded product, after only crude oil,
refined oil, and cars.35 A vital and dynamic sector, the global semiconductor
industry reported industry sales totaling US$ 526.8 billion in 2023.36 Sales
picked up during the second half of 2023. In fact, sales totaling US$ 146.0
billion in the fourth quarter of 2023 were 11.6% more than the total from the

32
Lauly Li, “U.S. chip tool makers eye Southeast Asia as China business shrinks,” Nikkei Asia, February 10, 2023.
33
Toby Sterling, “ASML's next chip challenge: rollout of its new $350 mln 'High NA EUV' machine,” Reuters,
February 10, 2024.
34
Yole Group, Press Release: “Globalwafers to mass produce advanced SiC wafers by 2025,” October 27, 2023.
35
Jennifer Meng, “Meeting of Semiconductor Associations from Around the World Underscores Importance of
Global Chip Sector Collaboration,” Semiconductor Industry Association, March 2, 2023.
36
Semiconductor Industry Association, Press Release: “Global Semiconductor Sales Decreases 8.2% in 2023;
Market Rebounds Late in Year,” February 5, 2024.

20 | 98 Taipei Representative Office in Singapore


fourth quarter of 2022 and 8.4% higher than the total from third quarter of
2023. As the industry recovers, a double-digit market growth is projected for
2024 (see Figure 2).

Figure 2: Prospects of Global Semiconductor Market by Quarter: 2019Q1-


2023Q4
US$ 100 Million

Source: Chia-Chen Lee, “Taiwan IC Industry Development in 2023Q4,” IEK, ITRI, March 19, 2024, p. 2.

Figure 3 shows the prospects of the global semiconductor market by


region and by quarter from the first quarter of 2019 to the fourth quarter of
2023. The global semiconductor market has experienced various shi s over the
period. During the period from 2020 to 2022, the global semiconductor
industry witnessed significant growth. In 2023, however, the semiconductor
markets across the globe experienced a downturn in sales. This decline was
influenced by various factors, including supply chain disrup ons, geopoli cal
tensions, and fluctua ons in demand.

21 | 98 Taipei Representative Office in Singapore


Figure 3: Prospects of Global Semiconductor Market by Region and by
Quarter: 2019Q1-2023Q4

Source: Chia-Chen Lee, “Taiwan IC Industry Development in 2023Q4,” IEK, ITRI, March 19, 2024, p. 3.

The semiconductor market in China faced significant challenges. The


Chinese semiconductor industry reported peak sales of US$ 51.5 billion in the
fourth quarter of 2021 but witnessed a sharp downturn in the first quarter of
2023, recording its lowest sales of US$ 33.3 billion since the first quarter of
2019. The U.S. government’s increased restric ons on semiconductor exports
to China, aimed at curbing the la er’s technological advancements for na onal
security reasons, have led to a sharp decline in China’s semiconductor
produc on and the lackluster market performance in the first quarter of 2023.
Since then, however, the semiconductor market in China has shown signs of
recovery and is expected to grow significantly in 2024 (see Figure 3).

Faced with demand fluctua ons, supply chain disrup ons, and
geopoli cal tensions, the semiconductor market in the Asia Pacific, the U.S.A
and Japan similarly saw a significant fall in the first quarter of 2023. In the case
of the semiconductor market in the U.S.A., sales dipped to a low of US$ 28.8
billion in the first quarter of 2023. Since then, the U.S. semiconductor market
has seen steady growth in sales, from US$ 31.0 billion in the second quarter to

22 | 98 Taipei Representative Office in Singapore


US$ 35.4 billion in the third quarter and then US$ 38.5 billion in the fourth
quarter of 2023. This growth in sales is expected to con nue in 2024. Like the
U.S.A., the Asia Pacific and Japan are expected to rebound and recover in 2024.

Compared to other regions, the European semiconductor market saw


less fluctua ons in its sales and was the only region to experience growth in
2023. In the first quarter of 2023, its sales stood at US$ 13.8 billion, peaked at
US$ 14.5 billion in the third quarter of 2023, and fell slightly to US$ 13.6 billion
in the fourth quarter of 2023. The growth in the European semiconductor
market is expected to con nue in 2024.

Figure 4: Prospects of Global Semiconductor Market: 2011-2026

Source: Chia-Chen Lee, “Taiwan IC Industry Development in 2023Q4,” IEK, ITRI, March 19,
2024, p. 4.

Figure 4 shows the prospects of global semiconductor market from 2011


to 2026. The global semiconductor market has witnessed significant growth
over the past decade, driven by technological advancements, increasing
demand for electronic devices, and emerging applications. In 2022, the

23 | 98 Taipei Representative Office in Singapore


industry recorded its highest-ever annual total of US$ 574.1 billion. Compared
to 2022, global semiconductor market shrank 8.2% in 2023, with sales totaling
US$ 526.8 billion. In 2024, the global semiconductor market is projected to
recover and continue this growth path in 2025 and 2026 (see Figure 4).

McKinsey projects the value of the global semiconductor market will


nearly double, surpassing US$ 1 trillion by 2030.37 Looking ahead, McKinsey
reports that about 70% of the global semiconductor industry growth in the
next decade is predicted to be driven by just three industries: automotive,
computation and data storage, and wireless communications (see Figure 5).

Figure 5: McKinsey’s Projection of the Global Semiconductor Market in 2030

Source: Ondrej Burkacky, Matteo Mancini, Mark Patel, Giulietta Poltronieri, and Taylor Roundtree, “Exploring
new regions: The greenfield opportunity in semiconductors,” McKinsey & Company, January 29, 2024.

Semiconductors are critical for the development of autonomous vehicles


and advanced driver-assistance systems (ADAS), which emphasizes
electrification, autonomous driving and connectivity.38 From advanced lighting
systems and adaptive headlights to lightweight electric vehicles,

37
Ondrej Burkacky, Julia Dragon, and Nikolaus Lehmann, “The semiconductor decade: A trillion-dollar
industry,” McKinsey and Company, April 1, 2022.
38
Infineon, “The future is now: how semiconductors are driving the evolution of mobility,” Business Reporter,
October 4, 2023.

24 | 98 Taipei Representative Office in Singapore


semiconductors enable improved safety, efficiency and connectivity on the
road, and drive the transformation to clean, safe and smart mobility.39
According to the International Data Corporation (IDC), ADAS accounts for the
largest share of the automotive semiconductor market, with a compound
annual growth rate (CAGR) of 19.8% by 2027, accounting for 30% of the
automotive semiconductor market in that year.40 As more and more
automotive electronics rely on chips, the demand for semiconductors will be
long-term and steady.

In the realm of computing and data analytics, advanced semiconductors


with feature sizes of 5nm and below play a pivotal role in enabling value
creation and growth in storage, compute, memory, and networking.41 When it
comes to the production of advanced semiconductors with feature sizes of
5nm and below, Taiwan stands out as a global leader. Semiconductors power
the algorithms and computations that underpin tasks driven by AI, including
predictive analytics and natural language processing, enabling businesses and
organizations to derive valuable insights from their data and make informed
decisions. They also play a crucial role in innovations like in-memory
processors and system-integrated memristor computing-in-memory chips,
which are redefining energy efficiency in data processing.42 In the longer term,
there are forecasts suggesting that AI chips (mainly generative AI chips) could
reach US$ 400 billion in sales by 2027.43

Additionally, semiconductors are essential in the development of


wireless communication networks and devices, particularly for achieving high-
frequency performance required for next-generation connectivity. Even before
the maturity of 5G, research and development of next generation 6G
technology is already underway. Market leader MediaTek, for example,
released its “6G Vision White Paper” in January 2022.44 With widespread

39
Ibid.
40
Miguel Carreon, Angel Wu and Helen Chiang, “The Semiconductor Market Will Recover in 2024 With an
Annual Growth Rate of 20%, Says IDC,” International Data Corporation, December 21, 2023.
41
Chetan Arvind Patil, “AI Silicon Opportunity: Revolutionising Memory, Storage, Compute, And Networking,”
Electronicsforu, January 18, 2024.
42
Jake Hertz, “International Researchers Move the Needle on Memristor Technology,” All About Circuits,
December 19, 2023.
43
Christie Simons and Brandon Kulik, “2024 global semiconductor industry outlook,” Deloitte, November 29,
2023.
44
MediaTek, Press Release: “MediaTek Highlights How 6G Will Accelerate Digital Transformation in New White
Paper,” January 18, 2022.

25 | 98 Taipei Representative Office in Singapore


rollout of 6G wireless technology projected to happen in 2030, semiconductors
for wireless communications are expected to be a major growth engine.45

While the global semiconductor market size is expected to grow from


US$ 633.0 billion in 2024 to US$ 762.9 billion in 2026, before falling to
US$ 751.6 billion in 2027, the global AI semiconductor market size is expected
to continue its strong growth trajectory from 2022 to 2027, with double-digit
growth from 2023 to 2027. When the global semiconductor market declined
12.3% to US$ 525.9 billion in 2023, the Al semiconductor market was
forecasted to grow from US$ 44.2 billion in 2022 to US$ 53.4 billion in 2023, an
increase of 20.9% from 2022, according to Gartner. By 2027, AI chips revenue
is expected to be more than double the size of the market in 2023, reaching
US$ 119.4 billion. (see Figure 6 and Table 6).

Figure 6: Global AI Semiconductor Market Trend: 2022-2027

2022 2023(e) 2024(f) 2025(f) 2026(f) 2027(f)

Source: Hsuan Chih Wang, "The AI Semiconductor Market Shows Strong Growth, with Emerging Consumer AI
Applica ons," IEK, ITRI, October 2, 2023, p. 1.

45
ASE Global, “5G/6G Wireless Communications,” 2023. https://ase.aseglobal.com/applications/5g-6g/

26 | 98 Taipei Representative Office in Singapore


Table 6: Global AI Semiconductor Market Growth Trend: 2023-2027
2023 2024(e) 2025(f) 2026(f) 2027(f)
Global Semiconductor Market -12.3% 20.4% 14.3% 5.4% -1.5%
Global AI Semiconductor Market 20.9% 25.6% 23.2% 19.8% 20.5%
Source: Hsuan Chih Wang, "The AI Semiconductor Market Shows Strong Growth, with Emerging Consumer AI
Applica ons," IEK, ITRI, October 2, 2023, p. 1.

The growing use of devices and applications with AI and other new
technologies across the globe means that semiconductors are fundamental to
the digital transformation shaping our world and the outlook is optimistic for
the semiconductor industry.46

 Chip Miniaturization

The demand for smaller, more powerful, and energy-efficient chips


continues to drive innovation in chip design. The transition to advanced
semiconductor manufacturing processes allows for the creation of more
densely packed transistors, resulting in chips that deliver higher performance
while consuming less power.

TSMC, Samsung and Intel, collectively known as the “Big Three” in the
industry, are recognized for their significant contributions to semiconductor
manufacturing and technological advancements. Currently, TSMC and
Samsung are producing 3 nanometer (nm) semiconductors while Intel is at the
5 nm mark. All three aim to begin mass production using the 2 nm process
technology in 2025.47 Intel unveiled its ambitious plan to collaborate with
Microsoft in manufacturing 1.8 nm chips by the end of 2024, with the ultimate
goal of surpassing Samsung Electronics and becoming the world's second-
largest chipmaker through the successful production of 1.4 nm chips by 2027.48
Samsung aims to achieve mass production at the 1.4 nm level by 2027, while
TSMC is targeting 2027 to 2028, according to various media reports.49

46
Parichart Jiravachara, “How semiconductor plays as a crucial element for economy,” Deloitte, March 2022.
47
Yifan Yu, “Intel joins 1.4-nanometer chip race against TSMC and Samsung,” Nikkei Asia, February 22, 2024.
48
Hyoun-Soo Kim, “Intel and Microsoft forge alliance to mass produce 1.8nm chip,” Dong-a Ilbo, February. 23,
2024.
49
Yifan Yu, “Intel joins 1.4-nanometer chip race against TSMC and Samsung,” Nikkei Asia, February 22, 2024.

27 | 98 Taipei Representative Office in Singapore


 Growth of Advanced Semiconductor Packaging

Advanced semiconductor packaging is not one specific packaging


technique, but rather an array of technologies and capabilities that allow the
combination of multiple chips and other components to form a highly
integrated, multi-functional sub-system which can then be assembled onto
laminates, panels, or circuit boards. Advanced packaging is categorized as
front-end 3D which stacks chips or wafers vertically and back-end 2.5D Chip-
on-Wafer-on-Substrate (CoWoS) that interconnects dies horizontally via a
redistribution layer (RDL) or interposer.50 Compared to conventionally
packaged chips on a printed circuit board, advanced packaging achieves
greater function, performance, and power savings.51

With Moore's Law becoming more challenging; demands of electronic


products with smaller size, more powerful performance and lower cost
growing; the need for improved connectivity rising; and a surge in the use of
high-performance chips requiring better heat dissipation, there is a growing
shift towards prioritizing advanced packaging. Moreover, advanced packaging
offers a higher-value opportunity than traditional back-end packaging, and
more players are jumping on the advanced semiconductor packaging
bandwagon.52

Foundries like TSMC, IDMs such as Intel and Samsung, and OSAT
companies are important players in the global semiconductor packaging and
testing industry.53 The global semiconductor packaging and testing industry is
largely dominated by OSAT companies. These firms specialize in providing
third-party IC packaging and testing services, including wafer bumping, wafer
probing, IC packaging, and IC testing. The global top two OSAT companies are
Taiwan’s Advanced Semiconductor Engineering (ASE) Technology Holding and
US-headquartered Amkor Technology.54

50
Counterpoint, “AI Chip Market: Advanced Packaging Capabilities Key Differentiating Factor,” July 24, 2023.
51
National Institute of Standards and Technology, Department of Commerce, United States, “National
Advanced Packaging Manufacturing Program”, November 20, 2023.
52
Ondrej Burkacky, Taeyoung Kim, and Inji Yeom, “Advanced chip packaging: How manufacturers can play to
win,” McKinsey & Company, May 24, 2023.
53
TrendForce, “Chinese Semiconductor Design Industry Diverts to Malaysia to Evade U.S. Controls; Potential
Advanced Packaging Orders Surge for ASE,” December 19, 2023.
54
Ibid.

28 | 98 Taipei Representative Office in Singapore


The AI boom has driven the development of high-performance
compu ng chips (HPC) and sparked the demand for advanced semiconductor
packaging technologies. Demand for advanced packaging by AI chipmakers, like
NVIDIA and AMD, is skyrocke ng as they seek to improve chip performance.55
Consequently, the advanced packaging market is rapidly catching up with the
tradi onal packaging market, and it is expected that the advanced packaging
por on of the total semiconductor packaging market will increase from 47% in
2022 to 58% in 2028, with a compound annual growth rate (CAGR) of 10%. In
fact, the whole semiconductor packaging market is expected to reach US$
136.1 billion by 2028 (see Figure 7).

Figure 7: Global Market Share of Advanced Packaging: 2028

Source: Yunnie Chang, "The Sustainable Management of Semiconductor Packaging and Tes ng," IEK, ITRI,
October 30, 2023, p.14.

Given the rapidly expanding demand for advanced packaging, it is


unsurprising that leading chipmakers and OSAT companies are investing in new
technologies and expanding their capabilities to meet the growing demand for
advanced packaging solutions.

55
Lucy Harley-McKeown, “How AI boom will benefit these nine chipmakers in 2024,” Yahoo Finance,
December 27, 2023.

29 | 98 Taipei Representative Office in Singapore


 Development and Innovation of Various Day-to-day and
Industrial Applications

By delivering new functionalities, better performance and lower cost


with each generation, advances in chips have spawned new products and have
had a profound impact on various fields. It is expected that more AI functions
will be integrated into personal devices starting in 2024. AI smartphones, AI
PCs, and AI wearable devices will be gradually launched into the market. This,
in turn, will positively stimulate the increase in demand for semiconductors
and advanced packaging.

Artificial Intelligence

2023 has been described as generative AI’s breakout year.56 Following


the unprecedented success of OpenAI’s ChatGPT when it was launched in
November of 2022, other generative AI tools such as Google’s Gemini and
Microsoft’s Copilot AI were introduced in quick succession. As the year
progressed, numerous startups also emerged to innovate with generative AI,
leading to the growing use of generative AI in data centers in the near term.57

As generative AI is ushered to the mainstream, more users are


experimenting directly with generative AI applications and the demand for
consumer goods with AI applications amplifies across the globe.

With the increasing use of a wide range AI-based applications in data


centers, edge infrastructure and endpoint devices, the demand for high-
performance graphics processing units (GPUs) and optimized semiconductor
devices is soaring. 58 In fact, worldwide shipments of AI PCs and generative AI
smartphones are projected to total 295 million units by the end of 2024, up
from 29 million units in 2023, according to a forecast from Gartner, Inc.59
Gartner estimates 240 million generative AI smartphones and 54.5 million AI

56
Alex Singla, Alexander Sukharevsky, Bryce Hall, Lareina Yee and Michael Chui, “The state of AI in 2023:
Generative AI’s breakout year,” McKinsey, August 1, 2023.
57
Lori Perri, “What’s New in Artificial Intelligence from the 2023 Gartner Hype Cycle,” Gartner, August 17,
2023.
58
Alan Priestley, “Forecast: AI Semiconductors, Worldwide, 2021-2027, 3Q23 Update,” Gartner Research,
October 17, 2023.
59
Gartner, Press Release: “Gartner Predicts Worldwide Shipments of AI PCs and GenAI Smartphones to Total
295 Million Units in 2024,” February 7, 2024.

30 | 98 Taipei Representative Office in Singapore


PCs will be shipped by the end of 2024 (see Figure 8). This will represent 22%
of basic and premium smartphones and 22% of all PCs in 2024.

Figure 8: AI PCs and Generative AI Smartphones Market Share Worldwide:


2023-2025
AI PC Share of All PCs
Generative AI Smartphones
Generative AI Share
AI PCs
of Basic and
Premium
Smartphones

Source: Gartner, Press Release: “Gartner Predicts Worldwide Shipments of AI PCs and GenAI Smartphones to
Total 295 Million Units in 2024,” February 7, 2024.

Top companies in the AI semiconductor market include NVIDIA, AMD


and Intel Corporation. All three recognize the significant potential of AI-
powered personal computers and are heavily investing in the AI PC market. At
the recent CES 2024, all three unveiled processors specifically for AI PCs.60
These chips feature a dedicated neural processing unit (NPU), offering
enhanced data privacy, longer battery life, and expanded AI applications
compared to regular PCs. However, in contrast to Gartner’s optimistic outlook
on AI PCs, AMD struck a more cautious tone, acknowledging that widespread
consumer adoption of AI PCs may be slow, as the initial models will not differ

60
Yifan Yu, “AMD and Intel bet on AI PCs to challenge Nvidia chip dominance,” Nikkei Asia, January 11, 2024;
NVIDIA, Press Release: “NVIDIA Brings Generative AI to Millions, With Tensor Core GPUs, LLMs, Tools for RTX
PCs and Workstations,” January 8, 2024.

31 | 98 Taipei Representative Office in Singapore


significantly from traditional PCs until more AI-native applications are
developed.61

Internet of Things (IoT)

IoT applications cannot work without sensors and integrated circuits, so


all IoT devices will require semiconductors to allow for the seamless
integration of physical objects into the digital world, enabling smart homes,
smart cities, and industrial automation.

The potential economic value that the IoT could unlock is large and
growing. By 2030, McKinsey estimates that it could enable US$ 5.5 trillion to
US$ 12.6 trillion in value globally, including the value captured by customers of
IoT products and services.62

Electric Vehicles (EVs)

In the automotive sector, semiconductors are key components in the


electrification of vehicles. A typical car, with an internal combustion engine,
today uses between 50 and 150 chips to run onboard electronics that manage
lighting, fuel efficiency, and torque vectoring. When it comes to electric
vehicles (EVs), where everything runs on electricity or a radio frequency signal
that is managed by semiconductors, there is an exponential rise in the number
of chips. Currently, some of the latest EVs use more than 3,000 chips.63 In fact,
the amount of silicon content in most cars is slated to exceed US$3,000
compared to US$ 300-350 a decade ago.64 For high-end EVs, the chip price tag
could go up to as much as US$ 6,000 per unit in the near future.65 A report by
the Rocky Mountain Institute (RMI) predicts that two thirds of global car sales

61
Benzinga, “Nvidia Leads AI Chip Rivalry with New GPUs, While AMD and Intel Bet Big on AI PCs,” Business
Insider, January 11, 2024.
62
Michael Chui, Mark Collins, and Mark Patel, “IoT value set to accelerate through 2030: Where and how to
capture it,” McKinsey & Company, November 9, 2021.
63
Economic Development Board, Singapore, “Big hopes as Singapore gears up to ride EV microchip boom,”
February 6, 2024.
64
Doug Parker and Christopher Thomas, “Winning Share in Automotive Semiconductors,” McKinsey &
Company, Autumn 2013; Third Bridge, “Automotive Chip Procurement – Silicon Content Per Vehicle and
OEM Prioritisation,” March 2022.
65
Economic Development Board, Singapore, “Big hopes as Singapore gears up to ride EV microchip boom,”
February 6, 2024.

32 | 98 Taipei Representative Office in Singapore


could be EVs by 2030.66 On Semiconductor, Infineon, and STMicroelectronics
are some of the IDMs that produce chips for Electric Vehicles (EVs).

C. Geo-politics and Policies in the Global


Semiconductor Supply Chain
The onset of the trade war and technology war between the United
States and China in 2018, the outbreak of the COVID-19 pandemic in 2020 and
natural disasters such as earthquakes have exposed the vulnerabilities of a
highly geographically concentrated global semiconductor supply chain. With
the rise of AI, the connection between semiconductors and military, economic,
and geopolitical strength has further intensified. Similar to the centrality of oil
in the past century, semiconductors now occupy a central role in geopolitics,
great-power rivalries, and trade, and have increasingly become a significant
point of contention in the US-China relationship.

 U.S. – China conflict over Semiconductors

The United States is presently the global leader in the semiconductor


industry. In 2022, the U.S.A. had a 48% (US$ 258 billion) market share, while
South Korea had 19%, the E.U. and Japan each had 9%, Taiwan had 8%, and
China accounted for 7% of the global chip sales (US$ $574 billion market).67
Semiconductors were the fifth largest U.S. export; and the industry directly
employed more than 300,000 people in the U.S. and supported nearly 1.8
million additional U.S. jobs in 2022.68 However, the global share of
semiconductors manufactured in the United States has declined from 37% in
1990 to about 12% in 2022.69

Recognizing the strategic importance of the semiconductor industry,


countries like Taiwan, South Korea, Japan, China, India, Singapore, and

66
Nick Carey, “As prices fall, two thirds of global car sales could be EVs by 2030, study says,” Reuters,
September 15, 2023.
67
Semiconductor Industry Association, 2023 SIA Factbook, May 5, 2023. https://www.semiconductors.org/wp-
content/uploads/2023/05/SIA-2023-Factbook_1.pdf. Accessed April 1, 2024.
68
Semiconductor Industry Association, 2022 SIA Factbook, May 5, 2022.
https://www.semiconductors.org/wpcontent/uploads/2022/05/SIA-2022-Factbook_May-2022.pdf. Accessed
April 1, 2024.
69
Semiconductor Industry Association, Press Release: “SIA Applauds Enactment of CHIPS Act,” August 9, 2022.

33 | 98 Taipei Representative Office in Singapore


Malaysia actively collaborate to drive innovation, foster talent, and secure
their positions in the global market. Taiwan, for example, is home to TSMC, a
world leader in semiconductor fabrication, South Korea is home to tech giants
Samsung Electronics and SK Hynix, while Singapore hosts international
semiconductor companies such as GlobalFoundries.70

Figure 9: Share of Monthly IC Produc on in Various Areas: 2023

Source: Chia-Chen Lee, "Policy-Driven Regional Semiconductor Manufacturing development," IEK, ITRI, March
20, 2024, p. 1.
Note: The above sta s cs encompass the en re global integrated circuit (IC) produc on, which includes not
only pure-play foundries but also integrated device manufacturers (IDMs) and other types of semiconductor
companies.

Figure 9 shows the global distribution of monthly production capacity of


8-inch equivalent integrated chips (ICs) from pure-play foundries, IDMs and
other types of semiconductor companies in various areas in 2023. According to
Knometa Research, the total global monthly production capacity of ICs
(including image sensors) on 8-inch equivalent wafers in 2023 was
approximately 23.2 million wafers, and this is expected to increase to 24.3
million units per month in 2024.

70
Economic Development Board Singapore, Press Release: “GlobalFoundries officially opens US$4 billion
expansion facility in Singapore, creating 1,000 new jobs,” September 12, 2023.

34 | 98 Taipei Representative Office in Singapore


In terms of production bases (include fabs of domestic and foreign-
invested companies in the country), South Korea tops the list with its
production of close to 5.2 million wafers per month, accounting for 22.2% of
the global capacity. Taiwan ranks a close second with 5.1 million wafers per
month, accounting for 22.0% of global capacity. Third-ranked China produces
4.4 million wafers per month, accounting for 19.1% of global capacity. Japan
ranks fourth with 3.1 million wafers per month, accounting for 13.4% of global
capacity. The Americas ranks fifth with almost 2.6 million wafers per month,
accounting for 11.2% of global capacity. Sixth-ranked Europe produces 1.1
million wafers per month, accounting for 4.8% of global capacity. Other regions
(including semiconductor fabs in Singapore, Israel, and Malaysia) have a
combined capacity of nearly 1.7 million wafers per month, accounting for 7.3%
of global capacity (see Figure 9).

In terms of headquartered bases (include fabs in home country and


various host countries of multinational semiconductor companies), South
Korea again tops the list with 6.7 million wafers per month, accounting for
29.0% of global capacity. Taiwan ranks second with 5.4 million wafers per
month, accounting for 23.2% of global capacity. Third-ranked Americas
produces 4.7 million wafers monthly (accounting for 20.3% of global capacity).
China ranks fourth with 2.6 million wafers per month, accounting for 11.1% of
global capacity. Fifth-ranked Japan produces 2.5 million wafers monthly,
accounting for 10.6% of global capacity. Europe ranks sixth, with 1.138 million
wafers per month, accounting for 4.9% of global capacity. Other regions have a
combined capacity of 0.2 million wafers per month, accounting for 0.9% of
global capacity (see Figure 9).

Both Taiwan and South Korea consistently lead in production capacity,


whether in terms of production by only semiconductor fabs based in the
country or including those at home and produced outside the country. Overall,
Taiwan contributes 22.0% of global capacity, while South Korea boasts nearly
30% of the world’s production capacity.

When a comparison is made between the countries where companies


are headquartered and where wafer fabs are located, we can see a more
pronounced difference in the capacity share of the Americas, China, and other
regions under these two categories. As of 2023, although American companies

35 | 98 Taipei Representative Office in Singapore


have 20.3% of the global capacity, only 11.2% of the global manufacturing
capacity is in the Americas. This reflects the industry model in the Americas,
which is mainly based on IC design, and the result of high operating costs faced
by manufacturers setting up factories locally.

Meanwhile, China has 19.1% of the global capacity but the actual
capacity owned by Chinese companies only accounts for 11.1% of the total
global capacity (see Figure 9). Many foreign companies operate wafer fabs in
China, such as SK Hynix owning NAND and DRAM fabs, Samsung Electronics
owning NAND fabs, TSMC and UMC owning wafer foundries, and Texas
Instruments having a manufacturing base in Chengdu.

The U.S.-China conflict over semiconductors has put chip design and
manufacturing at the forefront. With both countries recognizing the strategic
importance of semiconductor technology, competition in this sector is
expected to intensify.

Citing national security, the Bureau of Industry and Security (BIS) of the
United States’s Department of Commerce implemented export controls on
advanced chips to China. The export controls on semiconductors aimed at
restricting China’s ability to both purchase and manufacture certain high-end
chips that could fuel breakthroughs in AI and give China a military advantage.71
This is especially important in the face of China’s military-civil fusion strategy
that targets technologies such as quantum computing, semiconductors, 5G,
nuclear technology, aerospace technology, gene editing and AI to achieve
military dominance.72 Furthermore, China has set 2030 as its target date to
become a global leader in AI, with the subsequent goal of putting the People’s
Liberation Army on par with the U.S. military by 2035 — a goal the U.S. export
controls intend to complicate.73 The move by the U.S.A. has escalated tensions,
with China accusing the United States of weaponizing trade and tech issues.

71
U.S. Department of Commerce’s Bureau of Industry and Security (BIS), Press Release: “Commerce
Implements New Export Controls on Advanced Computing and Semiconductor Manufacturing Items to the
People’s Republic of China (PRC),” October 7, 2022; U.S. Department of Commerce’s Bureau of Industry and
Security (BIS), Press Release: “Commerce Strengthens Restrictions on Advanced Computing Semiconductors,
Semiconductor Manufacturing Equipment, and Supercomputing Items to Countries of Concern,” October 17,
2023.
72
Nicholas R. Licata, “China’s Military-Civil Fusion Strategy: A Blueprint for Technological Superiority,” Foreign
Policy Research Institute, December 19, 2023.
73
Manya Koetse, “In the race for AI supremacy, China and the US are travelling on entirely different tracks,”
The Guardian, January 9, 2024.

36 | 98 Taipei Representative Office in Singapore


In late January 2023, the United States also joined forces with the
Netherlands and Japan to restrict China’s acquisition of semiconductor
equipment tools (including deep ultraviolet lithography systems and extreme
ultraviolet lithography systems), materials, specialty chemicals, software (EDA
and IP) capabilities.74 Meanwhile, BIS has indicated that it is continually
assessing the effectiveness of its advanced chips controls and signaled
potential future updates are likely.75

In response to the U.S. export controls on semiconductors, China lodged


a complaint with the World Trade Organization (WTO) on December 12,
2022,76 banned the use of American Micron's chips in critical infrastructure
projects on May 21, 2023,77 and implemented export restrictions on
germanium and gallium products from August 1, 2023.78 With state support,
the Chinese tech industry has also accelerated its push for semiconductor self-
sufficiency and indigenous production.79

As part of its campaign to replace foreign technology with homegrown


solutions, China's industry ministry issued stricter procurement guidelines in
late December 2023. 80 In its statement were three separate lists of CPUs,
operating systems and centralized database deemed "safe and reliable" for
three years after the publication date, all from Chinese companies. These new
guidelines will not only mean the phasing out of U.S. microprocessors from
Intel and AMD from government personal computers and servers in China but
would also sideline Microsoft's Windows operating system and foreign-made
database software in favor of domestic Chinese options. Faced with sanctions
and export controls imposed by other countries, the procurement revamp is
74
Helen Chiang, “China’s Three-Way Recipe for Semiconductor Autonomy and Global Industry Impact,”
International Data Corporation, February 26, 2024.
75
Shearman & Sterling LLP, Perspectives: “U.S. Clarifies and Strengthens Restrictions on Semiconductor
Exports to China, November 14, 2023.
76
World Trade Organization, “China initiates WTO dispute complaint targeting US semiconductor chip
measures,” December 15, 2022.
77
David Lawder, “US 'won't tolerate' China's ban on Micron chips, commerce secretary says,” Reuters, May 28,
2023.
78
Mia Nulimaimaiti, “China’s gallium and germanium exports tumble as controls on shipments to the West
take toll,” South China Morning Post, January 21, 2024.
79
Winston Mok, “For semiconductor self-sufficiency, China must collaborate, not just innovate,” South China
Morning Post, March 12, 2024. Refer to November 2023 issue of “Taiwan and the Global Semiconductor
Supply Chain” for more details on the U.S.-China conflict over semiconductors.
80
Akanksha Khushi, “China blocks use of Intel and AMD chips in government computers, FT reports,” Reuters,
March 24, 2024.

37 | 98 Taipei Representative Office in Singapore


part of China’s national strategy to empower local champions and foster
technology self-sufficiency in the military, government, and state sectors.81

The strained relations between the United States and China have led to
significant disruptions and a reorientation of the global semiconductor supply
chain.82 Several multinational semiconductor companies are diversifying their
investments away from China to enhance their supply chain resilience.83 The
2023 American Business in China White Paper published by the American
Chamber of Commerce in China (AmCham China) in April 2023 finds 87% of
U.S. firms expressing pessimism regarding the outlook for the relationship
between the world’s two largest economies.84 In a survey released by the
AmCham Shanghai in September 2023, some 40% of companies responded
that they are moving investments elsewhere from China.85

 Semiconductor Policies of U.S.A., China, and India

The conflict over semiconductors between the U.S.A. and China has had
far-reaching implications on the global semiconductor supply chain and the
broader technology industry. This has prompted policy responses not only
from the U.S.A. and China but also from other countries, including emerging
players like India.

The U.S.A.

In response to China’s growing semiconductor capabilities, the U.S.A.


passed the “Creating Helpful Incentives to Produce Semiconductors (CHIPS)
and Science Act”. Signed into law on August 9, 2022, the CHIPS and Science Act
aims at bolstering U.S. leadership in semiconductors, and provides US$ 52.7
billion for American semiconductor research, development, manufacturing,
and workforce development. This includes US$ 39 billion in manufacturing
incentives, including US$ 2 billion for the legacy chips used in automobiles and

81
Ryan McMorrow, Nian Liu and Qianer Liu, “China blocks use of Intel and AMD chips in government
computers: report,” Financial Times, March 24, 2024.
82
Jeremy Mark and Dexter Tiff Roberts, “United States–China semiconductor standoff: A supply chain under
stress,” Atlantic Council, February 23, 2023.
83
Indo-Asian News Service (IANS), “New investments in semiconductor chips will remain in Asia but moving
away from China: Moody's Analytics,” February 29, 2024.
84
Jeff Pao, “More US firms looking elsewhere: AmCham China,” Asia Times, April 27, 2023.
85
The Economist, “Business in China: Exit the Dragon,” September 26, 2023.

38 | 98 Taipei Representative Office in Singapore


defense systems, US$ 13.2 billion in R&D and workforce development, and
US$ 500 million to provide for international information communications
technology security and semiconductor supply chain activities. It also provides
a 25% investment tax credit for capital expenses for the manufacturing of
semiconductors and related equipment (see Table 7).

According to U.S. Secretary of Commerce Gina Raimondo, the CHIPS Act


will ensure that the world’s most advanced chips are manufactured on
American soil by attracting investments, building up a robust semiconductor
supplier and R&D ecosystem for innovating new process technologies, and
drawing talented individuals to join the ecosystem.86

86
The U.S. Department of Commerce, “Remarks by U.S. Secretary of Commerce Gina Raimondo: The CHIPS Act
and a Long-term Vision for America’s Technological Leadership”, February 23, 2023.

39 | 98 Taipei Representative Office in Singapore


Table 7: CHIPS and Science Act provides US$ 52.7 billion for U.S.
semiconductor research, development, and manufacturing
Semiconductor Manufacturing and Research & Development
US$ 39 Billion Manufacturing Incentives:
 Build, expand, or modernize domestic facilities and equipment for semiconductor
fabrication, assembly, testing, advanced packaging, or research and development, including
US$ 2 billion specifically for mature semiconductors.
US$ 11 Billion for Research and Development (R&D):
 DOC National Semiconductor Technology Center (NSTC):
o A public-private partnership to conduct advanced semiconductor manufacturing R&D
and prototyping; invest in new technologies; and expand workforce training and
development opportunities.
 DOC National Advanced Packaging Manufacturing Program:
o A Federal R&D program to strengthen advanced assembly, test, and packaging (ATP)
capabilities, in coordination with the NSTC.
 DOC Manufacturing USA Semiconductor Institute:
o A partnership between government, industry, and academia to research virtualization
of semiconductor machinery, develop ATP capabilities, and design and disseminate
training.
 DOC Microelectronics Metrology R&D:
o A National Institute of Standards and Technology (NIST) research program to advance
measurement science, standards, material characterization, instrumentation, testing,
and manufacturing capabilities.
 DOC Economic Development Administration (EDA)’s Tech Hub Program
o Designation of Tech Hubs in regions across the country to drive regional innovation and
job creation.
o Award of Strategy Development Grants (SDG) to help communities significantly increase
local coordination and planning activities.

Defense, Technology Security and Workforce Development


 CHIPS for America Defense Fund:
o US$ 2 billion for the DOD to implement the Microelectronics Commons, a national
network for onshore, university-based prototyping, lab-to-fab transition of
semiconductor technologies—including DOD-unique applications—and semiconductor
workforce training.
 CHIPS for America International Technology Security and Innovation Fund:
o US$ 500 million for the Department of State, in coordination with the U.S. Agency for
International Development, the Export-Import Bank, and the U.S. International
Development Finance Corporation, to support international information and
communications technology security and semiconductor supply chain activities,
including supporting the development and adoption of secure and trusted
telecommunications technologies, semiconductors, and other emerging technologies.
 CHIPS for America Workforce and Education Fund:
o US$ 200 million to kick start development of the domestic semiconductor workforce,
which faces near-term labor shortages, by leveraging activities of the National Science
Foundation.

Source: US Department of Commerce, CHIPS and Science Act of 2022: Division A Summary - CHIPS and ORAN
Investment, July 2022, and U.S. Economic Development Administration’s Press Release: “Biden-Harris
Administration Designates 31 Tech Hubs Across America”, October 23, 2023.

40 | 98 Taipei Representative Office in Singapore


To date, the following preliminary memorandums of terms (PMT) under
the CHIPS and Science Act have been announced:

1. BAE Systems Electronic Systems: On December 11, 2023, the U.S.


Administration announced US$ 35 million to support the
modernization of the company’s Microelectronics Center in Nashua,
New Hampshire. This facility will produce chips that are essential to
U.S. national security, including for use in F-35 fighter jets.87
2. Microchip Technology Inc.: On January 4, 2024, the U.S.
Administration announced US$ 162 million for Microchip Technology
to increase its production of microcontroller units and other specialty
semiconductors, and to support the modernization and expansion of
fabrication facilities in Colorado Springs, Colorado, and Gresham,
Oregon.88
3. GlobalFoundries: In February 2024, the U.S. Administration
announced US$ 1.5 billion for GlobalFoundries to support the
development and expansion of facilities in Malta, New York, and
Burlington, Vermont.89
4. Intel: On March 20, 2024, the White House announced that it would
provide Intel with US$ 8.5 billion in funding and up to US$ 11 billion
in loans through the CHIPS Act. Intel said the money would fund its
projects in Ohio, Arizona and New Mexico, and its research and
development facility in Oregon.90
5. TSMC: On April 8, 2024, the Biden administration announced the
award of up to US$ 6.6 billion in direct funding and up to US$ 5 billion
in loans to TSMC to build three greenfield leading-edge fabs in
Phoenix, Arizona. The first fab will produce 3 nm process
technologies, the second will produce the world’s most advanced 2

87
U.S. Department of Commerce, Press Release: “Biden-Harris Administration and BAE Systems, Inc.,
Announce CHIPS Preliminary Terms to Support Critical U.S. National Security Project in Nashua, New
Hampshire,” December, 11, 2023.
88
U.S. Department of Commerce, Press Release: “Biden-Harris Administration Announces CHIPS Preliminary
Terms with Microchip Technology to Strengthen Supply Chain Resilience for America’s Automotive,
Defense, and Aerospace Industries,” January 4, 2024.
89
U.S. Department of Commerce, Press Release: “Biden-Harris Administration Announces Preliminary Terms
with GlobalFoundries to Strengthen Domestic Legacy Chip Supply for U.S. Auto and Defense Industries,”
February 19, 2024.
90
The White House, Fact Sheet: “President Biden Announces Up To $8.5 Billion Preliminary Agreement with
Intel under the CHIPS & Science Act,” March 20, 2024; U.S. Department of Commerce, Press Release:
“Biden-Harris Administration Announces Preliminary Terms with Intel to Support Investment in U.S.
Semiconductor Technology Leadership and Create Tens of Thousands of Jobs,” March 20, 2024.

41 | 98 Taipei Representative Office in Singapore


nm nanosheet process technology while the third will produce 2 nm
or more advanced process technologies depending on customer
demand. In total, the package will support more than US$ 65 billion
in investments at the three plants by TSMC.91
6. Samsung: On April 15, 2024, the Biden Administration announced it is
awarding US$ 6.4 billion to Samsung for the construction of four
facilities in Taylor, Texas. These facilities include two fabs, an
advanced packaging facility and a research and development
center.92

According to the U.S. Department of Commerce, a PMT is offered to an


applicant on a non-binding basis after satisfactory completion of the merit
review of a full application. The PMT outlines key terms for a CHIPS incentives
award, including the amount and form of the award. The award amounts are
subject to due diligence and negotiation of a long-form term sheet and award
documents and are conditional on the achievement of certain milestones and
remain subject to availability of funds.93

Companies that receive a CHIPS incentives award must abide by the


following caveats: (1) not to expand material semiconductor manufacturing
capacity in foreign countries of concern for ten years; and (2) not to undertake
joint research or technology licensing efforts that relates to a technology or
product that raises national security concerns with foreign entities of concern.
The “countries of concern” include China and Russia, and the restrictions apply
for 10 years after the date of award.94 Companies affected the most by this rule
include Intel and TSMC.

91
U.S. Department of Commerce, Press Release: “Biden-Harris Administration Announces Preliminary Terms
with TSMC, Expanded Investment from Company to Bring World’s Most Advanced Leading-Edge
Technology to the U.S.,” April 8, 2024.
92
U.S. Department of Commerce, Press Release: “Biden-Harris Administration Announces Preliminary Terms
with Samsung Electronics to Establish Leading-Edge Semiconductor Ecosystem in Central Texas,” April 15,
2024.
93
U.S. Department of Commerce, Press Release: “Biden-Harris Administration Announces Preliminary Terms
with Intel to Support Investment in U.S. Semiconductor Technology Leadership and Create Tens of
Thousands of Jobs,” March 20, 2024.
94
U.S. Department of Commerce, Press Release: “Biden-Harris Administration Announces Final National
Security Guardrails for CHIPS for America Incentives Program,” September 22, 2023.

42 | 98 Taipei Representative Office in Singapore


China

China’s semiconductor industry consists of a wide spectrum of


companies, from IDMs to pure-play foundries, fabless semiconductor
companies and OSAT companies. It is currently the second-largest
semiconductor application market in the world and has made significant
strides in the fields of chip fabrication and OSAT.95

China’s semiconductor industry, however, heavily relies on imported raw


materials and components, and it also faces challenges in electronic design
automation (EDA), and capital equipment.96 The Chinese government’s key
policies, namely, the National Integrated Circuit Industry Investment Fund (IC
Fund) and Made in China 2025 Plan aim to strengthen its semiconductor
ecosystem, reduce dependence on imports, and foster homegrown innovation
and champions (see Table 8).

95
International Data Corporation, “China’s Three-Way Recipe for Semiconductor Autonomy and Global
Industry Impact,” February 26, 2024.
96
Mercy A. Kuo, “The State of China’s Semiconductor Industry,” The Diplomat, October 2, 2023.

43 | 98 Taipei Representative Office in Singapore


Table 8: Chinese Semiconductor Industrial Policy
POLICY DETAILS
National To bring China’s semiconductor industry on par with leading international
Integrated competitors, the Big Fund supports local semiconductor start-ups and research
Circuit and development and helps to accelerate technology transfer through foreign
Industry direct investment and joint venture. This involves:
Investment  investing in indigenous semiconductor companies and research and development,
Fund  using the Big Fund for outbound foreign direct investment (FDI) to acquire foreign
companies,
 providing funds to facilitate inbound FDI such as greenfield investment and joint
ventures with non-Chinese companies.
(also
known as The Big Fund is managed by Sino IC Capital, a company established by China
The China Development Bank in 2014. The fund’s shareholders include the Ministry of
Integrated Finance, China Tobacco, China Telecom, and several local governments and
Circuit investment funds. It operates as a corporate entity under the Ministry of
Investment Industry and Information Technology and the Ministry of Finance.
Fund or the
Big Fund) The fund has three phases, each with different fundraising targets and
investment focuses:
• Phase I (2014-2019): The fund raised RMB 138.7 billion yuan (equivalent to
approximately US$ 19 billion) in 2014 and invested in 23 domestic semiconductor
companies, mainly in chip manufacturing, design, and packaging. The fund also
facilitated several mergers, acquisitions, and IPOs in the industry.97
• Phase II (2019-2024): The fund raised RMB 200 billion yuan (US$ 27 billion) in
2019 and increased its focus on etching machines, film, test, and cleaning
equipment, as well as new applications enabled by 5G and AI. The fund aimed to
build an independent and controllable industrial chain for the Chinese IC
industry.98
• Phase III (2023-2028): The fund is reportedly raising US$ 40 billion in 2023 and is
expected to invest in advanced nodes (7 nm or less), memory, and logic chips, as
well as emerging technologies such as quantum computing and neuromorphic
computing. The fund hopes to achieve global leadership in innovation and quality
in the semiconductor industry.

Made in Formulated in 2015, the policy seeks to transform China's manufacturing


China 2025 sector by decreasing China's reliance on foreign technology imports and
(MIC 2025) cementing its position in the global supply chains of critical technologies.

MIC 2025 focuses on intelligent manufacturing in 10 strategic sectors:


1) advanced information technology;
2) automated machine tools and robotics;
3) aerospace and aeronautical equipment;
4) ocean engineering equipment and high-tech shipping;
5) modern rail transport equipment;

97
Dashveenjit Kaur, “China is planning its biggest state-backed chip fund yet,” TechHQ, September 6, 2023.
98
Ibid.

44 | 98 Taipei Representative Office in Singapore


POLICY DETAILS
6) energy saving and new energy vehicles;
7) power equipment;
8) new materials;
9) medicine and medical devices; and
10) agricultural equipment.

MIC 2025 entails a 3-step strategy:


• Step 1 (2015-2025): basic industrialization, progress made in smart and green
manufacturing;
• Step 2 (2025-2035): complete industrialization, tier-2 manufacturing leader with
solid indigenous R&D, breakthrough in key sectors; and
• Step 3 (2035-2050): Tier-1 manufacturing leader with advanced technology and
industrial system.

With reference to semiconductors, the goals are:


1) To develop the IC design industry, speed up the development of the IC
manufacturing industry, upgrade the assembly, testing and packaging (ATP), and
facilitate breakthroughs in the key equipment and materials of integrated circuits.
2) By 2020, China’s semiconductor design and manufacturing should be one to two
generations behind industry leaders and supported by a robust domestic supply
chain of equipment, material and ATP service suppliers.
3) By 2030 the main segments of the IC industry should reach advanced
international levels.

The goal of raising local content of semiconductor chips to 40% by 2020 and
70% by 2025 was revised in 2019, with a new goal of reaching US$ 305 billion
in output by 2030, and meeting 80% of domestic demand.

Source: Daxue Consulting, “China’s semiconductor industry: Seeking for self-sufficiency amid tensions with
Taiwan and the US chip export ban”, September 28, 2022; The State Council, People’s Republic of China,
“Made in China 2025”, March 30, 2017; Michael Settelen, “‘Made in China 2025’ And China’s Evolving
Industrial Policy,” Switzerland Global Enterprise, January 3, 2023; State Council of the People’s Republic of
China, “Made in China 2025 Technical Roadmap,” October 29, 2015; U.S. Chamber of Commerce, Made in
China 2025, March 16, 2017, p. 65; Congressional Research Service, “China’s New Semiconductor Policies:
Issues for Congress,” April 20, 2021.

Since 2014, the “China Integrated Circuit Industry Investment Fund” (Big
Fund) has nurtured domestic champions such as SMIC, a producer of logic
chips, and Yangtze Memory Technologies Company (YMTC), a manufacturer of
memory chips for data storage.99

While China has mastered some chip technologies, its chip firms are
notably absent in the market for high-end logic, advanced analog, and leading-
edge memory products. When the U.S.A. restricted China’s Huawei's access to

99
Frédérique Carrier, “The chip industry’s reshoring revolution,” RBC Wealth Management, November 14,
2023.

45 | 98 Taipei Representative Office in Singapore


American equipment and software, TSMC stopped producing HiSilicon chips
for Huawei — its then second-largest customer, after Apple. The U.S. export
restrictions to prevent China from getting advanced chips for military
purposes, including AI applications, also cut off China as a market for the latest
graphics processors from NVIDIA and AMD. The Chinese AI industry heavily
relies on NVIDIA and AMD, making the ban on advanced AI chips from these
companies a challenge to both China’s AI industry and the semiconductor
sector.100

Additionally, U.S. export controls have also led to a large number of


company closures in the Chinese semiconductor industry. In 2022, as many as
5,746 Chinese chip companies were deregistered, a 68% increase from 3,420 in
the previous year.101 A record 10,900 chip-related companies lost their
registration in 2023— a big jump from the 5,746 companies that folded in 2022,
according to the report. That means an average of 30 Chinese chip-related
companies closed their doors each day in 2023. 102

While China still lags behind the top semiconductor foundries like
Taiwan’s TSMC and South Korea-based Samsung, which can currently make
chips at 3 nm, the Huawei Mate 60 Pro smartphone, which features the 7 nm
Kirin 9000s produced by China’s SMIC, was hailed as a significant development
in its semiconductor industry. Experts have said that given China’s lack of
access to more advanced equipment, it is costly to produce the 7 nm with
limited quan ty and that SMIC also faces the ceiling of 5 nm process node.103
Although SMIC can theore cally produce advanced 7 nm chips using its exis ng
DUV lithography systems, it is a considerable distance from reaching mass
produc on. In the capital- and technology-intensive chipmaking industry,
achieving mass produc on at a high yield rate is cri cal, as it can effec vely
lower the cost per chip.

100
Daxue Consulting, “China’s semiconductor industry: Seeking for self-sufficiency amid global political
tensions,” January 4, 2024.
101
Manoj Gupta, “How US Sanctions Have Destroyed Thousands of Chinese Chip Companies, Impacting Their
Confidence,” CNN News18, February 23, 2023.
102
Anton Shilov, “Chinese chip-related companies shutting down with record speed — 10,900, or around 30
per day, shut down in 2023,” Tom’s Hardware, December 14, 2023; 买明哲, “2023 年有 1.09 万家中国芯
片公司消失,比去年增长近 90%,” Fortune China, December 12,2023.
103
Refer to the November issue of “Taiwan and the Global Semiconductor Supply Chain” published by the
Taipei Representative Office in Singapore for more details.

46 | 98 Taipei Representative Office in Singapore


As the United States scrambled to figure out how Huawei was able to
produce an advanced 7 nm chip, it was revealed that despite U.S. export curbs,
Chinese companies have been buying up U.S. chipmaking equipment for
making advanced semiconductors by claiming that it is being used on an older
produc on line.104 According to Investor's Business Daily, China’s tech
companies, supported by generous state subsidies, had embarked on a
frenzied buying spree on tons of chip equipment from Applied Materials,
ASML, KLA and Lam Research— including some types the U.S. had hoped to
prevent — before the export controls kick in.105

China’s efforts to catch up, however, face major obstacles following the
Biden administration’s tightening of export controls to curb China's access to
advanced chips, chip-making equipment and US talent. In fact, IC Insights
predicts that by 2026, China-made integrated circuit manufacturing would be
far from meeting the 70% self-sufficiency target set by the Chinese
government, reaching only a self-sufficiency rate of 21.2%. But even this rate
is supplemented by foreign companies (e.g., Samsung, SK Hynix, TSMC, etc.),
which contribute to more than 50% of IC production in China. In a nutshell,
China's self-sufficiency rate by China’s headquartered companies might only be
around 10% in 2026 (see Table 9).

Table 9: China's Self-sufficiency Rate on Semiconductor: 2009-2026


Unit: US$ billion
2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2026(f)

Produc on 4.2 5.8 7.9 8.8 10.3 11.7 13.4 13 19.3 23.9 19.3 24.2 31.2 58.2
Market 41 57 62 63 69 77 83 94 118 150 131 146 187 274
Ra o (%) 10.2 10.2 12.7 14.0 14.9 15.2 16.1 13.8 16.4 15.9 14.7 16.6 16.7 21.2
Source: IC Insights Research Bulletin, “China-Based IC Production to Represent 21.2% of China IC
Market in 2026,” May 18, 2022, https://www.icinsights.com/data/articles/documents/1452.pdf.

As a matter of fact, only 6.6% of Chinese market demand was


contributed by China-headquartered semiconductor companies in 2021. In
that year, the size of China’s semiconductor market was about US$ 186.5
billion, of which only US$ 31.2 billion worth of chips were manufactured in
China, both by domestic and foreign-invested companies – a self-sufficiency
rate of 16.7%. However, only US$ 12.3 billion worth of chips were

104
Alexandra Alper, “China receives US equipment to make advanced chips despite new rules-report,”
Reuters, November 15, 2023.
105
Patrick Seitz, “Why U.S. Chip Sanctions Against China Aren't Working,” Investor's Business Daily, July 12,
2023.

47 | 98 Taipei Representative Office in Singapore


manufactured by China-headquartered companies, accounting for merely 6.6%
of domestic consumption. The figures of China’s self-sufficiency rate by China’s
headquartered companies were 6.1% in 2019 and 5.8% in 2020, respectively
(See Table 10).

Table 10: China's Self-Sufficiency Rate by China’s Headquartered Companies:


2019-2021
Unit: US$ billion
2019 2020 2021
China's IC Market 124.6 143.4 186.5
China-based IC Produc on 19.5 22.7 31.2
China-HQ IC Produc on 7.6 8.3 12.3
Self-Sufficiency Rate 15.7% 15.8% 16.7%
HQ Self-Sufficiency Rate 6.1% 5.8% 6.6%
Source: IC Insights Research Bulletin, “China-Based IC Production to Represent 21.2% of China IC
Market in 2026,” May 18, 2022, https://www.icinsights.com/data/articles/documents/1452.pdf. “IC Insights
预计中国芯片 2025 年 70%的自给率难以达成,”https://finance.sina.cn/tech/2021-01-08/detail-
iiznctkf0880704.d.html?fromtech=1&from=wap . “IC Insights: there will be no significant progress in chip
localization in China in the next 10 years,” May 28, 2020, https://www.censtry.hk/article/10086.html.

Moreover, due to export restrictions limiting advanced equipment sales


to China, domestic chipmakers are shifting gears to generate more mature
process technology capacity. A study released in December 2023 by the U.S.
Department of Commerce’s BIS Office of Technology Valuation said the
Chinese government had provided its chipmakers with about US$ 150 billion in
subsidies in the past decade, which is likely to drive below-market pricing for
legacy chips and create a non-level global playing field for the U.S.A. and other
foreign competitors.106

According to market research firm TrendForce, China’s share in global


mature process capacity is anticipated to grow from 29% in 2023 to 33% in
2027 while Taiwan’s share will fall from 49% to 42%. This expansion of mature
process manufacturing share of more than one-third by 2027 could potentially
cause a price war and increase the risk of consolidation.107

106
Bureau of Industry and Security Office of Technology Valuation, U.S. Department of Commerce,
“Assessment of The Status of The Microelectronics Industrial Base in The United States,” December 21,
2023.
107
Peter Brown, “China on track to grow mature process capacity share to 33% by 2027,” Electronics 360,
October, 20, 2023.

48 | 98 Taipei Representative Office in Singapore


The Chinese government has also been working to convince domestic
technology firms to source their inputs domestically rather than from U.S.
suppliers.108 According to a report from The Wall Street Journal in October
2023, major Chinese players like Alibaba, Tencent, Baidu and ByteDance are
redirecting some advanced semiconductor orders to domestic companies and
relying more on internally developed chips.109

The recent annual SEMICON China show in Shanghai provided a glimpse


into the mood of the Chinese semiconductor industry. The U.S. sanctions have
accelerated the trend of more Chinese manufacturing facilities using materials
prescribed by Chinese firms. 'Buy local' and getting supply chains out of U.S.
control was a clear focus of many at the show.110 Chinese companies are
rapidly closing the gap in material areas that do not require cutting-edge
technology and are also gaining more orders against foreign rivals in the
equipment sector. In response to government support and cost advantages,
manufacturing facilities in China are increasingly prioritizing domestic firms for
production lines in newly opened plants. In some cases, they also replace
foreign equipment with domestic alternatives in older production lines.111

Although China has poured billions of U.S. dollars into the effort, the
complexities and globally interconnected nature of the chip supply chain
means that a big gap still exists in China’s self-sufficiency drive.112

India

The “Make in India” initiative and the “Semicon India Programme” are
two pivotal strategies by the Indian government to support the growth and
transformation of India’s semiconductor industry.

Launched in 2014, the “Make in India” initiative is a broad


manufacturing initiative aimed at making the country a global manufacturing

108
Brenda Goh and Katerina Ang, “China rushes to swap Western tech with domestic options as U.S. cracks
down,” Reuters, October 27, 2023.
109
TrendForce, “Cooling Response to NVIDIA’s Exclusive Chips for China, Lack of Interest in Downgraded
Models by Customers,” January 8, 2024.
110
Brenda Goh and Yelin Mo, “At major China chip fair, firms ramp up call to buy domestic,” Reuters, March
22, 2024.
111
Ibid.
112
Ibid.

49 | 98 Taipei Representative Office in Singapore


hub and an integral part of the global supply chain by facilitating investment,
fostering innovation, enhancing skill development, protecting intellectual
property, and building best-in-class manufacturing infrastructure. 113

To support the semiconductor industry in India, its Ministry of


Electronics and Information Technology (MeitY) announced an initial outlay of
US$ 10 billion investment in the India Semiconductor Mission (ISM).114 The
ISM’s “Semicon India Program” aims to reduce reliance on imports and boost
self-dependence.115 The key schemes introduced under this program provide
incentives for companies involved in semiconductor fabs, display fabs,
compound semiconductors/ silicon photonics/ sensors (including micro-
electromechanical systems [MEMS]) fabs, semiconductor packaging and
semiconductor design (see Table 11).

Table 11: Schemes under the “Semicon India Programme”


Scheme Details
Semiconductor Fabs Fiscal support of up to 50% of project cost on pari-passu basis
to the approved applicants.

Display Fabs Fiscal support of up to 50% of project cost on pari-passu basis


to the approved applicants.

Compound Semiconductors / Fiscal support of 50% of capital expenditure to Compound


Silicon Photonics / Sensors Semiconductors / Silicon Photonics / Sensors (including MEMS
(including MEMS) Fabs / [micro-electromechanical systems]) / Fabs and Semiconductor
Discrete Semiconductors Fab Packaging (including assembly testing marking and packing
and Semiconductor ATMP / [ATMP] and outsourced semiconductor assembly and test
OSAT Facilities [OSAT]) units.

Design Linked Incentive Financial incentives as well as design infrastructure support


across various stages of development and deployment of
semiconductor design(s) for Integrated Circuits (ICs), Chipsets,
System on Chips (SoCs), Systems & IP Cores and semiconductor
linked design(s) over a period of 5 years.

Source: Adapted from India Semiconductor Mission Website, https://ism.gov.in/. Accessed April 1, 2024.

113
Prime Minister’s Office, Prime Minister of India, “Make in India,” PM of India’s Office, 2024,
https://www.pmindia.gov.in/en/major_initiatives/make-in-india/. Accessed April 1, 2024.
114
Naina Bhardwaj and Melissa Cyrill, “India Briefing: What is the Semicon India Program and How Does it
Work?” Dezan Shira and Associates, February 21, 2022.
115
Asia Pacific Foundation of Canada, “India Vies to Become Semiconductor 'Superpower' as FDI Pours In,”
September 20, 2023.

50 | 98 Taipei Representative Office in Singapore


Like many countries, India is trying to seize the opportunities and fill the
gaps in the global semiconductor supply chain that arise due to the strained
relations between the United States and China.116 Besides leveraging its
strengths in chip design and offering government subsidies, India is also
positioning itself as an alternative to China as a semiconductor investment and
production location.117

A report by the Boston Consulting Group identified India as a future


export manufacturing powerhouse.118 Ajay Banga, the World Bank President,
emphasizes that India has a limited window of three to four years to capitalize
on becoming a manufacturing alternative to China. Some economists estimate
the window will be open for up to 10 years.119

The Chinese authorities barred Micron from big infrastructure projects


in May 2023 in what was seen as retaliation for the United States restricting
China’s access to key technology.120 Taking advantage of global headwinds, the
Indian government moved swiftly to approve and facilitate the setting up of
Micron’s new assembly and test facility in Gujarat in June 2023.121 With the
support from the Indian central government and from the state of Gujarat, the
total investment in the facility will be US$ 2.75 billion. Of that total, 50% will
come from the Indian central government and 20% from the state of
Gujarat.122 Micron said that its investment will be up to US$ 825 million.

The Indian government approved the establishment of three


semiconductor units with investments of more than US$ 15 billion on February
29, 2024, namely:

116
Jeremy Mark and Dexter Tiff Roberts, “United States–China semiconductor standoff: A supply chain under
stress,” Atlantic Council, February 23, 2023.
117
Stephen Ezell, “Assessing India’s Readiness to Assume a Greater Role in Global Semiconductor Value
Chains,” Information Technology and Innovation Foundation, February 14, 2024.
118
Jonathan Van Wyck, Kasey Phillips, Marc Gilbert, Michael McAdoo, Harrison Xue, Ravi Srivastava, Kristian
Kuhlmann, and Gang Xu, “Harnessing the Tectonic Shifts in Global Manufacturing,” Boston Consulting
Group, September 21, 2023.
119
Nirmala Ganapathy and Rohini Mohan, “‘Make in India’: Can South Asian giant surpass China and become
world’s biggest factory?” The Straits Times, March 9, 2024.
120
Nirmala Ganapathy and Rohini Mohan, “‘Make in India’: Can South Asian giant surpass China and become
world’s biggest factory?” The Straits Times, March 9, 2024.
121
Ministry of Electronics & IT, India, Press Release: “Micron’s semiconductor project at Sanand in Gujarat on
fast track,” December 6, 2023.
122
Micron, Press Release: “Micron Announces New Semiconductor Assembly and Test Facility in India,” June
22, 2023.

51 | 98 Taipei Representative Office in Singapore


1. A semiconductor fab by Tata Electronics Private Limited (TEPL) in
partnership with Taiwan’s Powerchip Semiconductor Manufacturing
Corp (PSMC) in Dholera, Gujarat.
2. A semiconductor Assembly, Testing, Marking, and Packaging (ATMP)
unit for specialized chips by Japan’s Renesas Electronics Corporation
and Thailand’s Stars Microelectronics in Sanand, Gujarat.
3. A semiconductor ATMP unit by Tata Semiconductor Assembly and
Test Pvt Ltd (“TSAT”) in Morigaon, Assam.123

Besides India, Southeast Asian countries have also emerged as attractive


options for companies looking at diversifying beyond China. Compared to
India, countries like Vietnam, with its lower tariffs and membership in the
ASEAN Free Trade Agreement and the Comprehensive and Progressive
Agreement for Trans-Pacific Partnership, may appear as a more attractive
choice.124

123
Prime Minister’s Office, Prime Minister of India, Press Release: “Giant leap for India Semiconductor
Mission: Cabinet approves three more semiconductor units,” February 29, 2024.
124
Jonathan Van Wyck, Kasey Phillips, Marc Gilbert, Michael McAdoo, Harrison Xue, Ravi Srivastava, Kristian
Kuhlmann, and Gang Xu, “Harnessing the Tectonic Shifts in Global Manufacturing,” Boston Consulting
Group, September 21, 2023.

52 | 98 Taipei Representative Office in Singapore


3. Status of Semiconductor Industry in
Taiwan
Taiwan’s semiconductor industry is a global powerhouse, renowned both
for its high-quality production and comprehensive ecosystem that encompasses
the entire supply chain – from IC design, fabrication, assembly, packaging and
testing to the equipment and materials industries needed for operations.

The success of Taiwan’s semiconductor industry stems from decades of


government support and investment. Its policy of establishing science and
technology parks has fostered the growth of comprehensive and mature
semiconductor industry clusters encompassing all key stages of the value chain
while offering the advantage of one-day supply cycle time. This rapid cycle time
provides an efficient and seamless support system for the semiconductor
industry, from research and development to production and manufacturing.

Additionally, Taiwan’s high-end talent pool, advanced technology, low


cost, high production capacity, flexibility in customization, and the support by
the science and research capabilities of the United States, Europe, Japan as well
as its own research and development, have worked together to create a robust
and resilient semiconductor ecosystem that can effectively and efficiently
manage issues from semiconductor development to trial production and mass
production, thereby accelerating the development of semiconductor process
technologies.

Taiwan’s complete semiconductor industrial chain has created a robust


and efficient system that continues to grow, work closely with the global
supply chain, and deepen collaborations with its partners. Its semiconductor
industry has built close business ties with international tech giants, such as
Apple, Google and NVIDIA, providing high-end chips used in advanced devices.
The resilience and adaptability of Taiwan’s semiconductor industry allows it to
provide swift and close support to the global industry and have strengthened
Taiwan’s position as a critical player in the global supply chain for high-tech
industries.

53 | 98 Taipei Representative Office in Singapore


Figure 10: Rankings and Global Share of Taiwan’s IC Industry in Terms of
Production Value: 2023

Source: IEK, ITRI, March 4, 2024. Chia-Chen Lee, “Taiwan IC Industry Development in 2023Q4,” IEK, ITRI, March
19, 2024, p. 7.
* “Wafer Foundry” refers to manufacturing-only (pure-play) foundries and does not include integrated device
manufacturers (IDMs).

Figure 10 shows the ranking and global share of Taiwan’s integrated


circuit industry in 2023 in terms of production value. Taiwan continued to rank
first by market share in both wafer foundry and packaging and testing, and
second in the world in semiconductor design, just behind the United States.

Taiwan’s IC design production value ranked second in the world and


trailed only the United States in 2023. Its semiconductor companies accounted
for a production value of US$ 35.2 billion, which translated to a global share of
21.3%. MediaTek and Novatek are two companies, among others, that help to
solidify Taiwan’s position as a leader in the global IC design sector. Their
contributions are crucial in a highly competitive market that demands
continuous innovation and quality.

Taiwan’s foundry production value ranked first in the world in 2023.


With a production value of US$ 80.0 billion, Taiwan accounted for 77.9% of
global foundry production. TSMC and UMC are two of Taiwan’s top
semiconductor foundries, with the former a leader in developing cutting-edge
2 nm and below process technologies and the latter serving the steady

54 | 98 Taipei Representative Office in Singapore


demand for legacy technology chips. Their combined efforts help maintain
Taiwan’s significant position in the semiconductor industry.

Taiwan’s IC packaging and testing output value ranked first in the world
in 2023. With a production value of US$ 18.7 billion, Taiwan accounted for
52.6% of the global production value. The country’s top-ranking position in the
IC packaging and testing sector reflects its advanced capabilities and the high
value it brings to the semiconductor supply chain. Taiwan’s ASEH and
Powertech Technology Inc. (PTI) are leading providers of OSAT services, both in
Taiwan and globally. ASEH, the world’s largest OSAT provider, is renowned for
its innovative approaches to advanced chip packaging. PowerTech Technology
Inc., while not as large as ASEH, is also a prominent player in the industry,
providing a range of services including chip probing, IC assembly, and final
testing. Both ASEH and PTI's expertise and capacity in semiconductor
packaging and testing services are crucial for the industry, supporting the
global demand for advanced electronic products while meeting the complex
and evolving needs of the semiconductor industry.

Given Taiwan’s crucial role in the global semiconductor supply chain, it is


an important partner to countries seeking to diversify their semiconductor
manufacturing capabilities. The escalating geopolitical tension and rapidly
evolving global technology landscape further calls attention to Taiwan’s
semiconductor industry's integral role in the global semiconductor supply
chain.

55 | 98 Taipei Representative Office in Singapore


A. Taiwan’s Ecosystem of Semiconductor
Companies and Its Major Actors
Taiwan’s semiconductor industry chain can be divided into three major
sectors, namely, (1) upstream: IC or chip design and intellectual property (IP)
design, (2) midstream: IC manufacturing, wafer fabrication, related production
process testing equipment and components such as masks and chemicals, and
(3) downstream: IC packaging and testing, related production process testing
equipment, components (e.g., substrates and lead frames), IC modules, and IC
channels (see Figure 11).

Figure 11: Upstream, Midstream, and Downstream Industry Chains in


Taiwan’s Semiconductor Industry

Source: Industry Value Chain Information Platform, compiled by Taiwan Stock Exchange Corporation,
December 2023

56 | 98 Taipei Representative Office in Singapore


To mitigate competition and create efficient collaboration, Taiwan’s
semiconductor industry leverages the professional division of labor between
upstream, midstream, and downstream suppliers in the supply chain. After IC
design companies complete the product design, a foundry or IDM (an
integrated device manufacturer that provides all services from IC design to
manufacturing, packaging, testing, and sales) is appointed to produce semi-
finished wafer products. Following preliminary tests, the products are sent to a
packaging manufacturer for cutting and packaging. The IC testing manufacturer
then conducts final tests, and the finished products that pass the tests are sold
to system manufacturers through sales channels for assembly and production
of system products.

Table 12: Number of Taiwan's Semiconductor Companies: 2016-2023


2016 2017 2018 2019 2020 2021 2022 2023
IC Design 240 240 238 238 238 250 262 262
IC Manufacturing 16 15 15 15 13 13 15 15
IC Packaging and Tes ng 37 37 37 37 37 37 37 37
Source: IEK, ITRI, March 4, 2024

Table 12 shows the number of Taiwan’s semiconductor companies from


2016 to 2023. From 2016 to 2023, the number of IC design companies
increased from 240 to 262, the number of IC manufacturing companies fell
from 16 to 15 while the number of IC packaging and testing companies remain
unchanged.

Table 13: Taiwan’s Global Market Share of Semiconductor Sub-industries In


Terms of Production Value: 2016-2023
Unit: %
2016 2017 2018 2019 2020 2021 2022 2023
IC Design 19.4 18.0 17.0 18.8 20.1 22.0 20.8 21.3
Wafer Foundry* 70.7 73.2 75.6 74.6 77.3 79.7 77.6 77.9
IC Packaging and Tes ng 55.5 55.8 55.8 56.5 57.7 57.6 53.9 52.6
Source: IEK, ITRI, March 4, 2024.
* Only pure-play foundries, does not include integrated device manufacturers (IDMs).

Table 13 shows Taiwan’s global market share in the IC design, wafer


foundry and IC packaging and testing sub-industries in terms of production
value from 2016 to 2023. The global market share of Taiwan’s IC design and
wafer foundry has grown from 2016 to 2023. Of significance are Taiwan’s
57 | 98 Taipei Representative Office in Singapore
wafer foundry, which has held a global market share of over 70% and its IC
packaging and testing, which has held a global market share of over 50%
throughout the period. Taiwan’s wafer foundry accounted for 77.9% of the
global market share while its IC design and IC testing and packaging held 21.3%
and 52.6% respectively in 2023.

I. Upstream: IC design

The upstream part of the semiconductor value chain includes IC design,


IC design services (IP supply, electronic design automation or EDA, and design
services), and other sub-industries. IC design serves as the foundation for all IC
products. IC design companies use computer-aided design (CAD) and other IC
design assistance tools developed by EDA companies to design the layout of
transistors on the chip and the circuits that connect the transistors to create
certain specifications and functions for chips.

Figure 12 shows the output value of Taiwan’s IC design industry by


quarter from the fourth quarter of 2021 to the fourth quarter of 2023. The
output value of Taiwan’s IC design industry experienced a downturn in the first
quarter of 2023. However, there has been a recovery since then.

Figure 12: Output Value of Taiwan's IC Design Industry by Quarter: 2021Q4-


2023Q4

Source: Shu-Ting Chung," 2023 Q4 Industry Dynamics of IC design industry in Taiwan," IEK, ITRI, March 26,
2024, p. 1.

58 | 98 Taipei Representative Office in Singapore


From the second quarter of 2023 onwards, Taiwan’s IC design industry
witnessed a surge in demand across various segments. The advanced features
enabled by new mobile phone chips have significantly boosted the demand for
high-end smartphones while the rise of AI in personal computers has also led
to an increase in stocking of AI PCs. This trend is driven by consumer-focused
AI applications like ChatGPT, which have become increasingly popular. The
growth in AI PCs has, in turn, driven the demand for peripheral chips for
enhancing the capabilities of AI PCs. Additionally, the launch of WiFi 7 is set to
further boost demand. Equipment upgrades enabled the IC design industry to
continue to maintain excellent performance in the fourth quarter. Overall,
Taiwan's IC design industry showed an upward trend in the fourth quarter of
2023, with an output value of NT$ 300 billion (approximately US$ 9.4 billion),
an increase of 4.3% from the third quarter.

Taiwan’s IC design industry is dominated by logic chips, which are a


fundamental component of many types of electronic devices. Logic chips, also
known as logic ICs, are used to perform digital computations and processing.
They are essential in applications ranging from consumer electronics to
industrial machinery. In the fourth quarter of 2023, logic chips accounted for
80.2% of Taiwan’s IC design industry revenue, followed by 9% share by analog
chips, 6.3% by microcomponent chips and 4.5% by memory chips (see Figure
13).

59 | 98 Taipei Representative Office in Singapore


Figure 13: Share of Taiwan's IC Design Industry Revenue by Products: 2023Q4

Source: Shu-Ting Chung," 2023 Q4 Industry Dynamics of IC design industry in Taiwan," IEK, ITRI, March 26,
2024, p. 2.

In the fourth quarter of 2023, Taiwan's IC design industry’s largest


market was that of “China & Hong Kong”, which accounted for 49.0% all sales.
Domes c demand is the second largest market, accoun ng for 38.0%. Chips
sold to customers in North America and Japan accounted for 7.0% and 2.9%
respec vely (see Figure 14).

60 | 98 Taipei Representative Office in Singapore


Figure 14: Share of Taiwan's IC Design Industry Sales by Regions: 2023Q4

Southeast Asia, 0.8%

Source: Shu-Ting Chung," 2023 Q4 Industry Dynamics of IC design industry in Taiwan," IEK, ITRI, March 26,
2024, p. 3.

II. Midstream: IC manufacturing

After the IC design company designs the IC circuit layout, it is transferred


to the foundry for IC manufacturing. The manufacturing process requires the
transfer of the circuit and circuit components onto the wafer with a
photomask, and the wafer is manufactured through oxidization, diffusion,
chemical vapor deposition (CVD), etching, and ion implantation. As the IC
circuit design has several layers, the process requires complex procedures,
including the use of several photomasks and pattern creations to form circuits
and components before a complete integrated circuit is produced.
Consequently, both the technical and capital requirements of IC manufacturing
are high.

IC manufacturing can be divided into two broad categories, namely


foundries that specialize in producing data processing and computing IC, and
memory manufacturers that produce memory IC. Taiwan’s IC manufacturing
industry focuses mainly on semiconductor foundries, which account for more
than 90% of the output value of Taiwan’s IC manufacturing industry.

61 | 98 Taipei Representative Office in Singapore


Taiwan adopted a business model of specialized division of labor in both
the upstream and downstream sections of the industry chain, and has
developed a unique foundry contract manufacturing business. By focusing on
specific areas of the semiconductor manufacturing process, Taiwanese
semiconductor companies have achieved both superior yield performance with
mature manufacturing processes due to their technological lead and cost
savings in production processes. They also offer diverse manufacturing
processes and capacity options to satisfy market demand. Being at the
forefront of technology and having built a reputation for reliability and quality,
most of the semiconductor companies in Taiwan have formed strategic
partnerships with major tech companies, allowing them to sign long-term
contracts with customers to generate stable profits.

Taiwan’s foundries also focus on the development of advanced


processes. Companies such as TSMC have contributed to the technological
innovation and capital investment in Taiwan’s overall IC manufacturing
industry, and in the process, extended Taiwan’s lead in advanced processes. In
2023, Taiwan maintained its lead across the board from 0.18 micrometers (µm)
to 20 nm and sub-10 nm processes in the global IC manufacturing industry (see
Table 14). TSMC announced in 2023 that mass production will begin for the 2
nm process by 2025 (see Table 15). The market expects that tech giants, such
as AMD, NVIDIA, Qualcomm, MediaTek, Broadcom, and Intel, will begin using
TSMC’s 3 nm processes in the second half of 2023 to 2024.

Table 14: Overview of Global Capacity of Process Technologies: 2023


Over 0.18µm to 40nm to 20nm to Under
Total*
0.18µm 40nm 20nm 10nm 10nm
Taiwan 16.8% 29.4% 29.5% 9.9% 62.6% 21.4%
South Korea 6.8% 9.6% 27.3% 29.3% 36.7% 20.4%
Japan 17.1% 13.5% - 23.6% - 15.8%
China 20.5% 15.6% 15.4% 14.8% - 15.3%
North
16.0% 12.5% 17.0% 11.6% 0.7% 12.6%
America
Europe 12.1% 7.2% 6.8% 1.7% - 5.7%
Source: Taiwan Industry Economics (TIE) Database, Taiwan Institute of Economic Research, June 2023
* Only pure-play foundries, does not include integrated device manufacturers (IDMs).

62 | 98 Taipei Representative Office in Singapore


Table 15: Global Foundry Advanced Process Mass Production Timeline: 2021-
2025
2021 2022 2023 2024 (F) 2025 (F)
2 nm TSMC, Samsung
3 nm+ TSMC
3 nm, 3 nmGAA TSMC, Samsung
4 nm TSMC, Samsung
5 nm+, Intel 18A Intel
5 nm, Intel 20A Intel
7 nm+, Intel3 Intel
7 nm, Intel4 Intel
10 nm, Intel7 Intel
Source: Taiwan Industry Economics (TIE) Database, Taiwan Institute of Economic Research, October 2023

According to estimates, Taiwan’s mature process capacity will account


for 43% of the global capacity by 2025, and advanced process capacity will
account for 69% of the global capacity. Through its continuous innovation,
investment and strategic planning, Taiwan’s foundry industry is expected to
maintain its lead in the medium to long-term development.

III. Downstream: IC packaging and testing

IC packaging refers to the covering of a processed wafer with plastic,


ceramic, or metal coating after the die is cut in order to protect the die from
contamination, increase the ease of assembly, enhance the electrical
connection between the chip and the electronic system and to allow for heat
dissipation. IC testing can be divided into two stages. The first is the wafer test
before packaging to test the chip’s electrical properties. The second is the IC
finished product test, which is mainly implemented to test whether the IC
functions as intended, including the testing of its electrical properties and heat
dissipation capacity to ensure quality.

Taiwan’s IC packaging and testing industry ranks first in the world. With
the rise of IoT applications, Taiwan’s IC packaging and testing companies have
continued to develop high-end packaging and heterogeneous integration
technologies, significantly increasing their lead over their competitors.

63 | 98 Taipei Representative Office in Singapore


In 2023, five Taiwanese companies were ranked among the top ten
global IC packaging and testing companies, including the world’s largest
packaging and testing company, Advanced Semiconductor Engineering
Technology Holdings (ASE or ASEH), which boasted a market share of nearly
30%. The top ten IC packaging and testing companies accounted for about 88%
of the global market share. Of the companies in the ranking, five Taiwanese
companies – ASEH, Powertech Technology Inc (PTI), King Yuan Electronics Co
(KYEC), ChipMOS Technology, Chipbond Technology Corporation– accounted
for around 42% of the market share (see Table 16).

Table 16: Ranking of Main Global IC Packaging and Testing Companies by


Revenue: 2023
Unit: US$ billion
2023
Company Name Headquarter 2023 Revenue 2023 Market Share
Ranking
1 ASE (ASEH) TW 10.1 29%
2 Amkor US 6.5 19%
3 JCET CN 4.0 12%
4 TFMC CN 3.1 9%
5 Powertech (PTI) TW 2.3 6%
6 HUATIAN CN 1.6 5%
7 KYEC TW 1.1 3%
8 Hana Micron KR 0.7 2%
9 Chipmos TW 0.7 2%
10 Chipbond TW 0.6 2%
Market share of the world’s top ten companies 88%
Total market share of Taiwanese companies 42%
Note: Only revenue from packaging and testing is included for ASE (ASEH).
Source: Companies, TechInsights, Market Intelligence & Consulting Institute (MIC) of the Institute for
Information Industry

Table 17 shows the ranking of the top ten Taiwanese IC packaging and
testing companies in 2023 by revenue. For the Taiwanese IC packaging and
testing companies included in the ranking, the main products include
computing IC and memory IC testing, demonstrating the diversity and
comprehensiveness of Taiwan’s IC packaging and testing industry.

64 | 98 Taipei Representative Office in Singapore


Table 17: Ranking of Main Taiwanese IC Packaging and Testing Companies by
Revenue: 2023
Unit: US$ billion
2023
2023 Ranking Company Name Main Products
Revenue
Logic IC packaging and testing, mixed-
1 ASE (ASEH) 10.0
signal IC packaging and testing
Memory packaging and testing, logic IC
2 Powertech (PTI) 2.3
packaging and testing
3 KYEC 1.1 Wafer probing, IC product testing
Driver IC packaging and testing, memory
4 ChipMOS 0.7
packaging and testing
Driver IC packaging and testing, gold
5 Chipbond 0.6
bumping
6 OSE 0.5 Memory packaging and testing
Mixed-signal IC, RF, power semiconductor
7 Sigurd 0.5
packaging and testing
Memory wafer testing, digital and mixed-
8 Ardentec 0.5
signal IC testing
9 FATC 0.2 Memory packaging and testing
10 WALTON 0.2 Memory packaging and testing
Note: Only revenue from packaging and testing is included for ASE (ASEH).
Source: Companies, Market Intelligence & Consulting Institute (MIC) of the Institute for Information Industry

IV. IC equipment and other supply chains

According to SEMI’s report, Taiwan will continue to maintain its lead in


expenditures on global foundry equipment in 2024, with a total of US$ 24.9
billion.125 However, nearly 80% of the expenditures consist of purchases of
foreign equipment, which shows that there is significant room for growth for
domestic equipment manufacturers.

Taiwan’s government has actively implemented a policy for domestic


production of semiconductor equipment in recent years. It integrated national
and industry resources, and encouraged companies to invest in semiconductor
equipment development with the aim of attaining local production of foreign
company equipment, and domestic production of advanced packaging and
testing equipment to increase the use of domestic equipment by Taiwan’s

125
SEMI, Press Release: “Global Fab Equipment Spending On Track For 2024 Recovery After 2023 Slowdown,
Semi Reports,” March 21, 2023.

65 | 98 Taipei Representative Office in Singapore


semiconductor companies.126 The government also seeks to connect the entire
industry to jointly support the upgrade of Taiwan’s semiconductor equipment
industry and enhance the international competitiveness of Taiwan’s
semiconductor equipment industry. Its goal is to make Taiwan a “high-end
manufacturing center in Asia” and “center of advanced semiconductor
processes.”127

Table 18 shows the main listed companies in Taiwan’s semiconductor


equipment manufacturing and materials/substrates/chemicals sector. Some of
the IC equipment and support materials companies in the midstream of the
semiconductor supply chain include United Integrated Services (UIS), which
helps clients build manufacturing facilities and install clean rooms; Marketech
International Corporation, which produces wafer manufacturing equipment;
Taiwan Mask Shop (TMC) which produces photomasks; and companies such as
Eternal, Topco and Wahlee that produce chemicals and photoresist.

Downstream in the semiconductor supply chain, companies such as


Chroma and Scientech produce packaging and testing equipment while Chang
Wah Technology Co., Ltd., SDI Corporation, and Jih Lin Technology Co., Ltd.
produce lead frames among other products for the semiconductor industry.
Additionally, Unimicron, Nan Ya PCB (N.P.C), and Kinsus are all involved in the
production of substrates for the semiconductor industry.

Both the companies in the upstream and downstream of the


semiconductor supply chain play a crucial role in the semiconductor industry
by providing the equipment and components to help ensure the smooth
operation of the semiconductor supply chain.

126
William Hetherington, “Tsai pushes local chip equipment production,” Taipei Times, January 18, 2022.
127
Department of Information Services, Executive Yuan, Republic of China (Taiwan), “Making Taiwan a high-
end production hub for Asia and an advanced semiconductor manufacturing center,” August 30, 2022.

66 | 98 Taipei Representative Office in Singapore


Table 18: Main Listed Companies in Taiwan’s Semiconductor Equipment
Manufacturing And Materials/Substrates/Chemicals Sector
Supply Chain Supply Chain Companies
Wafer plant construction and system
UIS, L&K
integration
Wafer manufacturing equipment MIC, Fiti
Midstream
Silicon wafer manufacturing FST
Photomasks TMC
Chemicals, photoresist Eternal, Topco, Wahlee
Packaging and testing equipment Chroma, Scientech
Unimicron, NanYaPCB (N.P.C),
Downstream Substrates
Kinsus
Lead frames ChangWah, SDI, JihLin
Source: Compiled by the Taiwan Stock Exchange Corporation, January 2024.

V. IC distributors

IC distributors are only responsible for IC trading and sales and are not
involved in the production. Their business model mainly consists of
procurement from upstream semiconductor design companies or
manufacturers, and the supply of key components or materials to downstream
electronics industry manufacturers. They play the role of an intermediary in
the entire semiconductor industry.

Distributors are indispensable for the success of Taiwan’s semiconductor


industry as they provide marketing channels and networks for upstream
component suppliers. Due to the reach of distributors and high market
sensitivity, they can quickly obtain market information and recommend new
technologies to downstream manufacturers. They also leverage their
integration and price negotiation capability for procurement from upstream
suppliers to provide favorable prices for manufacturers. The most significant
difference between semiconductor distributors and other distributors is that
the former help original manufacturers with sales, technology, and
warehousing management, resolve customer issues in design and mass
production, and help customers shorten the time-to-market for new products.

In 2022, four Taiwanese companies, namely, WPG Holdings (WPG), WT


Microelectronics (WT), Supreme Electronics and Edom Technology, were
67 | 98 Taipei Representative Office in Singapore
ranked among the top ten global IC distributors (see Table 19). The IC
distributor industry favors large companies, which tend to retain their lead. As
30% to 40% of IC industry products are sold through distributors, there is
limited room for market growth and the intermediary service providers have
margins of only 3% to 5%. Moreover, distributors generally rely on economies
of scale, so mergers and acquisitions become an important means for
distributors to expand their range of suppliers and customers.

Table 19: Ranking of Main Global IC Distributors by Revenue: 2023


Unit: US$ billion

Ranking Company Name Headquarter Revenue Market Share


1 Arrow US 21.7 11%
2 Avnet US 19.9 10%
3 WPG TW 19.2 10%
4 WT TW 18.9 10%
5 Macnica JP 6.7 4%
6 Toyota Tsusho JP 4.6 2%
7 Supreme TW 4.5 2%
8 CECport CN 4.5 2%
9 Future Electronics CA 4.3 2%
10 Digi-key US 3.4 2%
Market share of the world’s top ten companies 55%
Total market share of Taiwanese companies in top 10 list 22%
Source: Gartner, “Market Share: Semiconductor Distributors, Worldwide, 2023,” March 12, 2024

In recent years, Taiwanese IC distributors such as WPG and WT have


continuously expanded their businesses through mergers and acquisitions.
They have also used horizontal partnerships to increase synergy in operations.
WT completed its acquisition of Future Electronics, creating a global electronic
components distribution powerhouse, dual-headquartered in Taipei and
Montreal for an enterprise value of US$ 3.8 billion on April 2, 2024.128 This is
expected to make WT one of the top three IC distributors in the world and
increase its competitiveness in future development. Among the four
Taiwanese IC distributors, WPG ranked first in terms of revenue, followed by
WT, Supreme and Edom (see Table 20).

128
Future Electronics, Press Release: “WT Microelectronics Completes Acquisition of Future Electronics,”
April 2, 2024.

68 | 98 Taipei Representative Office in Singapore


Table 20: Ranking of Main Taiwanese IC Distributors by Revenue and Products Distributed: 2023
Unit: US$ billion
2023 Ranking Company Name Securities Market 2023 Revenue Main Products
Core components, memory components,
discrete and logic components, analog and
mixed-signal components, optical and sensor
components; brands distributed include:
1 WPG TWSE 24.5
AMD, Broadcom, Infineon, Intel, Kioxia,
MediaTek, Micron, Nanya, Novatek, Nuvoton,
NXP, Phison, Qualcomm, Realtek, Samsung,
ST Micro, Willsemi, and Winbond
Analog IC, microcontrollers, memory IC,
microprocessors, application specific IC;
2 WT TWSE 19.1 brands distributed include: Broadcom, ESMT,
Intel, Marvell, MediaTek, Micron, Nanya, NXP,
Nuvoton, Qualcomm, Realtek, and ST Micro
Memory components; brands distributed
3 Supreme TWSE 4.7 include: Samsung, MediaTek, Novatek, and
CIRRUS LOGIC
3.3 Integrated circuits, electronic components,
4 Edom TWSE
memory
Data Source: WPG, Press Release: “WPG (TWSE:3702) Reports Fourth Quarter Sales of NT$183.38 Billion Exceeding High Range Forecast,” January 10, 2024; WT, Press
Release: “WT Microelectronics Announced Financial Results for the Fourth Quarter of 2023,” January 31, 2024; Supreme Group 2023 Financial Report, March 11, 2024;
Edom Technology, Press Release: “2023 Monthly Sales (Unit: Thousand NT$),” January 2024, Taiwan Stock Exchange, January 2024.

69 | 98 Taipei Representative Office in Singapore


Taiwan’s IC Market

The semiconductor industry is a key pillar of Taiwan's economic growth.


It is one of its most important industries in terms of output value and share of
exports.

Table 21 shows the output value of Taiwan’s semiconductor industry


from 2016 to 2023. Taiwan’s semiconductor industry has grown across the
board from 2016 to 2023, reaching a peak in 2022. IC manufacturing (includes
wafers from foundries, memory chips and other semiconductor devices) was
the largest contributor with an output value of NT$ 2,662.6 billion (US$ 85.3
billion) in 2023. This was followed by IC design (US$ 35.2 billion), IC packaging
(US$ 12.6 billion) and IC testing (US$ 6.1 billion).

Table 21: Output Value of Taiwan's Semiconductor Industry: 2016-2023


Unit: NT$ Billion
2016 2017 2018 2019 2020 2021 2022 2023
IC Design 653.1 617.1 641.3 692.8 852.9 1,214.7 1,232.0 1,096.5
IC Manufacturing 1,332.4 1,368.2 1,485.6 1,472.1 1,820.3 2,228.9 2,920.3 2,662.6
IC Packaging 323.8 333.0 344.5 346.3 377.5 435.4 466.0 393.1
IC Tes ng 140.0 144.0 148.5 154.4 171.5 203.0 218.7 190.6
Source: IEK, ITRI, March 4, 2024.

Figure 15 shows Taiwan’s semiconductor exports from 2016 to 2023.


Taiwan's exports of semiconductors rose from NT$ 2,516.6 billion (US$ 80.7
billion) in 2016 to NT$ 5,465.6 billion (US$ 175.2 billion) in 2022 and then fell
to NT$ 5,192.5 billion (US$ 166.4 billion) in 2023.

70 | 97 Taipei Representative Office in Singapore


Figure 15: Taiwan's Exports of Semiconductors: 2016-2023

Source: IEK, ITRI, March 4, 2024.

Meanwhile, Taiwan’s imports of semiconductors also rose from NT$


1,169.5 billion (US$ 36.4 billion) in 2016 to NT$ 2,606.8 billion (US$ 81.1
billion) in 2022 and then fell to NT$ 2,282.5 (US$ 71.0 billion) in 2023 (see
Figure 16).

71 | 97 Taipei Representative Office in Singapore


Figure 16: Taiwan's Imports of Semiconductors: 2016-2023

Source: IEK, ITRI, March 4, 2024.

Figure 17 and Table 22 show the main countries where Taiwan’s


semiconductors were exported from 2016 to 2023. Taiwan's top five export
destinations included China, Singapore, Korea, Japan and Malaysia.
Throughout the period, the largest share of amounting to more than 50% of
Taiwan’s semiconductor exports, were to China. The share of exports to China
reached its peak at 61.3% share in 2020 and has been falling since. In 2023,
the share of exports to China was 54.2%. In contrast, the share of Taiwan’s
semiconductor exports to countries like Singapore and Malaysia has been
increasing since 2020. The share of Taiwan’s semiconductor exports to
Singapore increased from 11.8% in 2020 to 12.7% in 2023 and that to Malaysia
increased from 3.9% in 2020 to 5.2% in 2023.

72 | 97 Taipei Representative Office in Singapore


Figure 17: Share of Taiwan's Semiconductor Exports by Countries: 2016-2023

Source: IEK, ITRI, March 4, 2024.

Table 22: Share of Taiwan's Semiconductor Export by Countries: 2016-2023


Unit: %
2016 2017 2018 2019 2020 2021 2022 2023
China (Inc. HK) 53.9 55.5 58.0 59.1 61.3 60.3 58.0 54.2
Singapore 14.9 13.8 12.2 12.0 11.8 12.1 11.1 12.7
Korea 9.0 7.4 6.8 6.9 7.1 7.5 7.6 6.8
Japan 7.6 7.4 7.6 8.1 7.6 7.6 8.6 9.4
Malaysia 4.6 5.8 5.5 4.7 3.9 4.0 5.0 5.2
Others 10.0 10.0 9.9 9.2 8.3 8.5 9.7 11.6
Source: IEK, ITRI, March 4, 2024.

Figure 18 and Table 23 show the main countries where Taiwan’s


semiconductors were imported from 2016 to 2023. Taiwan's top five import
sources included China, Korea, Japan, the U.S.A., and Singapore. In 2023,
Taiwan imported the most from China (including Hong Kong) (23.5%) followed
by South Korea (23%), Japan (9.8%), the U.S.A. (6.4%), and Singapore (5.3%).
Other countries combined stood for 27% of imports.

73 | 97 Taipei Representative Office in Singapore


Figure 18: Share of Taiwan's Semiconductor Imports by Countries: 2016-2023

Source: IEK, ITRI, March 4, 2024.

Table 23: Share of Taiwan's Semiconductor Imports by Countries: 2016-2023


Unit: %
2016 2017 2018 2019 2020 2021 2022 2023
China (Inc. HK) 19.0 22.0 22.5 25.6 24.8 24.9 24.4 23.5
Korea 15.0 16.9 17.7 14.2 17.2 20.5 22.0 23.0
Japan 16.7 14.3 13.3 13.0 13.1 12.6 10.6 9.8
USA 10.5 9.7 8.4 7.5 7.0 6.3 5.9 6.4
Singapore 8.8 8.4 7.7 6.2 6.5 6.2 5.6 5.3
Malaysia 4.9 4.7 5.4 8.2 8.0 6.4 6.0 5.0
Others 25.3 24.0 25.0 25.3 23.4 23.1 25.4 27.0
Source: IEK, ITRI, March 4, 2024.

Table 24 shows Taiwan’s semiconductor sub-industries value-add to the


country’s national income. As the pillar of Taiwan's economy, the
semiconductor industry has been growing rapidly, with its value-add increasing
from NT$ 1,275.4 billion (US$ 39.7 billion) in 2016 to US$ 81.6 billion in 2023.
Among Taiwan’s semiconductor sub-industries, IC manufacturing made the
highest value-added contribution. In 2023, IC manufacturing’s value-added
contribution was NT$ 1,904.4 (US$ 59.2 billion) while that of IC design and IC
packaging and testing were US$ 13.9 billion and US$ 8.4 billion respectively.

74 | 97 Taipei Representative Office in Singapore


Table 24: Value-added Contribution of Taiwan's Semiconductor Sub-
industries: 2016-2023
Unit: NT$ Billion
2016 2017 2018 2019 2020 2021 2022 2023
IC Design 163.9 158.9 179.6 218.9 270.4 483.5 515.0 448.2
IC
888.4 932.7 1,022.1 973.4 1,286.1 1,590.9 2,141.7 1,904.4
Manufacturing
IC Packaging
223.1 228.0 232.7 237.8 262.4 309.6 334.1 270.7
and Tes ng
IC Industry 1,275.4 1,319.6 1,434.4 1,430.1 1,818.9 2,384.0 2,990.8 2,623.3
Source: IEK, ITRI, March 4, 2024.

Table 25 shows the value-added ratio of Taiwan’s semiconductor sub-


industries from 2016 to 2023. The value-added ratio of Taiwan’s
semiconductor industry and its various sub-industries have increased from
2016 to 2023. In 2023, the value-added ratio stood at 61.7% for the industry
while that of the IC design, IC manufacturing and IC packaging and testing sub-
industries stood at 41.5%, 73.1% and 47.7% respectively.

Table 25: Value-added Ratio of Taiwan's Semiconductor Sub-industries: 2016-


2023
Unit: %
2016 2017 2018 2019 2020 2021 2022 2023
IC Design 25.1 25.7 28.0 31.6 31.7 39.8 41.8 41.5
IC Manufacturing 66.7 68.2 68.8 66.1 70.7 71.4 73.3 73.1
IC Packaging and Tes ng 48.1 47.8 47.2 47.5 47.8 48.5 48.8 47.7
IC Industry 52.1 53.6 54.8 53.7 56.4 58.4 61.8 61.7
Source: IEK, ITRI, March 4, 2024.

75 | 97 Taipei Representative Office in Singapore


B. Capital Expenditure
Figure 19: Capital Expenditure of Taiwan's IC Industry: 2016-2023

Source: IEK, ITRI, March 4, 2024.

Despite the challenges posed by global economic conditions, Taiwan’s


semiconductor industry remains resilient and committed to advancing its
capabilities. The industry’s capital spending continues to rise, reflecting its
strategic importance and dedication to innovation. Capital expenditure in IC
manufacturing and IC packaging and testing has increased from 2016 to 2023.
In 2023, Taiwan’s IC manufacturing recorded a capital expenditure of
NT$ 1,933 billion (US$ 60.1 billion) while its IC testing and packaging hit a
capital expenditure of US$ 3.6 billion (see Figure 19).

C. Employment, R&D Expenditure and


Researchers
Table 26 shows the research and development (R&D) expenditure of
Taiwan’s semiconductor industry from 2016 to 2023. Due to its economic
importance, Taiwan’s semiconductor industry’s total R&D spending has

76 | 97 Taipei Representative Office in Singapore


increased from NT$ 259.6 billion (US$ 8.1 billion) to NT$ 433.4 billion (US$ 13.5
billion) in 2023, with IC manufacturing leading in R&D spending. In 2023, IC
manufacturing spent NT$ 217.4 billion (US$ 6.8 billion) on R&D, followed by IC
design (US$ 6.2 billion), and IC packaging and testing (US$ 0.6 billion).

Table 26: Research and Development Expenditure of Taiwan's Semiconductor


Industry: 2016-2023
Unit: NT$ Billion

2016 2017 2018 2019 2020 2021 2022 2023


IC Design 109.0 108.0 115.5 125.5 157.8 220.5 232.0 198.0
IC Manufacturing 135.4 116.4 117.3 118.7 142.5 168.1 205.1 217.4
IC Packaging and 15.2 15.5 15.7 16.5 16.7 19.9 22.0 18.0
Tes ng
IC Industry 259.6 239.9 248.5 260.7 317.0 408.5 459.1 433.4
Source: IEK, ITRI, March 4, 2024.

Taiwan’s semiconductor industry continues to thrive, attracting talent


and driving technological advancements. Figure 20 shows the workforce trends
in Taiwan’s IC industry from 2016 to 2023. The number of persons employed in
the semiconductor industry rose from 228,160 in 2016 to 318,374 in 2023. Of
the semiconductor sub-industries, IC packaging and testing has the largest
number of employees, followed by IC manufacturing and IC design. This is
because the IC packaging and testing phase in the semiconductor industry
tends to be more labor-intensive compared to IC manufacturing (wafer
foundry). In 2023, the number of persons employed in IC design, IC
manufacturing and IC packaging and testing were 56,450; 124,412; and
137,512 respectively.

77 | 97 Taipei Representative Office in Singapore


Figure 20: Number of Employees in Taiwan's IC Industry: 2016-2023

Source: IEK, ITRI, March 4, 2024.

D. Global Expansion of Taiwan’s Leading


Semiconductor Companies
Taiwan’s leading semiconductor companies are expanding globally,
investing heavily to strengthen their positions in the global IC market. As
various countries actively develop their semiconductor industries, Taiwan’s
foundries, including the TSMC, UMC, and PSMC, are becoming prime targets
for local manufacturing facilities. As foundries expand their operations
overseas, a number of their Taiwanese suppliers are also expanding their
presence in these regions to provide more timely and efficient services.
Leading IC design companies such as MediaTek as well as packaging and testing
companies such as ASEH are also expnding globally, contributing to the robust
growth of the semiconductor industry worldwide.

78 | 97 Taipei Representative Office in Singapore


 TSMC

TSMC is the world’s largest contract chipmaker and plays an important


role in the global semiconductor supply chain.

Despite the massive April 3, 2024, earthquake in Taiwan, TSMC


announced that its full-year revenue would not be impacted, allaying concerns
of a hit to the global semiconductor supply.129 Apart from certain production
lines in areas that experienced greater impact, equipment in TSMC and other
Taiwan fabs such as UMC, Vanguard International Semiconductor and
Powerchip Semiconductor Manufacturing have largely been fully recovered by
April 5, 2024.

Over its more than thirty years of existence, TSMC has established deep
roots in Taiwan, employing a large workforce of engineers, research and
development scientists, technicians, and production workers. TSMC currently
has a global R&D center and operates four 12-inch wafer GIGAFAB® fabs, four
8-inch wafer fabs, and one 6-inch wafer fab – all in Taiwan – as well as one 12-
inch wafer fab at a wholly owned subsidiary, TSMC Nanjing Company Limited
in China, and two 8-inch wafer fabs at wholly owned subsidiaries, TSMC
Washington in the United States, and TSMC China Company Limited in
Shanghai, China. 130

With the semiconductor industry’s recovery this year (2024) and the
high demand for AI chips, expansion efforts in the north, central, and southern
regions have become more active. In April 2024, first tool-in will be
commenced at the Baoshan 2 nm plant (Fab 20) in the Hsinchu Science Park.
Meanwhile, a 2 nm plant (Fab 22) in Kaohsiung is under construction, with
plans for a third plant underway. TSMC’s 2 nanometer process is scheduled for
mass production in 2025.131 Concurrently, TSMC has been actively expanding
its global manufacturing footprint, with plans for new fabs in the United States,
Japan, and Germany (see Figure 21).

129
Yuvraj Malik, “TSMC retains 2024 revenue view in sign of limited impact of earthquake,” Reuters, April 5,
2024.
130
TSMC, TSMC Fabs, https://www.tsmc.com/english/aboutTSMC/TSMC_Fabs. Accessed on April 16, 2024.
131
TrendForce, Press Release: “TSMC to Expand Production with New Facilities Across Taiwan in April,” March
7, 2024.

79 | 97 Taipei Representative Office in Singapore


Figure 21: TSMC’s Global Expansion

1 fab in Dresden

2 fabs in Kumamoto 3 fabs in Arizona

Source: Figure adapted from Central News Agency (CNA) graphic, August 8, 2023.

The expansion of TSMC to Japan, the U.S.A. and Germany is a major


event in the global semiconductor industry. It is a sign of the growing demand
for semiconductors and is also seen as a key to strengthening the resilience of
the global semiconductor supply chain.

1. Phoenix, Arizona: TSMC Arizona’s first fab is on track to begin


production leveraging 4nm technology in first half of 2025. The second
fab will produce the world’s most advanced 2 nm process technology
with next-generation nanosheet transistors in addition to the previously
announced 3 nm technology, with production beginning in 2028. The
third fab will produce chips using 2 nm or more advanced processes,
with production beginning by the end of the decade. TSMC’s total capital

80 | 97 Taipei Representative Office in Singapore


expenditure for the 3 fabs in the Phoenix, Arizona site is more than
US$ 65 billion, making the site the largest foreign direct investment in
Arizona history, and the largest foreign direct investments in a greenfield
project in U.S. history.132

2. Kumamoto, Japan: TSMC celebrated the opening of its majority-owned


subsidiary Japan Advanced Semiconductor Manufacturing, Inc. (JASM) in
Kumamoto Prefecture, Japan, on February 24, 2024. A second Japanese
plant is scheduled to begin operation by the end of 2027, bringing the
total investment in its Japan venture to more than US$ 20 billion with
the support of the Japanese government. With both fabs, JASM’s
Kumamoto site is expected to offer a total production capacity of more
than 100,000 12-inch wafers per month, starting from various
nanometer process technologies for different applications.133

3. Dresden, Germany: TSMC, along with Bosch, Infineon, and NXP,


established a joint venture to bring advanced semiconductor
manufacturing to Europe. The joint venture, European Semiconductor
Manufacturing Company (ESMC) GmbH, is planned under the framework
of the European Chips Act. The fab is expected to have a monthly
production capacity of 40,000 12-inch wafers on TSMC’s 28/22 and
16/12 nanometer process technology. Construction is aimed to begin in
the second half of 2024, with production targeted to start by the end of
2027. Total investments are expected to exceed EU€ 10 billion (US$ 10.6
billion), with significant support from the European Union and German
government.134

At its home base in Taiwan, TSMC sits at the center of a web of factories,
suppliers and engineering firms. As TSMC expands its operations overseas,
many of its Taiwanese suppliers are also expanding their presence in these
regions to maintain close proximity and provide timely services to TSMC.135 For

132
Taiwan Semiconductor Manufacturing Company (TSMC), Press Release: “TSMC Arizona and U.S.
Department of Commerce Announce up to US$6.6 Billion in Proposed CHIPS Act Direct Funding, the
Company Plans Third Leading-Edge Fab in Phoenix,” April 8, 2024.
133
Taiwan Semiconductor Manufacturing Company (TSMC), Press Release: “JASM Set to Expand in
Kumamoto Japan,” February 6, 2024; TSMC, Press Release: “TSMC Celebrates the Opening of JASM in
Kumamoto, Japan,” February 24, 2024.
134
Huang Ya-shih and Alison Hsiao, “Smaller city near Dresden hopes for boost following TSMC's arrival,”
Focus Taiwan, March 2, 2024.
135
Taipei Times, “Chip firms to invest US$210bn globally,” November 26, 2023.

81 | 97 Taipei Representative Office in Singapore


instance, Marketech International Corp, a facility monitor control system
provider for TSMC, has set up a team in the U.S.A. and is planning to expand its
presence there due to TSMC’s Arizona project. Likewise, Mirle Automation
Corporation, which provides intelligent automation system solutions to TSMC,
has expressed its intention to stay geographically close to TSMC while IC
packaging and testing services provider ASEH is studying possible investments
in the U.S.A. so that it could continue to support TSMC’s operations.136 These
expansions highlight the interconnected nature of the semiconductor supply
chain and the importance of maintaining close relationships between
manufacturers and their suppliers.

 UMC and PSMC

Taiwan’s second largest foundry, UMC, has fabs spread across Taiwan,
Singapore, China, and Japan.137 Meanwhile, PSMC, Taiwan’s third largest
foundry, has established joint ventures to set up semiconductor fabs in several
countries, including China, India, and Japan.138 According to PSMC Chairman
Frank Huang, PSMC is the go-to option for countries seeking guidance in
semiconductor manufacturing as South Korea, the United States and Taiwan’s
TSMC and UMC are unwilling to teach others how to make semiconductors.139

 MediaTek

Chip designer MediaTek, for example, has offices in countries, including


the United States, China, Dubai, Finland, Germany, India, Japan, Korea,
Singapore, Sweden, and the United Kingdom on top of its operations in
Taiwan.140 Meanwhile, semiconductor testing and packaging giant ASE has
manufacturing locations spanning the globe, with facilities in Taiwan, China,
South Korea, Japan, Singapore, Malaysia, Vietnam, Mexico, United States,
Poland, France, United Kingdom, Germany, Tunisia and the Czech Republic.141

136
Ibid.
137
United Microelectronics Corporation, “Overview,” https://www.umc.com/en/StaticPage/about_overview
138
Powerchip Semiconductor Manufacturing Corporation (PSMC), Press Releases: “Taiwan and Japan join
hands to build JSMC’s first fab in Miyagi Prefecture,” October 31, 2023; “Tata joins hands with PSMC to
build India's first 12-inch fab,” February 29, 2024.
139
TrendForce, Press Release: “TSMC and PSMC Face Dilemma as Overseas Foundry Costs Skyrocket 2.5 to 4
Times,” January 12, 2024.
140
MediaTek, “Office Locations.” https://corp.mediatek.com/about/office-locations. Accessed April 10, 2024.
141
ASE Holdings, “About the Company.” https://www.aseglobal.com/. Accessed April 10, 2024.

82 | 97 Taipei Representative Office in Singapore


4. Opportunities and Prospects of
Taiwan’s Semiconductor Industry
A. Taiwan’s Stock Market
The Semiconductor Industry Association (SIA) of the United States stated
in 2021 that if Taiwan was unable to produce chips for an entire year, the
revenue of the global electronics industry would fall by nearly US$ 500 billion.
Besides its pivotal role in the global semiconductor industry, the robust
international competitiveness of Taiwan’s semiconductor companies is also an
important engine of growth for Taiwan’s capital market.

As of December 2023, the total market value of semiconductor


companies listed on the Taiwan Stock Exchange Corporation (TWSE) accounted
for approximately 40% of the market value of all listed companies in Taiwan.
The semiconductor industry plus other ICT-related industry accounted for 60%
of the total market value. Their operations include IC design, foundry, and
packaging and testing. The upstream, midstream, and downstream sections of
the industry form comprehensive semiconductor industry clusters which play a
crucial role in Taiwan’s economic growth and technological advancement.

Taiwan’s stock market offers advantages in terms of low price-earnings


ratio and high yields. Its transaction value and turnover rate are some of the
highest among Asian markets, which demonstrate that Taiwanese stock prices
are relatively reasonable and highly liquid, and that investors can obtain stable
cash dividends. In addition, more than 50% of foreign capital investments in
Taiwan’s capital market are invested in semiconductor stocks, which
demonstrates the indispensable role of Taiwan’s semiconductor industry in the
global capital market (see Table 27).

Going forward, the TWSE will continue to help Taiwan’s semiconductor


companies leverage the power of the capital market and national industrial
policies and resources to consolidate the key role of Taiwan’s semiconductor
industry in the global semiconductor industrial chain while expanding its lead
over its competitors.

83 | 97 Taipei Representative Office in Singapore


Table 27: Basic Information of Main Listed Companies of Taiwan’s IC Industry
Unit: Capital, revenue, market value: US$ billion
Short Name of Short Name of Revenue in the
Stock 2022 Market PB Dividend
Industry Chain the Company in the Company in Listing Date Capital First Three PE Ratio Company Website
Code Revenue Value Ratio Yield
English Chinese Quarters of 2023
2454 MTK 聯發科 2001/07/23 0.51 18.39 9.82 48.37 3.83 21.55 8.04% www.mediatek.com
2379 RT 瑞昱 1998/10/26 0.16 3.75 2.35 7.38 5.43 25.31 6.01% www.realtek.com
3034 NOVATEK 聯詠 2002/08/26 0.19 3.68 2.69 9.95 5.04 14.11 7.24% www.novatek.com.tw
IC design 3592 Raydium 瑞鼎 2022/01/07 0.02 0.76 0.43 0.98 2.83 21.43 10.19% www.rad-ic.com
4961 FITIPOWER 天鈺 2018/10/17 0.04 0.66 0.40 1.10 2.03 17.42 3.01% www.fitipower.com
8016 Sitronix 矽創 2003/12/25 0.04 0.60 0.39 1.11 3.33 19.23 7.61% www.sitronix.com.tw
3006 ESMT 晶豪科 2002/03/04 0.09 0.54 0.28 0.87 2.48 - 1.90% www.esmt.com.tw
3661 Alchip 世芯-KY 2014/10/28 0.02 0.46 0.69 7.39 13.14 84.72 0.42% www.alchip.com
IC design
3443 GUC 創意 2006/11/03 0.04 0.81 0.64 7.07 24.6 53.38 0.85% www.guc-asic.com
service
3035 Faraday 智原 2002/08/26 0.08 0.44 0.30 3.07 10.66 53.39 1.30% www.faraday-tech.com
2330 TSMC 台積電 1994/09/05 8.30 75.84 49.63 478.73 4.47 16.71 1.91% www.tsmc.com
2303 UMC 聯電 1985/07/16 4.00 9.34 5.41 19.56 1.75 9.14 7.36% www.umc.com
Foundry
6770 PSMC 力積電 2021/12/06 1.30 2.55 1.06 3.92 1.28 51.02 3.42% www.powerchip.com
4919 NTC 新唐 2010/09/27 0.13 1.40 0.87 1.99 3.88 25.69 4.71% www.nuvoton.com
2408 NTC 南亞科 2000/08/17 0.99 1.91 0.68 7.43 1.35 - 2.84% www.nanya.com
Memory 2344 WEC 華邦電 1995/10/18 1.34 3.17 1.80 3.79 1.3 - 3.53% www.winbond.com
2337 Macronix 旺宏 1995/03/15 0.59 1.46 0.70 1.71 1.09 - 6.25% www.macronix.com
3711 ASEH 日月光投控 2018/04/30 1.40 22.48 13.61 17.94 1.87 14.48 6.87% www.aseglobal.com
IC packaging 6239 PTI 力成 2004/11/08 0.24 2.81 1.66 2.72 1.64 15.51 6.25% www.pti.com.tw
and testing 2449 KYEC 京元電子 2001/05/09 0.39 1.23 0.79 3.24 2.72 17.54 4.23% www.kyec.com.tw
8150 ChipMOS 南茂 2014/04/11 0.23 0.79 0.50 0.95 1.21 18.98 5.64% www.chipmos.com

84 | 97 Taipei Representative Office in Singapore


Short Name of Short Name of Revenue in the
Stock 2022 Market PB Dividend
Industry Chain the Company in the Company in Listing Date Capital First Three PE Ratio Company Website
Code Revenue Value Ratio Yield
English Chinese Quarters of 2023
6257 SIGURD 矽格 2003/08/25 0.15 0.63 0.37 0.93 1.77 16.97 6.58% www.sigurd.com.tw
2329 OSE 華泰 1994/04/20 0.26 0.52 0.39 1.05 4.09 28.93 1.43% www.ose.com.tw
8131 FATC 福懋科 2007/11/29 0.14 0.35 0.18 0.55 1.48 21.99 8.43% www.fatc.com.tw
8110 WALTON 華東 2007/10/31 0.17 0.32 0.17 0.25 0.76 - 0.98% www.walton.com.tw

Wafer plant 2404 UIS 漢唐 2000/03/14 0.06 1.61 1.64 1.49 4 9.81 6.13% www.uisco.com.tw
construction
and system
integration 6139 L&K 亞翔 2003/08/25 0.07 1.20 1.06 1.05 3.33 15.82 2.40% www.lkeng.com.tw

Wafer 6196 MIC 帆宣 2004/05/24 0.06 1.69 1.33 0.86 2.5 11.26 4.19% www.micb2b.com
manufacturing
equipment 3413 Fiti 京鼎 2015/07/28 0.03 0.50 0.31 0.61 1.73 10.09 6.98% www.foxsemicon.com.tw
Wafers 3532 FST 台勝科 2007/12/10 0.12 0.55 0.36 2.04 2.56 16.67 4.45% www.fstech.com.tw
Photomasks 2338 TMC 光罩 1995/04/17 0.08 0.26 0.17 0.63 3.38 18.14 3.25% www.tmcnet.com.tw
1717 ETERNAL ⾧興 1994/03/31 0.38 1.64 1.02 1.12 1.39 24.07 4.05% www.eternal-group.com
Chemicals,
5434 TOPCO 崇越 2003/08/25 0.06 1.77 1.20 1.10 2.4 12.48 5.46% www.topco-global.com
photoresist
3010 WAH LEE 華立 2002/07/22 0.08 2.46 1.60 0.76 1.31 11.78 6.07% www.wahlee.com
Packaging and 2360 CHROMA 致茂 1996/12/21 0.14 0.74 0.44 2.93 4.24 22.54 3.72% www.chromaate.com
testing
equipment 3583 Scientech 辛耘 2013/03/12 0.03 0.19 0.16 0.57 4.61 29.04 1.63% www.scientech.com.tw
3037 UNIMICRON 欣興 2002/08/26 0.49 4.71 2.53 8.64 3.03 16.42 4.51% www.unimicron.com
Substrates 8046 N.P.C 南電 2006/04/07 0.21 2.17 1.06 5.13 3.38 15.83 7.26% www.nanyapcb.com.tw
3189 KINSUS 景碩 2004/11/01 0.15 1.39 0.62 1.44 1.43 51.24 6.55% www.kinsus.com.tw
Lead frames 8070 CHANGWAH ⾧華* 2007/12/31 0.02 0.73 0.40 0.76 1.64 14.5 7.33% www.cwei.com.tw

85 | 97 Taipei Representative Office in Singapore


Short Name of Short Name of Revenue in the
Stock 2022 Market PB Dividend
Industry Chain the Company in the Company in Listing Date Capital First Three PE Ratio Company Website
Code Revenue Value Ratio Yield
English Chinese Quarters of 2023
2351 SDI 順德 1996/04/25 0.06 0.39 0.27 0.69 3.2 27.38 2.71% www.sdi.com.tw
5285 JihLin 界霖 2014/02/25 0.03 0.21 0.12 0.25 2.67 42.23 4.63% www.jihlin.com.tw
3702 WPG 大聯大 2005/11/09 0.60 25.97 15.79 4.17 1.72 24.25 4.96% www.WPGholdings.com
3036 WT 文曄 2002/08/26 0.33 19.14 13.08 3.88 1.98 29.4 3.63% www.wtmec.com
IC distributors
8112 Supreme 至上 2007/12/31 0.17 5.83 3.33 0.89 1.78 18.95 7.87% www.supreme.com.tw
3048 EDOM 益登 2002/10/01 0.09 3.98 2.43 0.21 1.26 174.64 8.18% www.edomtech.com
Note 1: The market value, PB ratio, PE ratio, and dividend yield are based on the closing prices of the companies on November 30, 2023, and the financial reports for Q3
2023.
Note 2: TWD to US$ exchange rate: 2022 revenue: 29.849; revenue in the first three quarters of 2023: 30.955; capital, market value: 31.255
Source: Financial reports of companies on the Market Observation Post System(https://mops.twse.com.tw/mops/web/index) compiled by the Taiwan Stock Exchange
Corporation, December 2023

86 | 97 Taipei Representative Office in Singapore


B. Talent Cultivation
In terms of human resources, more than 10,000 people graduate from IT-
related programs in Taiwan and join the workforce every year.142 As countries
pledge billions for domestic chip production and companies scramble to build
new plants, the need for semiconductor talents to design, manufacture and test
chips has intensified globally.

The semiconductor workforce, which is estimated at more than two


million direct semiconductor employees worldwide in 2021, will need to grow
by more than one million additional skilled workers by 2030, according to
Deloitte’s 2023 semiconductor industry outlook. That means adding about more
than 100,000 workers annually, the report said.143

Addressing the chip talent shortage is a top priority for Taiwan. To this
end, Taiwan passed the National Key Fields Industry-University Cooperation and
Skilled Personnel Training Act in May 2021 to allow companies and national
universities to jointly develop talent in key sectors. As of June 2023, six
semiconductor research institutes have been set up across the island: the
Graduate School of Advanced Technology at National Taiwan University, the
Innovation Frontier Institute of Research for Science and Technology at National
Taipei University of Technology, the College of Semiconductor Research at
National Tsing Hua University, the Industry Academia Innovation School at
National Yang Ming Chiao Tung University, the Academy of Innovative
Semiconductor and Sustainable Manufacturing at National Cheng Kung
University, and the College of Semiconductor and Advanced Technology
Research at National Sun-yat Sen University. Together, in 2022, they admitted
483 master’s students and 80 doctoral students, helping the semiconductor
industry to resolve the high-level talent shortage.144

Since 2023, the Taipei Representative Office in Singapore (TRO) has been
working with the following eight universities in Taiwan which offer degree
programs to broaden the pool of talented candidates for positions in the global
semiconductor industry:

142
Ministry of Economic Affairs, R.O.C. (Taiwan) – Key innovative Industries in Taiwan: Semiconductors,
November 2022. https://investtaiwan.nat.gov.tw/getFile?file=a78f26d2-dbe0-4fb3-9ece-
093f18f60ee3.pdf&Fun=ArticleAction&lang=eng. Accessed April 1, 2024.
143
Parichart Jiravachara, 2023 Semiconductor Industry Outlook, Deloitte, September 22, 2022.
144
Ministry of Education, Republic of China (Taiwan), Press Release: “The Private and Public Sectors Work
Together to Fill Talent Gaps Six Major Semiconductor Research Colleges,” June 26, 2023.

87 | 97 Taipei Representative Office in Singapore


1.
National Cheng Kung University
2.
National Sun Yat-sen University
3.
National Taipei University of Technology
4.
National Taiwan University
5.
National Tsing Hua University
6.
National Yang Ming Chiao Tung University
7.
Lunghwa University of Science and Technology
8.
Minghsin University of Science and Technology
[A copy of the brochure is available at: https://www.roc-
taiwan.org/uploads/sites/86/2023/08/Study-Semiconductor-in-
Taiwan0829_compressed.pdf]

Talent development is critical for a world run by semiconductors and for


developing an indigenous semiconductor industry. Just as it takes years to build
semiconductor fabrication facilities, it takes time to develop talent for the
industry. Besides racing to set up specialized “chip schools” that run year-round
to train its next generation of semiconductor engineers, Taiwan is also
introducing chip courses into high school curricula to spur the interest of high
school students in choosing STEM (science, technology, engineering and
mathematics) programs at the university level.

In 2023, the Ministry of Economic Affairs conducted a series of


recruitment missions in ASEAN countries and India to recruit outstanding local
college students for direct employment and the “Study in Taiwan” program.145
Taiwan’s Ministry of Education also led a 17-member delegation comprising vice
presidents or deans from eleven universities in Taiwan to India in November
2023 to promote bilateral educational collaboration. 146 To attract more
international talent to study, work and add vitality to its semiconductor sector,
Taiwan has simplified key rules for hiring foreign university graduates, including
removing the need for two years of work experience to qualify for work visas in
Taiwan since April 2023.147

145
Taiwan Immigrants’ Global News Network, “The Ministry of Economic Affairs went to ASEAN countries to
recruit outstanding local college students for "direct employment," May 28, 2023.
146
Ministry of Education, Republic of China (Taiwan), Press Release: “Ministry of Education Delegation Visit to
India Advances Semiconductor Personnel Training Collaboration,” February 29, 2024.
147
Yojana Sharma, “Taiwan ups drive to attract foreign students, researchers,” University World News,
December 31, 2023.

88 | 97 Taipei Representative Office in Singapore


C. Resilience of the Global Supply Chain and
Peace across the Taiwan Strait
Semiconductors produced in Taiwan are essential components of the
world economy. As semiconductor technologies expand into every aspect of
industry and daily life, or as enablers for new services, the semiconductor
industry is the foundation for not just Taiwan’s but the world’s future. A
semiconductor supply chain disruption involving Taiwan will be devastating to
not only Taiwan but the rest of the world as well.

The potential economic impact of a conflict over Taiwan is indeed


staggering. According to Bloomberg, the cost could be around US$ 10 trillion,
which is equivalent to about 10% of global GDP.148 This figure is significant and
would dwarf the economic impacts of other major global events such as the war
in Ukraine, the COVID-19 pandemic, and the Global Financial Crisis. The
economic impact of such an event can be far-reaching, affecting not just the
countries directly involved, but also global trade, investment, and financial
markets. Moreover, beyond the economic costs, such a conflict will also cause
enormous human suffering and has substantial social impacts. Therefore, efforts
towards maintaining peace and stability are of utmost importance.

To conclude, countries committed to economic growth and technological


innovation have an enormous stake in the resilience of the global semiconductor
supply chain as well as in the stability and peace across the Taiwan Strait.

Antony J. Blinken, US Secretary of State (July 14, 2023)

The United States also seeks to maintain peace and stability in the Taiwan
Strait, which is in the interest of all nations. Fifty percent of global commerce
goes through that strait every single day. Some 70 percent of the
semiconductors made for the world are made in Taiwan. We continue to
oppose unilateral changes to the status quo by either side.149

148
Jennifer Welch, Jenny Leonard, Maeva Cousin, Gerard DiPippo, and Tom Orlik, “Xi, Biden and the $10
Trillion Cost of War Over Taiwan,” Bloomberg, January 9, 2024.
149
US Department of State, Press Release: “Secretary Antony J. Blinken at a Press Availability”, July 14, 2023.

89 | 97 Taipei Representative Office in Singapore


UK’s foreign secretary, David Cameron (March 21, 2024)

Former British prime minister David Cameron has warned the world is
currently a "more dangerous" place than it has been for many years, citing
conflicts around the globe and China's expansive plans, especially in relation
to Taiwan.

"The lights on the global dashboard are flashing red, so it is a much more
dangerous, difficult, uncertain world."

"We don't want to see any unilateral action to change the situation between
China and Taiwan. There's no doubt that were there to be something like a
blockade it would have an absolutely calamitous effect, not just on Taiwan, but
on the global economy. We've had recent evidence of a calamitous event with
COVID. I think if that were to happen with Taiwan, it would be more
significant."150

U.S. Director of National Intelligence Avril Haines (May 5, 2023)

Director Haines presented what she called a "general estimate" during


testimony before the [US] Senate Armed Services Committee:

She noted that the advanced semiconductor chips produced by Taiwan


Semiconductor Manufacturing Company Ltd (TSMC) are used in 90 percent of
"almost every category of electronic device around the world." If a Chinese
invasion stopped TSMC from producing those chips, " it will have an enormous
global financial impact that I think runs somewhere between [US]$ 600 billion
to [US]$ 1 trillion on an annual basis for the first few years," she said.151

150
7.30, ABC News, “Former British prime minister David Cameron warns China conflict with Taiwan would be
'calamitous' as world enters 'dangerous' era, March 21, 2024.
151
Reuters, “Taiwan chip production would be 'enormous' global economic blow”, May 5, 2023.

90 | 97 Taipei Representative Office in Singapore


D. Forging A Semiconductor Partnership with
Taiwan
Currently, Taiwan’s semiconductor manufacturing companies mainly
manufacture products in Taiwan and sell them across the globe. More than
90% of the main production sites as well as high-end technologies, advanced
processes, and forward-looking research and development remain in Taiwan.

ASML, Applied Materials, Lam Research, and Tokyo Electron Laboratories,


Inc (TEL), the four semiconductor equipment giants, have made investments in
Taiwan since the Investing in Taiwan program took off, with ASML starting its
R&D of the next-generation wafer metrology system in Taiwan, Applied
Materials launching its first combined display manufacturing and R&D center
at Tainan's Southern Taiwan Science Park in 2019, Lam Research developing
advanced etching equipment in Taiwan, and TEL setting up its operations
center in Tainan.152

Together with TSMC's advanced manufacturing technology, the entry of


the international leading materials and equipment companies provide Taiwan’s
local manufacturers and suppliers with more opportunities of joining the global
supply chain. This, in turn, will strengthen the resiliency and synergy within the
semiconductor industry.

Leading international information and communication technology (ICT)


and IC companies such as Apple, Broadcom, and Qualcomm have selected
Taiwanese companies to provide contract wafer manufacturing and IC
packaging and testing services. These collaborations highlight the significance
of Taiwan’s expertise in semiconductor manufacturing and its impact on
cutting-edge technologies as well as its role as a reliable and secure partner in
the semiconductor supply chain.

Although the United States, Japan, and Europe have invited TSMC, the
top Taiwanese semiconductor company, to set up operations in their
countries, the new plants in these countries will not change Taiwan’s position

152
Liu Chien-ling, Tseng Chi-yi, and Alison Hsiao, “Taiwan investment program inflows forecast to reach
NT$230 billion in 2024,” Focus Taiwan, February 6, 2024.

91 | 97 Taipei Representative Office in Singapore


in the global semiconductor industry. TSMC continues to advance its most
cutting-edge processes and advanced packaging technologies in Taiwan.153 It is
also difficult for other countries to take over Taiwan’s production capacity or
its pivotal role in the global semiconductor industry in the near and
foreseeable future.

The semiconductor industry is a cornerstone of global economic


development in the digital age. Every effort will be made by the Taiwan
government to support foreign investment and collaboration with Taiwan in the
semiconductor industry. Its Ministry of Economic Affairs has outlined three
areas of partnership with Taiwan, namely, 1) joining Taiwan’s core cluster, 2)
exploring the growing global market for semiconductor equipment and
materials, and 3) establishing operations and research centers to tap into the
fast-growing Asia market.154

 Joining Taiwan’s Core Cluster of the Global Semiconductor Industry

The complete semiconductor industry chain, production clusters, and


R&D capability in Taiwan generates potential synergies for foreign businesses
that set up R&D centers or production sites in Taiwan.

In terms of human resources, more than 10,000 people graduate from IT-
related programs in Taiwan and join the workforce every year. OECD data shows
that Taiwanese students are ranked 4th in the world in science education. The
Taiwan AI Academy was also established in 2017 to cultivate talent for the AI
industry. Taiwan has a big competitive advantage in edge computing and AI
chips, and Taiwan has succeeded in building up a very complete supply chain
ecosystem.

In addition, Taiwan also passed the National Key Fields Industry-University


Cooperation and Skilled Personnel Training Act in May 2021 to allow companies
and national universities to jointly develop talent in key sectors, in addition to
efforts by private universities. Thirteen universities have set up semiconductor
colleges or engineering departments and begun recruitment to strengthen basic
research and the partnerships necessary for semiconductor development.

153
John Liu and Paul Mozur, “Why TSMC Will Keep Its Roots in Taiwan, Even as It Goes Global,” The New York
Times, August 4, 2023.
154
Ministry of Economic Affairs, “Key Innovative Industries in Taiwan-Semiconductors,” 2022.
https://investtaiwan.nat.gov.tw/showIndInfo?guid=1&lang=eng&menuNum=3

92 | 97 Taipei Representative Office in Singapore


The AI on Chip Taiwan Alliance (AITA) formed in July 2019 is composed of
local and foreign semiconductor and ICT vendors, local universities, and national
research institutions such as ITRI. Four "key technology committees" in AITA
focus on AI system applications, heterogeneous integration, emerging
architectures, and AI system software. AITA will be building on Taiwan's existing
advantages by moving from horizontal division of labor to vertical integration. It
will also help the industry reduce its R&D costs for AI chips by 90% and shorten
their development time by over 6 months.

In addition, information security has become critical as the globe


embraces smart manufacturing and digitalization. SEMI, an international
semiconductor industry association, published the first information security
standards for semiconductor wafer equipment in Taiwan in December 2021 and
organized the inauguration of the Semiconductor Supply Chain Information
Security Alliance. The event was attended by representatives of major
semiconductor plants in Taiwan and foreign countries such as TSMC, ASE, and
Applied Materials. Information security standards were jointly decided by
semiconductor companies in Taiwan, which demonstrates Taiwan's key position
in the global semiconductor industry.

Going forward, Taiwan looks forward to foreign companies forming


technical partnerships with Taiwanese businesses to invest in the development,
growth and resilience of the semiconductor industry.

 Exploring the Growing Global Market for Semiconductor Equipment


and Materials

IoT, AI, 5G, industrial and service robotics, smart city initiatives, smart
lifestyle products, automotive electronics, and high-speed computing
applications all require the support of the semiconductor industry. The future
growth potential is considerable, and further growth in demand for
semiconductor products is expected.

According to global semiconductor trade association SEMI,


semiconductor material spending worldwide hit a new high of US$ 72.7 billion
in 2022. Due to the large number of foundries and packaging plants, Taiwan has
been the largest consumer of semiconductor materials in the world for 13
consecutive years. Taiwan spent US$ 20.1 billion on semiconductor materials in
2022, putting it ahead of South Korea and China.155
155
Focus Taiwan, “Taiwan ranked No. 1 semiconductor material buyer in 2022,” June 14, 2023.

93 | 97 Taipei Representative Office in Singapore


Currently in the field of semiconductor materials, high-performance
photoresists, metal target materials, coating agents, and specialty reactive gases
used in IC production processes, as well as wire bonding, molding, and filling
materials used in IC packaging, are all imported. IC companies are hoping
international vendors can produce those materials in Taiwan. In addition, the 5
nm and 7 nm IC production process recently began mass production in Taiwan
as R&D for 2 nm technology continues. According to media reports, TSMC looks
set to start mass producing 2 nm chips in 2025, and both Apple and Intel are at
the front of the line for them. 156

Taiwan has a high demand for high-level IC production and packaging


materials and hopes to enhance cooperation with foreign vendors. Among
front-end wafer process materials, items in strong demand include metal
sputtering deposition materials (target materials, parts/accessories), EUV
photoresists, cleaning chemicals, and CMP slurry. As for back-end packaging and
testing process materials, there is demand for high-end solid-state/liquid-state
molding compounds, IC substrate materials with a low thermal expansion rate
and a high heat dissipation rate, high-definition/low-stress buildup materials,
die attach materials, flip chip underfill, and solder resist ink.

In terms of semiconductor equipment, the industry has benefited from


growth in the demand of semiconductor front-end-of-line processes including
wafer manufacturing, wafer plants facilities, and mask equipment, as well as
rear-end-of-the-line assembly, packaging, and testing equipment

Taiwanese vendors are capable of supplying equipment for conventional


packaging processes and components for wafer production equipment and also
has many leading global chip manufacturers. This makes Taiwan a great
experimental site for the latest equipment, and Taiwan can update equipment
suppliers on the latest changes in the semiconductor industry. The aim is to
cooperate with international upstream equipment vendors on advanced
packaging equipment and equipment for 12-inch wafer processes. Foreign
vendors in the following areas are therefore invited to invest in Taiwan:

1. Front-end wafer production equipment


Deposition technology, dry etching technology, DUV and EUC exposure
technology, photoresistor coating and developing technology, chemical
mechanical polishing technology.

156
Jason England, “Forget M3 — Apple and Intel are moving to 2nm chips next year,” Tom’s Guide, February
1, 2024.

94 | 97 Taipei Representative Office in Singapore


2. Advanced packaging process equipment
Exposure technology, copper-plating technology, deposition technology,
and dry etching technology.

 Establishing Operations and Research Centers

Taiwan is home to the most complete semiconductor industry clusters


and specializations in the world. As an active, innovative economy, Taiwan is an
attractive destination for foreign-funded R&D centers. Coupling this with the
growth of the Asian semiconductor industry, Taiwan has attracted top global
semiconductor companies such as Intel, Nvidia, Qualcomm, NXP, Synapsys,
Cadence, ASML, Lam Research and ULVAC to set up operations, R&D, logistics,
testing and/or training centers on its shores. As recent as May 2023, American
Applied Materials (AMAT) announced the establishment of a semiconductor
process technology and manufacturing equipment cooperative research and
development center in Taiwan to accelerate the development and
commercialization of basic technologies that are essential to the global
semiconductor and computing industries.

The global semiconductor industry is moving in the direction of regionally


defined development, with specific foundries in different regions churning out
specific types of wafers. At the same time, this trend is generating demand from
manufacturers for after-sales service. China, Taiwan and South Korea remain the
top three countries for equipment expenditures in 2022. Beyond that, the
production in the semiconductor industry is expected to take on a role of greater
importance in Japan. Countries of Southeast Asia will continue to develop
stronger packaging and testing capabilities.

In light of the trends described above, foreign firms with increasing


numbers of customers and devices in Taiwan and neighboring Asian countries
can look at using Taiwan as a services hub. Foreign firms can set up equipment
repair and refurbishment facilities, training facilities, or experimental sites in
Taiwan. In addition, given that Taiwan is one of the largest semiconductor
equipment and material markets in the world and also a major exporter of
semiconductor components, international semiconductor manufacturers can
also look at setting up global logistics centers in Taiwan.

*****

95 | 97 Taipei Representative Office in Singapore


TaiwanPlus
 Curious about Taiwan?
 Planning a visit?
 Want to stay up to date on
regional politics?

TaiwanPlus is the premier international media platform providing English-


language news and entertainment offering independent and impartial daily
news from Taiwan. From its unique position at the nexus of geopolitics and
international trade, TaiwanPlus also provides an inside look at Taiwan-China
relations, with in-depth reporting and analysis, and showcases Taiwan’s unique
culture and lifestyle, offering some of the nation's best food, music, and travel
programming. For more information, visit https://www.taiwanplus.com/

TaiwanPlus Video on Semiconductors


2024/02/28
Taiwan Talks

Can the U.S.


Regain Its
Posi on in Chip
Manufacturing
?

The U.S. has declared plans to boost investment in its semiconductor


industry, aiming to improve its chip design capabili es with the CHIPS and
Science Act of 2022. In this episode of Taiwan Talks, we explore how this
reshapes the global semiconductor landscape and what implica ons it
might have on the tech rivalry between the U.S. and China.

96 | 97 Taipei Representative Office in Singapore


2024 /03/08
Taiwan
Connected

Startups
Innova ng in
Semiconductor
Industry by
Leveraging
Taiwan |
Connected
with Divya
Gopalan
Smartphones, computers, cars, tablets, gaming consoles and home
appliances are all around us. What do they all have in common?
Semiconductors! Taiwan has long held a place at the forefront of chip
technology, so how are startups in the industry leveraging its
advantages? We #CONNECTED with Mavis Ho, general manager of IMEC
for Greater China and SE Asia, and Niraj Mathur, co-founder of Blumind,
to understand how startups are contribu ng to current advances and
innova ons in the field of semiconductors and microchips.

2024/03/26
Taiwan Talks

Can the U.S.


Regain Its
Posi on in Chip
Manufacturing
?

Japan's economy has fallen to number four in the world, having been
overtaken by Germany. In this episode of Taiwan Talks, we explore the
collabora on between Taiwan and Japan in the semiconductor sector and
whether this partnership could mark a turning point for Japan's economic
fortunes.

*****

97 | 97 Taipei Representative Office in Singapore

You might also like