0% found this document useful (0 votes)
36 views21 pages

Advanced UVM Interview Qs 1737039108

Advance UVM interview questions

Uploaded by

ROHIT YADAV
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
36 views21 pages

Advanced UVM Interview Qs 1737039108

Advance UVM interview questions

Uploaded by

ROHIT YADAV
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 21

50 ADVANCED UVM

INTERVIEW QUESTIONS
TO SET YOU APART

class my_env extends uvm_env;


endclass
module top; initial
my_env::type_id::create("env");
endmodule

Prasanthi Chanda
1. Write a UVM driver for a simple AXI-lite protocol.

2. Implement a virtual sequence to control two agents working


concurrently.

3. Implement a UVM callback to modify a transaction before it is sent to


the driver.
4. Demonstrate TLM usage for data transfer between a monitor
and scoreboard.

5. Create a UVM test to configure the environment dynamically.

6. Write a custom UVM factory override for a sequence.


7. Write a UVM monitor to sample and broadcast transactions
for an I2C protocol.

8. Implement a scoreboarding mechanism with expected and observed


data.
9. Create a UVM sequence that generates constrained random packets for
a custom protocol.

10. Demonstrate how to use UVM configuration database to pass a virtual


interface.

11. Implement a multi-layer scoreboard for layered protocols (e.g., TCP/IP).


12. Create a UVM sequence with weighted randomization for transaction
generation

13. Use uvm_do_with to add constraints during runtime

14. Demonstrate arbitration in a sequencer for competing sequences

15. Handle burst transactions in a UVM driver


16. Extend a UVM component dynamically at runtime

17. Integrate SVA properties within a monitor

18. Write a parameterized UVM environment for a generic protocol

19. Use uvm_report_catcher for filtering out unnecessary logs


20. Demonstrate register model mirroring with backdoor access

21. How do you use uvm_tlm_fifo for buffering transactions in UVM?

22. Write a UVM component to collect functional coverage from a bus.


23. Build a coverage-driven testbench.

24. Write a UVM testbench to verify the binary counter's functionality,


including waveform analysis.

25. How do you map a RAL model to a DUT?


26. How do you use a uvm_tlm_analysis_port to connect components in
UVM? Provide an example.
27. Create a base test with a parameterized number of agents.

28. Develop a UVM testbench for a multi-channel DMA controller.

29. Handle complex transactions with nested constraints.


30. Simulate memory-mapped registers with a UVM register layer.

31. Use a virtual interface to drive bidirectional signals.

32. Build a UVM test for PCIe compliance testing.


33. Write a custom transaction printer for debugging.

34. Add a performance counter to a UVM monitor.

35. Demonstrate a layered testbench architecture.


36. Use the UVM event mechanism for inter-component synchronization.

37. Create a scoreboard with separate FIFOs for different transaction types.

38. How do you verify write-to-read consistency in a RAL model?


39. Demonstrate how to use the uvm_reg_predictor.

40. Implement a custom sequence with constraints on transactions.

41. How do you handle errors during build_phase and propagate them?
42. How do you use uvm_event for synchronization?

43. How do you create a custom UVM phase?

44. How do you implement and use uvm_callbacks in UVM?


45. What is a Register Abstraction Layer (RAL) in UVM? Provide an example
of creating a register

46. How do you use uvm_config_db to retrieve a configuration value?


47. How do you implement a UVM scoreboard to compare expected and
actual transactions?
48. Describe how to implement a UVM register model for a memory-
mapped register and perform a read-write test
49. How do you implement a virtual sequencer to coordinate multiple
sequencers in a UVM environment?
50. How do you override a sequence item in UVM using the factory
pattern?

You might also like