Seminar Report 1
Seminar Report 1
BACHELOR OF TECHNOLOGY
in
ELECTRICAL ENGINEERING
Assistant Professor
1
MALAVIYA NATIONAL
INSTITUTE OF
TECHNOLOGY, JAIPUR
CERTIFICATE
This is to certify that the seminar entitled “VLSI Design: Modern Challenges” submitted by
Mr. Aditya Kumar Jha (2022UEE1038) at Malaviya National Institute of Technology Jaipur
towards partial fulfilment of the requirements for the award of the degree of Bachelor of
Technology in Electrical Engineering at Department of Electrical Engineering has been carried
out by him under my supervision.
Place: Jaipur
Date:
2
ACKNOWLEDGEMENTS
I would like to express my sincere gratitude to all those who have contributed to the completion
of this report. First and foremost, I extend my heartfelt thanks to Dr. Saravana Prakash P., my
supervisor, for their invaluable guidance, support, and encouragement throughout the entire
process. Their expertise and insights have been instrumental in shaping the direction of this
report. I am also grateful to the Malaviya National Institute of Technology Jaipur for providing
the necessary resources and a conducive environment for conducting the research. I would like
to acknowledge the contributions of my colleagues and peers who provided constructive
feedback and engaged in thoughtful discussions, enhancing the quality of this report.
Additionally, I want to express my appreciation to my friends and family for their unwavering
support and understanding during the demanding period of report preparation. Finally, I extend
my thanks to all the individuals who, directly or indirectly, played a role in the successful
completion of this project. Your contributions have not gone unnoticed, and I am truly grateful
for your collaboration.
Thank you.
3
ABSTRACT
The realm of Very Large-Scale Integration (VLSI) design stands at a crucial juncture, driven
by the relentless push for miniaturization, enhanced performance, and energy efficiency in
semiconductor devices. As the semiconductor industry approaches the physical limits of
Moore's Law, VLSI design faces a confluence of challenges that necessitate innovative
approaches and solutions. This paper explores the contemporary landscape of VLSI design,
highlighting the primary challenges, emerging trends, and innovative solutions shaping the
future of semiconductor technologies.
Key challenges in modern VLSI design include scaling limitations, power dissipation,
variability, reliability, and design complexity. As feature sizes approach the atomic scale,
quantum mechanical effects and process variations significantly impact device performance
and yield. Furthermore, the increasing complexity of VLSI systems, coupled with stringent
power and thermal constraints, poses significant hurdles for designers.
The exploration of novel design methodologies and tools emerges as a pivotal theme,
emphasizing the role of machine learning and artificial intelligence in automating design
optimization and verification processes. These technologies not only promise to accelerate the
design cycle but also enhance the robustness and efficiency of VLSI systems.
In addressing power challenges, the paper reviews advances in low-power design techniques
and energy-efficient architectures. Innovative approaches, such as approximate computing and
adaptive voltage scaling, are examined for their potential to significantly reduce power
consumption without substantially compromising performance.
In conclusion, navigating the modern challenges of VLSI design demands a multidisciplinary
approach, leveraging advances in materials science, computational methods, and engineering
innovations. As the paper illustrates, while the obstacles are formidable, the ongoing evolution
of VLSI design methodologies and technologies holds the promise of overcoming these
barriers, paving the way for the next generation of semiconductor devices.
4
LIST OF CONTENTS
Certificate ii
Acknowledgments iii
Abstract iv
List of Contents v
List of Figures vi
List of Abbreviations vii
Chapter-1 Introduction 8
1.1 General 8
1.2 Moore’s Law 9
Chapter-2 VLSI Physical Design 10
2.1 Partitioning and Floorplanning 10
2.2 Placement 12
2.3 Routing 13
2.4 Static Timing Analysis 14
2.5 Physical Design Verification and Signoff 14
Chapter-5 Chapter-3 Modern Design Challenges and Solution 17
3.1 Routing Problem and Maze Algorithm 17
3.2 Power Optimization
18
3.2.1 Dynamic Power
3.2.2 Static Power 18
20
Appendix Conclusion 22
References References 23
5
LIST OF FIGURES
6
LIST OF ABBREVIATIONS
7
Chapter-1
Introduction
1.1 General
VLSI represents a pivotal era in the evolution of circuit technology, marking the phase where
the integration of thousands to millions of transistors onto a single semiconductor silicon chip
became feasible. This technological marvel has been the cornerstone of the modern electronics
revolution, enabling the development of powerful, compact, and energy-efficient electronic
devices that pervade almost every aspect of contemporary life. From smartphones and
computers to advanced medical devices and automotive systems, VLSI technology plays a
fundamental role in driving innovation and functionality in a wide array of electronic products.
The journey toward VLSI began in the late 1950s and early 1960s with the advent of the
integrated circuit (IC), which allowed for multiple transistors to be fabricated on a single chip.
However, it was in the 1970s and 1980s that the VLSI era truly took shape, characterized by
the rapid escalation in the complexity and capabilities of ICs. This period witnessed the
transition from Small Scale Integration (SSI) and Medium Scale Integration (MSI), where
circuits contained dozens to hundreds of transistors, to Large Scale Integration (LSI) and
eventually VLSI, pushing the transistor counts into the millions.
The essence of VLSI technology lies in its ability to miniaturize electronic components and
integrate them into compact chips, thus offering several significant advantages:
• Increased Performance: By reducing the size of transistors and the distances between
them, VLSI chips achieve higher speed and lower power consumption.
• Reduced Cost: Integrating a vast number of components on a single chip reduces the
per-component cost significantly, making advanced electronic devices more affordable.
• Enhanced Functionality: VLSI enables the integration of complex circuits and
systems (e.g., microprocessors, memory chips, and sensors) onto a single chip,
facilitating sophisticated functionalities within compact devices.
• Improved Reliability: With fewer interconnections compared to discrete component
circuits, VLSI chips exhibit higher reliability and lower failure rates.
8
1.2Moore’s Law
Moore's Law is a pivotal observation in the semiconductor industry that has significantly
influenced the development and evolution of electronic devices over the past several decades.
It was first articulated by Gordon Moore, co-founder of Intel, in 1965. Moore observed that the
number of transistors on a microchip doubles approximately every two years, though the cost
of computers is halved. This observation not only described a trend but also set an expectation
for the pace of technological advancement in the semiconductor industry.
9
Chapter-2
VLSI Physical Design Automation
• Chip Area Allocation: Allocating appropriate chip area for each partition/module
based on its size, functionality, and performance requirements.
• Module Placement: Placing partitions/modules within the chip layout while
considering factors such as signal interconnectivity, power distribution, and thermal
considerations.
10
• Routing Regions: Defining routing regions and allocating space for signal routing
channels to ensure efficient signal propagation and minimize routing congestion.
• Design Constraints: Incorporating various design constraints such as timing
constraints, power delivery requirements, and manufacturing constraints into the
floorplan to meet design specifications.
Effective floorplanning requires careful consideration of design trade-offs and iterative
refinement to achieve optimal results. Advanced floorplanning tools and methodologies
leverage techniques such as iterative optimization algorithms, constraint-driven placement, and
floorplan-aware routing to streamline the floorplanning process and improve design quality.
Figure 2.1 represents floorplanning of a silicon wafer
11
• Routing Resources: Facilitating efficient routing by organizing components in a way
that minimizes wire lengths and congestion.
b. Hierarchical Placement: Involves organizing components into hierarchical levels and
performing placement at each level, from macro-level (top-level placement of large blocks) to
micro-level (placement of individual cells within blocks). This approach enables manageable
design complexity and facilitates design refinement at different abstraction levels.
Some of the methods of placement are:
Analytical Placement: Utilizes mathematical optimization techniques, such as simulated
annealing, quadratic placement, and linear programming, to explore placement solution spaces
and identify optimal placements based on specified objectives and constraints.
Machine Learning-Based Placement: Applies machine learning algorithms, such as
reinforcement learning and neural networks, to learn placement patterns from historical
placement data and automatically generate placement solutions that optimize specific design
metrics.
Figure 2.2 depicts standard placed cells on a silicon wafer.
2.3 Routing
Routing in Very Large Scale Integration (VLSI) design refers to the process of establishing
physical connections between the various electronic components (such as transistors, gates,
and cells) within a semiconductor chip. These connections, often referred to as nets, facilitate
the flow of signals between different components, enabling the functionality of the integrated
circuit (IC).
12
Routing in VLSI design is a critical step that involves establishing efficient and reliable
connections between electronic components within a semiconductor chip. Routing takes 30%
of the design time and a large percentage of the layout area. Good placement is also very crucial
for routing. Advanced routing techniques and algorithms play a vital role in addressing the
complexities of modern semiconductor designs and enabling the development of high-
performance, high-quality integrated circuits.
We also need to consider clock and power routing as well. Issues in clock routing can create
clock skews which may disrupt the working of the circuit and power routing rails should be
made very carefully as the high voltage signal running through this rail may create crosstalk
issues due to the development of parasitic capacitance and induce delays.
Figure 2.3 represents how placement can increase the difficulty of routing
The Circuit Netlist is analysed to determine the worst-case circuit delays to predict maximum possible
clock frequency. Thus, timing optimization is performed so that circuit can run faster.
13
for fabrication. These processes involve rigorous checks and analyses to verify the correctness,
integrity, and manufacturability of the design layout.
Physical Verification encompasses a series of checks to ensure that the layout design adheres
to design rules, meets fabrication constraints, and is free from manufacturing defects. Key
aspects of physical verification include:
1.Design Rule Check (DRC): DRC verifies whether the layout design complies with the
manufacturing rules specified by the foundry or fabrication facility. It detects violations such
as spacing violations, overlap violations, width violations, and other geometric violations that
could lead to manufacturing defects.
2. Layout vs. Schematic (LVS) Check: LVS compares the layout design against the
corresponding schematic representation to ensure that they are functionally equivalent. It
verifies that the connections, device sizes, and electrical properties in the layout match the
intended circuit functionality defined in the schematic.
3. Electrical Rule Check (ERC): ERC verifies electrical connectivity and integrity within the
layout design. It detects issues such as floating nodes, missing connections, and incorrect net
connections that could lead to functional failures or reliability issues in the fabricated chip.
4. Antenna Rule Check (ARC): ARC ensures that the layout design has adequate protection
against electrostatic discharge (ESD) and latch-up by verifying the presence of properly sized
and connected diodes or other protection structures around signal inputs and outputs.
5. Design for Manufacturing (DFM) Checks: DFM checks and analyses the layout design
for manufacturability issues, such as lithography hotspots, metal density variations, and other
factors that could affect the yield and reliability of the fabricated chip.
Design Signoff marks the culmination of the design process, indicating that the chip design has
met all necessary requirements and is ready for fabrication. Key aspects of design signoff
include:
1. Timing Signoff: Timing signoff verifies that the design meets timing requirements,
including setup and hold times, clock skew, and other timing constraints. It ensures that the
chip operates within specified performance targets and meets timing closure objectives.
2. Power Signoff: Power signoff analyzes power consumption and distribution within the chip
to ensure that it meets power budget constraints and thermal requirements. It includes static
and dynamic power analysis, power grid integrity checks, and thermal simulations to ensure
reliable operation under different operating conditions.
3. Area Signoff: Area signoff verifies that the chip layout meets area constraints and utilizes
the available silicon area efficiently. It ensures that the chip size is within budget and that no
unnecessary overheads are introduced during the design process.
4. Functional Signoff: Functional signoff ensures that the chip design functions correctly and
meets the intended specifications. It involves comprehensive simulation and verification tests
to validate the functionality of the design under different operating conditions and corner cases.
14
5. Reliability Signoff: Reliability signoff evaluates the chip design for reliability and
robustness against aging, process variations, and environmental factors. It includes reliability
analysis such as electromigration, time-dependent dielectric breakdown (TDDB), and other
reliability metrics to ensure long-term reliability and performance.
By performing thorough physical verification and design signoff, VLSI designers ensure that
the chip design is free from errors, meets all specifications, and is ready for successful
fabrication and deployment in electronic devices. These stages are critical for achieving high-
quality, reliable, and manufacturable semiconductor products in today's competitive market.
15
Chapter-3
Main Challenges and Solutions
The consumer market for VLSI ASIC is expanding rapidly every day due to mobile devices
like Smartphones, Tablets, and Laptops. The new HCI rapidly became available at the hands
of every person which made the market bloom and also opened opportunities for SoC.
However, it has also brought many challenges:
• Mobile devices are wireless and run on batteries corresponding VLSI must consume
low power.
• The Figure of Merit (performance/watt) should still be maximized
• Technology is advancing as per Moore’s Law and faces physical limitations
16
1. Grid Representation: The chip layout is represented as a grid of cells, where each cell
corresponds to a routing track or routing channel. The grid contains obstacles representing fixed
objects such as cells, blocks, and routing obstructions.
2. Pathfinding: Maze routing algorithms use pathfinding techniques, such as breadth-first
search (BFS), depth-first search (DFS), Dijkstra's algorithm, or A* algorithm, to explore
possible routing paths from source to destination points on the grid.
3. Obstacle Avoidance: Maze routing algorithms consider obstacles and routing constraints
during pathfinding to avoid collisions with fixed objects and ensure feasible routing paths.
4. Optimization: Various optimization techniques, such as wire length minimization,
congestion-aware routing, and timing-driven routing, are integrated into maze routing
algorithms to optimize routing paths and meet design objectives.
17
4. Clock Frequency: Dynamic power consumption scales linearly with the clock frequency of
the circuit. Higher clock frequencies lead to more frequent switching events and increased
dynamic power consumption.
5. Technology Node: The feature size and technology node of the semiconductor process affect
dynamic power consumption. Smaller feature sizes reduce capacitance and switching energy,
resulting in lower dynamic power consumption.
Some techniques to reduce Dynamic Power are:
1. Clock Gating: Disabling clock signals to inactive circuit blocks during idle periods reduces
switching activity and dynamic power consumption.
2. Power Gating: Temporarily shutting down power to unused circuit blocks or modules
minimizes dynamic power consumption.
3. Dynamic Voltage and Frequency Scaling (DVFS): Adjusting the supply voltage and clock
frequency dynamically based on workload or performance requirements reduces dynamic
power while maintaining desired performance levels.
4. Low-Power Design Techniques: Utilizing energy-efficient circuit design techniques such
as asynchronous logic, multi-threshold CMOS, and low-power arithmetic and logic units
(ALUs) reduces dynamic power consumption.
5. Gate Sizing and Placement: Optimizing gate sizes and placement to minimize capacitive
load and reduce signal transition activity helps reduce dynamic power consumption.
By implementing these techniques and optimizing circuit design, VLSI designers can
effectively reduce dynamic power consumption and improve the overall energy efficiency of
digital circuits, leading to longer battery life and reduced operating costs in electronic devices.
18
3. Reverse Bias Leakage: Reverse bias leakage occurs when transistors are subject to reverse
bias conditions, such as in isolation structures and diode-connected transistors. Reverse bias
leakage currents flow through the substrate and junctions, contributing to static power
dissipation.
4. Temperature and Process Variations: Temperature variations and process variations affect
transistor characteristics, leading to variations in leakage currents and static power
consumption. Higher temperatures and process variations can exacerbate static power
dissipation.
Techniques to Reduce Static Power:
1. Transistor Sizing: Increasing the transistor threshold voltage (Vth) and reducing the
subthreshold leakage current by sizing transistors appropriately.
2. Power Gating: Temporarily shutting down power to unused circuit blocks or modules using
power gating techniques to reduce leakage currents.
3 Gate Oxide Thickness: Optimizing gate oxide thickness to minimize gate leakage currents,
especially in advanced CMOS processes.
4. Sleep Transistors: Inserting sleep transistors at the input ports of unused circuit blocks to
isolate them from the power supply and reduce leakage currents.
5. Substrate Biasing: Applying substrate biasing techniques to control leakage currents in
isolation structures and diode-connected transistors.
6. Low-Power Design Methodologies: Incorporating low-power design methodologies such
as voltage scaling, clock gating, and multi-threshold CMOS to reduce both static and dynamic
power consumption.
By implementing these techniques and optimizing circuit design, VLSI designers can
effectively reduce static power consumption, leading to improved energy efficiency and longer
battery life in electronic devices. Static power management is essential for achieving the
desired power-performance trade-offs in modern semiconductor designs.
19
Conclusion
In conclusion, this report has provided a comprehensive overview of Very Large-Scale
Integration (VLSI) design, tracing its evolution from the early integrated circuit era to the
modern-day semiconductor technology landscape. VLSI technology has played a pivotal role
in revolutionizing the electronics industry, enabling the development of powerful, compact,
and energy-efficient electronic devices that permeate various aspects of contemporary life.
Throughout the report, we have explored key concepts and stages in the VLSI design process,
including partitioning, floorplanning, placement, routing, static timing analysis, physical
verification, and design signoff. Each stage contributes to the overall success of a VLSI design
by optimizing chip layout, functionality, performance, and manufacturability.
Moreover, the report has highlighted the main challenges faced by VLSI designers in today's
rapidly evolving semiconductor landscape. These challenges include power consumption
optimization, technology scaling limitations, routing complexity, and meeting design
specifications within shrinking time-to-market windows.
To address these challenges, various solutions and techniques have been discussed, ranging
from power optimization strategies to advanced routing algorithms and design verification
methodologies. These solutions aim to improve energy efficiency, enhance design reliability,
and streamline the VLSI design flow to meet the demands of modern electronic devices.
However, as our technology advances we switch and we face physical limitations to Moore’s
Law we switch to Modern FinFet technology but this overhauls all the design parameters we
have been using to design chips as the lambda parameter is no more useful.
Overall, this report serves as a valuable resource for VLSI designers, engineers, and
researchers, providing insights into the complexities of VLSI design and offering practical
solutions to overcome the challenges encountered in the field. As technology continues to
advance, the principles and methodologies outlined in this report will remain essential for
achieving high-quality, reliable, and manufacturable semiconductor products in today's
competitive market.
20
References
[1] Algorithms for VLSI Physical Design Automation by Naveed A. Sherwani (Intel
Corporation)
[2] VLSI Physical Design NPTEL Course by IIT Kharagpur
[3] Routing Algorithms For VLSI Design by R. Venketeteswaran and P. Mazumder (University
of Michigan)
[4] Maze Solving – Computerphile (YouTube Video)
[5] Design of Analog CMOS Integrated Circuits by Behad Razavi
21