Expt10 Final
Expt10 Final
Roll n0:E43040
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity HA is
Port ( a : in STD_LOGIC;
b : in STD_LOGIC;
end HA;
architecture Behavioral of HA is
begin
sum<= a xor b;
carry<= a and b;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity fa is
Port ( a : in STD_LOGIC;
b : in STD_LOGIC;
cin : in STD_LOGIC;
s : out STD_LOGIC;
end fa;
architecture Behavioral of fa is
component HA
Port ( a : in STD_LOGIC;
b : in STD_LOGIC;
end component;
begin
cot<= c1 or c2;
end Behavioral;
ENTITY bbbb IS
END bbbb;
ARCHITECTURE behavior OF bbbb IS
COMPONENT fa111
PORT(
a : IN std_logic;
b : IN std_logic;
cin : IN std_logic;
s : OUT std_logic;
);
END COMPONENT;
--Inputs
--Outputs
signal s : std_logic;
BEGIN
-- Instantiate the Unit Under Test (UUT)
a => a,
b => b,
s => s,
);
-- <clock>_process :process
-- begin
-- end process;
--
-- Stimulus process
stim_proc: process
begin
a<='0';
b<='0';
cin<='0';
a<='0';
b<='0';
cin<='1';
a<='0';
b<='1';
cin<='0';
a<='0';
b<='1';
cin<='1';
a<='1';
b<='0';
cin<='0';
a<='1';
b<='0';
cin<='1';
a<='1';
b<='1';
cin<='0';
a<='1';
b<='1';
cin<='1';
a<='0';
b<='0';
cin<='0';
wait;
end process;
END;
User Constraint file
Design summay
TABLE OF CONTENTS
2) HDL Compilation
4) HDL Analysis
5) HDL Synthesis
8) Partition Report
9) Final Report
=========================================================================
=========================================================================
Safe Implementation : No
Asynchronous To Synchronous : NO
Optimization Effort :1
Keep Hierarchy : No
Hierarchy Separator :/
=========================================================================
* HDL Compilation *
=========================================================================
=========================================================================
=========================================================================
=========================================================================
* HDL Analysis *
=========================================================================
* HDL Synthesis *
=========================================================================
=========================================================================
Macro Statistics
# Xors :2
1-bit xor2 :2
=========================================================================
=========================================================================
=========================================================================
=========================================================================
Macro Statistics
# Xors :2
1-bit xor2 :2
=========================================================================
=========================================================================
=========================================================================
=========================================================================
Found no macro
=========================================================================
=========================================================================
* Partition Report *
=========================================================================
-------------------------------
-------------------------------
=========================================================================
* Final Report *
=========================================================================
Final Results
Keep Hierarchy : No
Design Statistics
# IOs :5
Cell Usage :
# BELS :2
# LUT3 :2
# IO Buffers :5
# IBUF :3
# OBUF :2
=========================================================================
Device utilization summary:
---------------------------
Number of IOs: 5
---------------------------
---------------------------
---------------------------
=========================================================================
TIMING REPORT
Clock Information:
------------------
----------------------------------------
Timing Summary:
---------------
Speed Grade: -5
Timing Detail:
--------------
=========================================================================
-------------------------------------------------------------------------
Source: b (PAD)
Gate Net
---------------------------------------- ------------
----------------------------------------
=========================================================================
-->