0% found this document useful (0 votes)
15 views10 pages

DSDMODULE3part PDF

Uploaded by

rohanvemula1156
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
15 views10 pages

DSDMODULE3part PDF

Uploaded by

rohanvemula1156
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 10

INSTITUTE OF AERONAUTICAL ENGINEERING

A
COURSE SIDE REPORT OF DIGITAL SYSTEM
DESIGN

COURSE SIDE CODE -DSD CSP78 BY


VEMULA ROHAN – 23951A04E3

INSTITUTE OF AERONAUTICAL ENGINEERING DUNDIGAL,


HYDERABAD-500 043, TELANGANA, INDIA.
ComplexProblem SolvingSelf-AssessmentForm

1 Name of the Student VEMULA ROHAN

2 RollNumber 23951A04E3

3 BranchandSection ECE-C

4 Program B.Tech

5 CourseName DataStructures

6 CourseCode AECD03

7 Pleasetick(✓)relevantEngineeringCompetency(ECs)Profiles

EC Profiles (✓)
EC1 Ensuresthatallaspectsofanengineeringactivityaresoundlybasedon ✓
fundamental principles - by diagnosing, and taking appropriate action
with data, calculations, results, proposals, processes, practices, and
documented information that may be ill-founded, illogical, erroneous,
unreliableorunrealisticrequirementsapplicabletotheengineering discipline

EC2 Havenoobvioussolution and requires abstract thinking and originality in ✓


analysis to formulate suitable models.
EC3 Support sustainable development solutions by ensuring functional ✓
requirements, minimize environmental impact and optimize resource
utilizationthroughoutthelifecycle,whilebalancingperformanceand cost
effectiveness.
EC4 Competentlyaddressescomplexengineeringproblemswhichinvolve ✓
uncertainty, ambiguity, imprecise information and wide-ranging or
conflictingtechnical,engineeringandotherissues.
EC5 Conceptualises alternative engineering approaches and evaluates ✓
potentialoutcomesagainstappropriatecriteriatojustifyanoptimal
solutionchoice.
EC6 Identifies, quantifies, mitigates and manages technical, health, ✓
environmental,safety,economicandothercontextualrisksassociatedto
seekachievablesustainableoutcomeswithengineeringapplicationinthe
designated engineering discipline.
1
EC7 Involvethecoordinationofdiverseresources(andforthis purpose, ✓
resourcesincludepeople,money,equipment,materials,informationand
technologies) in the timely delivery of outcomes
EC8 Designanddevelopsolutiontocomplexengineeringproblem ✓
consideringaveryperspectiveandtakingaccountofstakeholderviews with
widely varying needs.
EC9 Meetalllevel,legal,regulatory,relevantstandardsandcodesofpractice, ✓
protectpublichealthandsafetyinthecourseofallengineering activities.

EC 10 Highlevelproblemsincludingmanycomponentpartsorsub-problems, ✓
partitionsproblems,processesorsystemsintomanageableelementsfor the
purposes of analysis, modelling or design and then re-combines to form
awhole,withtheintegrityandperformanceoftheoverall system as the top
consideration.

EC Profiles (✓)
EC 11 UndertakeCPDactivitiestomaintainandextendcompetencesand ✓
enhancetheabilitytoadapttoemergingtechnologiesandtheever- changing
nature of work.
EC 12 Recognizecomplexityandassessalternativesinlightofcompeting ✓
requirementsandincompleteknowledge.Requirejudgementindecision
making in the course of all complex engineering activities.
8 Pleasetick(✓)relevantCourseOutcomes(COs)Covered

CO CourseOutcomes (✓)
CO1 Interpretthecomplexityofthealgorithmusingtheasymptoticnotations ✓

CO2 Selecttheappropriatesearchingandsortingtechniqueforagivenproblem ✓

CO3 Constructprogramsonperformingoperationsonlinearandnonlineardata ✓
structures for organization of a data
CO4 Makeuseoflineardatastructuresandnonlineardatastructuressolving ✓
realtime applications.
CO5 Describehashingtechniquesandcollisionresolutionmethodsforaccessing data ✓
with respect to performance
CO6 Comparevarioustypesofdatastructures;intermsofimplementation, ✓
operations and performance.

9 CourseELRVVideoLecturesViewed Numberof Viewingtime


Videos in Hours
68 35

Foundationsforanalyzingand
10 JustifyyourunderstandingofWK1
optimizing operations.

2
Coretoadvanced concepts,
11 JustifyyourunderstandingofWK2–WK9
tools,design,andethics.
HowmanyWKsfromWK2toWK9wereimplemented? All8WKsfrom WK2toWK9
12 areimplementedinthisdesign and
analysis.

Mentionthem WK2 toWK9

Date:22-12-2024

Signature of the Student

3
INDEX:
1. Abstract
2. Introduction
3. Features of NFC System
4. Objective
5. Prerequisites and Requirements
6. Technologies Used
7. Explanation of the Code
8. VHDL Code
9. Result
10. Applications
11. Conclusion

4
I. ABSTRACT
Near Field Communication (NFC) is a short-range wireless communication technology that
enables devices to exchange information securely when brought close together. NFC is widely
used in contactless payments, data transfer, and access control. This document presents the
design and implementation of an NFC system using VHDL. By utilizing VHDL, we can model
and simulate the behavior of NFC components, ensuring efficient communication and secure
data transfer between devices. This system is suitable for applications requiring reliable short-
range communication.

II. INTRODUCTION
NFC technology facilitates wireless communication between two electronic devices over short
distances, typically a few centimeters. NFC operates on the principle of electromagnetic
induction and is commonly implemented in smartphones, smart cards, and IoT devices. Using
VHDL, we can design and simulate an NFC system to ensure its functionality and compatibility
with various standards, including ISO/IEC 14443 and ISO/IEC 18092.

III. FEATURES OF NFC SYSTEM


► Short-Range Communication: Operates effectively over distances of up to 10 cm. ► Secure
Data Exchange: Incorporates encryption and secure protocols to protect data. ► Low Power
Consumption: Optimized for energy-efficient operation, suitable for battery-powered devices. ►
Multiple Modes of Operation: Supports Peer-to-Peer, Reader/Writer, and Card Emulation modes.
► Interoperability: Compatible with various NFC-enabled devices and standards.

IV. OBJECTIVES
► Design a Functional NFC System: Implement an NFC protocol in VHDL to simulate
communication between devices. ► VHDL Implementation: Develop the architecture of the
NFC system, ensuring adherence to NFC standards. ► Verification and Testing: Simulate the
VHDL design to verify its functionality and assess performance. ► Optimization: Minimize
resource usage while ensuring reliable communication and data integrity.

V. PREREQUISITES AND REQUIREMENTS


▪ VHDL Knowledge: Proficiency in digital design and hardware description using VHDL. ▪
Simulation Tools: Use of tools like ModelSim or Vivado for design simulation and testing. ▪
NFC Standards: Familiarity with ISO/IEC 14443 and ISO/IEC 18092 standards for NFC
communication. ▪ Hardware Knowledge: Understanding of RFID and NFC hardware
components, including antennas and transceivers.

5
VI. TECHNOLOGIES USED
► VHDL: For describing the architecture and behavior of the NFC system. ► FPGA: Platform
for implementing and testing the NFC system. ► Simulation Tools: ModelSim or Vivado for
verifying the design. ► NFC Standards: Implementation based on ISO/IEC specifications.

VII. EXPLANATION OF THE CODE


► Library and Entity Declaration: The VHDL code begins with the inclusion of libraries and the
definition of the NFC entity, which specifies inputs and outputs. ► Architecture Declaration:
Signals and components required for NFC communication, such as encoders, decoders, and
modulators, are declared. ► Data Encoding and Decoding: Implements Manchester
encoding/decoding to ensure reliable data transmission. ► Protocol Handling: Implements state
machines for handling NFC modes (e.g., Peer-to-Peer, Reader/Writer, and Card Emulation). ►
Error Checking: Includes mechanisms for error detection and correction to maintain data
integrity.

VIII. VHDL IMPLEMENTATION OF NFC SYSTEM


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity NFC_System is
port (
Clk : in std_logic;
Reset : in std_logic;
Data_In : in std_logic_vector(7 downto 0);
Data_Out : out std_logic_vector(7 downto 0);
NFC_Enable: in std_logic;
Error_Flag: out std_logic
);
end NFC_System;

architecture Behavioral of NFC_System is


signal Encoded_Data : std_logic_vector(15 downto 0);
signal Decoded_Data : std_logic_vector(7 downto 0);
signal State : std_logic_vector(2 downto 0);

6
component Encoder
port (
Data_In : in std_logic_vector(7 downto 0);
Encoded : out std_logic_vector(15 downto 0)
);
end component;

component Decoder
port (
Encoded : in std_logic_vector(15 downto 0);
Decoded : out std_logic_vector(7 downto 0)
);
end component;

begin
-- Encoding Process
Encode_Proc: Encoder port map (Data_In, Encoded_Data);

-- Decoding Process
Decode_Proc: Decoder port map (Encoded_Data, Decoded_Data);

-- State Machine for NFC Modes


process (Clk, Reset)
begin
if Reset = '1' then
State <= "000"; -- Idle State
Error_Flag <= '0';
elsif rising_edge(Clk) then
case State is
when "000" => -- Idle
if NFC_Enable = '1' then
State <= "001";
end if;

when "001" => -- Data Transfer


if Data_In = "11111111" then -- Example Error Condition
7
Error_Flag <= '1';
else
Data_Out <= Decoded_Data;
State <= "010";
end if;

when "010" => -- Complete


State <= "000";

when others =>


State <= "000";
end case;
end if;
end process;
end Behavioral;

IX. RESULT
The NFC system successfully encodes and decodes data, with state machines handling different
modes of operation. Simulation results demonstrate error-free data transfer within the specified
range. Timing analysis confirms low latency and efficient resource utilization.

8
X. APPLICATIONS
▪ Contactless Payments: Enables secure and fast transactions via NFC-enabled devices. ▪ Access
Control: Used in smart cards and keyless entry systems for secure authentication. ▪ Data
Transfer: Facilitates seamless sharing of files, links, and other data between NFC devices. ▪ IoT
and Smart Devices: Integrates with IoT ecosystems for device pairing and communication.
XI. CONCLUSION
The NFC system implemented using VHDL demonstrates the feasibility of designing efficient
and reliable short-range communication systems. The system’s modular design ensures
compatibility with various NFC standards, making it suitable for diverse applications such as
payments, access control, and IoT integration. With further optimization, this VHDL-based
design can be synthesized onto hardware platforms like FPGAs for real-world deployment.

You might also like