???? ?????????? ??????? ????
???? ?????????? ??????? ????
OCTOBER 2024
Semi-damascene
Metallization p. 28
Selecting an MES
Migration
Strategy p. 37
www.semiconductordigest.com
DON´T LET
Better Fans for Better Yield
YOUR EXHAUST
CONTROL YOUR
PROCESS!
10
Keep Your Chamber Pressure constant
0
with the Levitronix® MagLev Fan! -10
P (After Fan)
P (Chamber)
Setpoint
Placed on the exhaust line, the fan -20
Pressure [Pa]
-40
GXH WR DQ LQFRQVLVWHQW RU LQVXĴFLHQW
-50
central exhaust. -60
-70
Fan -80
0 10 20 30 40 50 60 70 80 90 100
Process Chamber Time [s]
P
Pressure
Sensor
Feedback Signal
(;,?0,*,?JLY[PÄLK
/PNOÅV^JHWHIPSP[PLZ
Chemically resistant
3V^THPU[LUHUJL
Magnetically levitated rotor
www.levitronix.com
October 2024 | Volume 6 Number 7
FEATURES
20 ION BEAMS
Ion Beam Technology – Enabling the Ever-evolving
Mobile Communications Landscape
Ion beam technology ensures that today’s mobile networks
remain robust and efficient, paving the way for future
innovations in the ever-evolving landscape of mobile
communications. MANDY GEBHARDT, SCIA SYSTEMS
28 INTERCONNECTS
Semi-damascene Metallization: Inflection Point in
Back-end-of-line Processing?
When used in combination with a patternable metal such
as Ru, semi-damascene promises to be RC, area, cost and
power efficient – offering an interconnect scaling path.
COVER: Bosch has tailored its semiconductor ZSOLT TOKEI, IMEC FELLOW, AND PROGRAM DIRECTOR OF
development to the demands of the automotive NANO-INTERCONNECTS AT IMEC
industry (pg. 34). Source: Bosch.
34 MATERIALS
Columns
How Silicon Carbide Semiconductors Are Conquering
E-mobility
Bosch has tailored its semiconductor development to the
2 EDITORIAL demands of the automotive industry.
Natcast Launches NSTC 37 FACTORY INTEGRATION
Membership Program Selecting an MES Migration Strategy for
PETE SINGER, EDITOR-IN-CHIEF
Semiconductor Process Optimization
INDUSTRY OBSERVATIONS Migrating to a new MES platform can lower the total cost
47 Navigating the Complexities of of ownership of automation and production assets, increase
Semiconductor Supply Chains Amidst efficiency, revenue, and ultimately, profitability, safety and
M&A Surge, TAMMY MAX, DIRECTOR OF environmental sustainability. TOM BEDNARZ, SALES MANAGER
TECHNICAL CONTENT AT ACCURIS TECH EUROPE, CRITICAL MANUFACTURING
48 Managing the Impact of Semiconductor 40 WATER TREATMENT
Manufacturers’ Use of Freshwater, Maximizing the Value of Wastewater Generated During
VINCENT PUISOR, GLOBAL BUSINESS Semiconductor Fabrication
DEVELOPMENT DIRECTOR, WATER AND The semiconductor aims to reduce pollutants and
WASTEWATER AT SCHNEIDER ELECTRIC freshwater consumption, reuse water, and recycle resources.
52 Cracking the Chip Code to Meet AI’s ZHAOHUI YAN, GLOBAL MARKET SEGMENT LEAD FOR
Growing Demands, MOZ AHMED, EDGE MICROELECTRONICS AT DUPONT WATER SOLUTIONS
& EMBEDDED TECHNOLOGY SOLUTIONS
44 WORKFORCE DEVELOPMENT
MANAGER AT MOBICA
Solving the Skilled Tech Talent Gap
N
ATCAST, THE NON-PROFIT ENTITY DESIGNATED TO OPERATE THE
National Semiconductor Technology Center (NSTC) innovative and member-driven research initiatives, the NSTC
by the U.S. Department of Commerce, officially will address industry and academia’s most pressing semicon-
launched its Membership program in late September. ductor R&D challenges and extend U.S. leadership in founda-
NSTC members can benefit from dynamic and cross-sector tional technologies for future applications and industries.
collaboration; access to leading-edge R&D facilities; devel- • Reducing time from lab-to-fab: By providing members
opment of member-driven research agendas; unique oppor- access to collaborative opportunities and leading-edge facili-
tunities to research, prototype, and scale up semiconductor ties and capabilities, the NSTC will reduce the time and cost
technologies; and workforce best practices and initiatives to explore, prototype, and validate innovative semiconductor
developed through the NSTC Workforce Center of Excellence. designs and technology.
These resources, several of which are planned to become • Expanding the U.S. semiconductor workforce: Develop-
available throughout 2025, are aimed at reducing barriers for ing and distributing critical workforce and education pro-
members to bring new technologies from lab-to-fab as well as gramming and resources, the NSTC will support members
supporting member efforts to build and sustain a strong U.S. in expanding and sustaining a strong U.S. semiconductor
semiconductor workforce development ecosystem. workforce development ecosystem.
“As a public-private consortium, the NSTC represents a NSTC membership is offered in two categories, Core and
bold vision for U.S. semiconductor innovation,” said Deirdre Affiliate, tailored to support the needs of the broad semicon-
Hanford, Natcast CEO. “Today’s launch of the NSTC Mem- ductor ecosystem. Core membership is designed for entities
bership program is a critical step in our evolution as we can now that are directly involved in semiconductor technology
welcome and officially admit members into the consortium.” development of materials, tools, processes, design, package or
The NSTC represents the foundation for the next wave of systems. Affiliate membership is designed for organizations
technological breakthroughs, serving as the anchor institution that do not conduct semiconductor research but would like to
where we shape the future of semiconductor innovation,” said participate and contribute to the ecosystem in areas such as
Secretary of Commerce Gina Raimo. workforce, investments, consortia, or professional services.
The mission of the NSTC is to convene a diverse set of Learn more about the benefits of becoming an NSTC member
members from across the semiconductor ecosystem around and join the mission at natcast.org/NSTCmembership.
three shared and strategic goals: —Pete Singer, Editor-in-Chief
Shannon Davis, Web and News Editor Cindy Chamberlin, Art Director
[email protected]
Rich Mehta, Website Design
Inspection
FM-PDS range
Regional growth
China is projected to maintain its
position as the top spending region on
300mm equipment globally until 2027,
investing over US$100 billion in the
next three years driven by its national
self-sufficiency policies. However,
spending is anticipated to gradually
decrease from a peak of US$45 billion
in 2024 to US$31 billion by 2027.
Korea is projected Continued on page 8
www.nordson.com/TestInspect
news continued
Continued from page 6 Segment growth during the same period, marking the
to rank second and invest US$81 Foundry equipment spending is pro- beginning of another segment growth
billion in the next three years to further jected to reach approximately US$230 cycle. Within Memory, investment in
its dominance in memory segments billion between 2025 and 2027, fueled DRAM-related equipment is projected
including DRAM, high-bandwidth by investments in sub-3nm cutting-edge to surpass US$75 billion, while in-
memory (HBM), and 3D NAND Flash. nodes as well as continued spending vestment in 3D NAND is expected to
Taiwan is forecast to spend US$75 on mature nodes. Investment in 2nm reach US$45 billion.
billion on 300mm equipment over the logic processes and development of key The Power-related segment ranks third,
next three years, ranking third as the technologies at 2nm, such as gate-all- with an expected investment of over
region’s chipmakers build some new around (GAA) transistor structure and US$30 billion over the next three years,
fabs overseas. Leading-edge logic below back-side power delivery technology, including around US$14 billion for
3nm is the primary driver of Taiwan fab is crucial to meet future high-perfor- compound semiconductor projects. The
investments. mance and energy-efficient computing Analog and Mixed-signal segment is
The Americas is projected to invest needs, particularly for AI applications. projected to reach US$23 billion during
US$63 billion from 2025 to 2027, while Cost-effective 22nm and 28nm pro- the same period followed by Opto/
Japan, Europe & Mideast, and SE Asia cesses are expected to see growth due Sensors at US$12.8 billion.
are expected to spend US$32 billion, to increasing demand for automotive Part of the SEMI Fab Forecast
US$27 billion, and US$13 billion, electronics and IoT applications. database, the SEMI 300mm Fab Outlook
respectively, over the three-year period. The Logic and Micro segment is Report to 2027 report lists 420 facil-
Notably, these regions are anticipated projected to spearhead the equipment ities and lines globally, including 79
to more than double their equipment spending expansion over the next high-probability facilities expected to
investment in 2027 compared to 2024 three years, with an anticipated total start operation during the four years be-
due to policy incentives earmarked investment of US$173 billion. Memory ginning in 2024. The report reflects 169
to alleviate concerns on the supply of comes in second, expected to con- updates and nine new fabs/lines projects
crucial semiconductors. tribute over US$120 billion in spending since its last publication in June 2024.
www.spotfire.com
news continued
Continued from page 4 Information and Broadcasting, saw elevated the forum with valuable
tive through economies of scale participation from over 100 industry insights, offering guidance to both
• Deliver cutting-edge semiconduc- leaders from SEMI member com- the government and industry on how
tor solutions to the U.S. automo- panies, with a significant participation to transform the current industry
tive, aerospace, defense, opto- of IESA members. Fourteen members momentum into sustained business
electronics, MEMS, and medical (CxOs) from SEMI and IESA engaged growth. SEMICON India 2024, with
device industries, and in an interactive discussion with the 250+ exhibitors, 650+ booths, 100+
• Create 160+ new jobs, strength- Hon’ble Prime Minister of India, global companies and 50+ CxOs
ening Polar’s commitment to its Mr. Narendra Modi, focusing on the in attendance 11-13th Sept 2024, is
community and driving economic fast-track development of the semi- shaping up to be the largest semicon-
growth in the State. conductor ecosystem. Global CxOs ductor event in India’s history.
“As a domestic U.S.-owned sensor
and advanced power semiconductor
merchant foundry, we will support
technology and design innovation,
protect intellectual property,
NY CREATES Receives
facilitate onshoring and technology
transfers, and provide efficient $4.7M NSF Grant to Launch
low- to high-volume manufacturing
with world-class quality,” said Surya Semiconductor Workforce
Iyer, President and Chief Operating
Officer of Polar Semiconductor. Development Program
The New York Center for Research, running in semiconductor careers.
Continued from page 8
Economic Advancement, Technology, EASEL will also provide similar
win for India, SEMI, and IESA. It Engineering, and Science (NY experiences to teachers and instructors
positions India to become a global CREATES) announced it has been to better prepare them to educate the
semiconductor powerhouse, accelerates awarded a $4.7 million grant from the nation’s semiconductor workforce.
economic growth, and fosters inno- National Science Foundation (NSF) to Senate Majority Leader Charles
vation. By combining our capabilities support the establishment of the Edu- Schumer said, “This $4.7 million from
with SEMI’s global standards, network, cation Alliance for Semiconductor Ex- my CHIPS & Science law will help
and resources, we are fortifying India’s periential Learning (EASEL) program. college students from across America
ambitions and attracting global part- This workforce development initiative come to Albany NanoTech to get
nerships and investments to scale up aims to help address the growing hands-on training and become the next
design, manufacturing, and production national demand for a skilled workforce generation of America’s semiconductor
capacities.” in the semiconductor industry, a critical workforce. It will bring together com-
This agreement will also pave the need highlighted by the U.S. CHIPS & munity colleges, including Onondaga
way for joint policy advocacy efforts, Science Act. Community College, who are launching
with IESA and SEMI working closely The U.S. CHIPS & Science Act, chip technician programs now and give
with both Central and State govern- a transformational investment in the them access to the most cutting-edge
ments to drive incentives for product nation’s semiconductor industry, will research facilities to get them ready
development and manufacturing, help create an estimated 280,000 jobs for good-paying jobs at companies like
leveraging key programs such as the across the computer chip industry, with Micron and GlobalFoundries.”
Production Linked Incentive (PLI) nearly half of those positions requiring Senator Kirsten Gillibrand said, “This
and Design Linked Incentive (DLI) skilled technicians. EASEL will play a is a long overdue investment in one of
models. pivotal role in filling these technician the Capital Region’s most important
The Semiconductor Executive positions by providing hands-on, bridges. I’m proud to have fought for
Forum, held on 10th Sept ahead of the immersive learning experiences for federal funding for this project and
SEMICON India event chaired by Mr. college students from across the U.S. will continue to fight for every dollar
Ashvini Vaishnaw, Hon’ble Minister at NY CREATES’ Albany NanoTech necessary to get this restoration done.”
for Railways, Electronics and IT and Complex to help them hit the ground “We are thrilled Continued on page 12
65 YEARS OF INNOVATION
19 GLOBAL PATENTS
INTEGRATORS/OEMs WELCOMED (APPLY FOR FREE LICENSE)
[email protected]
or phone + 1-781-935-8870
news continued
Continued from page 10
AMI
The
he SpinSAM
SpinSA
AM automated
auuto
omated inspection system
systtem
m delivers
dellivers
industry-leading throughput with unparalleled sensitivity
for accurately locating defects in wafer based assemblies.
# +$)ҁ.$))*1/$1 .+$).))$)"( /#* Ȃ$$ )/'4)0-/ '4.).0+/*уҗтпп((Ҙ2! -.
.$(0'/) *0.'4Ѷ/ур2! -.+ -#*0-2$/# ./Ҋ$)Ҋ'.. ! /+/0- )$(" ,0'$/4ѵ$/#у(/#
waterfall transducers, the SpinSAM was meticulously engineered to attain full wafer scans in less than 6 minutes.
'++'$/$*).$)'0 *) 2! -.Ѷ#$+Ҋ*)Ҋ! -Ѷ./& 2! -.ѶѶ*1 -Ҋ(*' 2! -.)(*- ѵ
www.nordson.com/TestInspect
news continued
impact
se.com/ww/en/work/solutions/for-business/semiconductor/
news continued
YOUR COMPLETE
SUBFAB PARTNER.
Vacuum pumps.
Gas abatement.
ement.
Leak detection.
ection.
Global service.
ervice.
From load lock pump down to the harshest processes, Busch provides
leading semiconductor Fabs with vacuum pumps and abatement solutions,
full SubFab management, and support tailored to your needs.
Contact us today!
Scan to learn more
Our lithography and inspection systems
bring together the winning combination of
over a century of Nikon innovation and the Join Our Team!
most dedicated employees in the world to deliver
the right solutions for our customers. We’re Hiring!
M
OBILE COMMUNICATION
technology has revolu- a substrate (FIGURE 1).
tionized how we connect, The ions transfer their kinetic
interact, and conduct business. At the energy to the surface atoms,
heart of this revolution are frequency causing them to be ejected, thus
filters. These critical components removing the material. The
ensure signals’ proper transmission and ion beam is typically larger in
reception by isolating specific fre- diameter than the substrate size.
quency bands to minimize interference That ensures sufficient removal
and improve signal clarity. High-quality uniformity and throughput.
filters ensure better signal clarity, During milling, the wafer
reduce interference, and improve overall substrate can rotate for the best
network reliability. uniformity. Chemically reactive
Figure 1. Scheme of an IBE/IBM process that
Among the advanced techniques used gases can be added to enhance
uses a collimated beam of inert gas ions for
to produce high-quality high-frequency structuring or material removal. the etch rate.
filters, ion beam processing technology By varying the angle of
stands out due to its precision and ef- material from the surface and create incidence and the substrate rotation,
ficacy. High-frequency filters in mobile specific patterns or structures. The ion material removal can be adjusted
communication incorporate many beam process combines physical and precisely to achieve a perfect etching
layers of thin films composed of various chemical etching. Physical etching uses structure with superior homogeneity.
materials. The uniformity requirements the kinetic energy of fast
for each film layer are very high. The inert ions bombarding the
application of ion beam processing tech- surface to sputter, releasing
nology for trimming these films to the atoms from the target
required dimensions can significantly surface. That process works
enhance the performance of mobile on all materials facing the
communication systems. ion beam. Chemical etching
utilizes a chemical reaction
Ion beam etching overview between the reactive ions
Ion beam etching (IBE) - also known and the target surface. The
as ion beam milling (IBM) - is ideally reaction products must, Figure 2. Scheme of an IBT process; a focused broad
suited for precise surface processing. therefore, be volatile. ion beam raster scans across the wafer. The local
The technology uses a directed beam of A broad beam of posi- material removal is controlled by adjusting the dwell
high-energy ions to selectively remove tively charged ions, typically time.
Schneider Electric
Website: ht t ps: // w w w. se.com/ w w/en/
Scan to download the complete reference guide. Schneider’s purpose is to create Impact by
empowering all to make the most of our energy and
resources, bridging progress and sustainability for
all. At Schneider, we call this Life Is On. Our mission
is to be the trusted partner in Sustainability and
Efficiency. We are a global industrial technology
leader bringing world-leading expertise in
electrification, automation and digitization to smart
industries, resilient infrastructure, future-proof data
centers, intelligent buildings, and intuitive homes.
Anchored by our deep domain expertise, we provide
integrated end-to-end lifecycle AI enabled Industrial
IoT solutions with connected products, automation,
software and services, delivering digital twins to
enable profitable growth for our customers.
This allows for the creation of many processes powerful tools for precise An efficient signal transmission only
different geometries in a wide spectrum material processing in various fields, occurs if the signal frequency f matches
of processable materials. such as semiconductor and MEMS the resonance criteria
manufacturing, and materials science.
Ion beam trimming f = v0/Ȝ
Ion beam trimming (IBT) is a particular Surface acoustic wave devices
type of IBE that physically uses a small Modern mobile communications Thereby, v0 is the speed of the
beam of positively charged ions (e.g., depend increasingly on frequency acoustic surface wave propagation and
Ar+) to etch material from a substrate filters since more and more commu- is twice the distance between the comb
by ion bombardment (FIGURE 2). nication standards with often multiple structures of an IDT.
A beam width of typically 8-15 mm frequency bands have been established. Since the available frequency bands
(FWHM) ensures a sufficient lateral A huge part of these filters is realized used in telecommunications are
resolution and a high throughput. using the surface acoustic wave (SAW) limited, the 3G, 4G, and 5G standards
During trimming, a focused broad mechanism, which transports energy use carrier aggregation to increase the
ion beam moves in a meander-shaped through materials exhibiting elasticity data rate. That means parallel trans-
pattern across the substrate surface. by propagating acoustic waves confined mitting on multiple bands. To avoid
By altering the local dwell time, it is to the surface to a depth of about one interference between different bands
possible to precisely adjust the material wavelength. while using them in parallel, the spec-
thickness and, hence, device properties SAW filters have remarkable ef- ifications for bandwidth have become
like the frequency of acoustic filters. ficiency and strong suppression of tighter. That requires a growing
By introducing an additional reactive frequencies outside the transmission precision in the manufacturing of SAW
gas into the ion beam source, a re- bands. Thus, an extremely high Q filters on wafers and an additional tem-
active structuring of the surface – the factor, meaning the oscillating system, perature compensation layer, which is
so-called reactive ion beam trimming is remarkably under-damped. A SAW realized by a SiO2 coating on top of the
(RIBT) – is applied. filter consists of a piezoelectric sub- IDT (FIGURE 4), which also requires
strate, such as quartz, lithium tantalate superior uniformity.
Advantages of ion beam processes
Ion beam processes offer several ad-
vantages. They can be applied to almost
all materials. Ion beam machining is
always contactless and nondestructive
and does not create mechanical stress
on the substrate’s surface, thus avoiding Figure 4. Scheme of a typical TC SAW stack.
subsurface damage. The ion beam
current, energy, and etching rates can be (LiTaO3), or lithium niobate (LiNbO3), Thickness trimming
independently controlled, allowing for and two sets of interleaved metal for SAW devices
precise material removal and excellent electrodes called interdigital trans- A localized trimming process is
uniformity across the etched surface. ducers (IDTs) on top of the substrate necessary to improve the uniformity of
Furthermore, ion beam processes offer (FIGURE 3). Incoming electrical SAW devices and maintain a high yield
high resolution, making them suitable signals at the input transducer generate for mass production.
for detailed, intricate designs and acoustic waves due to the piezoelectric As with all ion beam processes,
sidewall shaping through simple sample effect. These waves propagate along the trimming occurs in a vacuum envi-
tilting. substrate surface and are reconverted at ronment with working pressures from
These advantages make ion beam the second transducer. 8 x 10-5 to 8 x 10-4 mbar. A focused
broad ion beam of Ar+ ions physically
etches the material from the wafer with
ion current densities up to 25 mA/cm².
The ion beam diameter is optimized to
ensure sufficient lateral resolution and
high throughput. The material ablating
Figure 3. Scheme of a basic SAW filter design. is done by a meander-shaped pattern
Figure 8. Histogram and height profile of 100 mm POI wafer before and after ion beam trimming. The ion beam trimming
process achieves an improvement factor of 13.
thickness distribution after trimming is Bulk acoustic wave devices becomes advantageous against SAW
well centered around the target thickness. Since SAW devices are used pri- for frequencies above 2.4 GHz. The
Likewise, AFM images (FIGURE 9) marily at long wavelengths and devices are tiny and have excellent
of the wafer before and after the lower frequency ranges of mobile performance. Furthermore, pro-
trimming process show that the original communication, high-frequency duction costs have been reduced over
surface roughness was kept throughout filters increasingly apply the bulk the last few years.
the trimming procedure. acoustic wave (BAW) principle. BAW A BAW filter uses a piezoelectric
Conclusion
As mobile networks continue to evolve
with the advent of 5G and beyond, the
demand for advanced frequency filters
will only increase. Ion beam processing
technology provides an ideal approach
Figure 13. Pre- and post-trim results on an AlN layer for a BAW filter. An
improvement factor of 41 could be achieved.
schemes with better figures of merit at overfilled – meaning that the metal depo-
I
N 1997, THE INTRODUCTION OF CU DUAL-
damascene integration schemes tight metal pitches. sition continues until a layer of metal is
in the back-end-of-line (BEOL) After filing an initial patent in 2017, formed over the dielectric. This metal is
of logic and memory chips marked imec presented a new metallization layer is subsequently masked and etched
an inflection point in semiconductor concept to the semiconductor community to form the second interconnect layer,
history. Chip makers moved away in 2020 and named it ‘semi-damascene’ with lines orthogonal to the first layer.
from subtractive Al patterning to wet [1]. Just like the Al-based metallization, The value proposition of semi-dam-
processes like Cu electroplating and semi-damascene integration starts with ascene is promising. It can be regarded
chemical mechanical polishing (CMP). as a two-layer metallization module
This radical transition was needed to potentially expandable to multiple layers
cope with an increasing RC delay in – making it cost effective. The subtractive
Al-based interconnects, the result of an etch allows for higher metal line aspect
increasing resistance-capacitance (RC) ratios (ARs) than conventional Cu
product. Being cost-effective and ap- interconnects, improving the resistance.
plicable to multiple layers of the BEOL As for the dielectric, the metal lines can
stack, Cu dual-damascene was set to potentially be combined with airgaps in-
enable many subsequent generations of stead of low-k dielectric gap fill. Airgaps
logic and memory technologies. offer a lower dielectric constant, leading
But in a few years from now, the metal to smaller intra-level capacitance. Besides
pitches within the most critical BEOL being RC efficient, semi-damascene also
layers will drop below 20nm. When that Figure 1. Schematic representation eliminates the use of metal CMP, simpli-
happens, Cu dual-damascene, in turn, of imec’s semi-damascene flow: a) Ru fying the process flow and resulting in
will run out of steam. As shrinking metal etch (formation of the bottom local improved line height control. The use of
line dimensions approach Cu’s electron interconnect line (Mx)); b) dielectric gap refractory metals also presents benefits.
fill or airgap formation; c) via etch; and
mean free path, the RC delay will They have the promise to be used without
d) via fill and top line (Mx+1) formation
increase dramatically. In addition, Cu (pink = Ru; blue = low-k dielectric; green barrier layer, hence providing low via
metallization requires a barrier, a liner = hard mask). and line resistance. They are also more
and a cap layer to ensure good reliability resistant to electromigration and overall
and prevent Cu from out-diffusing into the direct patterning (or subtractive met- offer lower resistance than Cu at reduced
the dielectric. But these extra layers start allization) of the first local interconnect dimensions.
to consume a large share of the total metal layer, hence requiring a patternable
available line width, meaning that the metal such as W, Mo, Ru, etc. (FIGURE The industry’s response: a
precious conductive area cannot be fully 1). The via that connects with the next promising but disruptive
utilized by the interconnect metal itself. interconnect layer is then patterned in a technology
These issues force the chip industry single-damascene fashion: a hole etched Since imec introduced semi-damascene
to investigate alternative metallization into the dielectric is filled with metal and integration, multiple organizations
any new technology, industry does not to increase the AR of the M0 inter- more research is needed to demonstrate
proceed overnight. Semi-damascene connect line to 3, which will further and mature the next generations of
integration disrupts the conventional lower the resistance, and combine the semi-damascene. The main challenges
technology for fabricating the BEOL. M0 with a barrierless via. As higher can be grouped around multi-layer
It requires new tools and materials, and ARs tend to increase the intra-level semi-damascene integration, increase of
perhaps some of the defect mechanisms capacitance, this generation needs the AR, and exploration of new metals
are not captured in the research phase. airgaps instead of low-k dielectric gap for generation five.
Such investments are of interest only if fill. Besides offering a lower dielectric Below is a grasp of recent progress
the technology can span several tech- constant, working with airgaps also reported by imec researchers. The
nology generations. While the first step avoids the ‘gap fill issue’: the challenge results are not only meant to fill in
with only one metal layer is adequately of filling narrow trenches with dielec- the remaining gaps. They also aim to
documented, the implementation of a trics in a uniform way. trigger the discussion and encourage
two-layer and even multi-layer inte- By adding a via and a second metal other research institutions to com-
gration scheme – where the capabilities layer in semi-damascene fashion, gen- plement imec’s research – to the benefit
and benefits of semi-damascene can eration three will see true semi-dam- of the entire ecosystem.
be fully exploited – is however less ascene integration of both the M0 Towards multi-layer integration
discussed. That’s why imec encourages and M2 local metal layers – the most schemes in advanced interconnect As
the R&D community to open the critical layers of the BEOL. The fourth already mentioned, semi-damascene is
discussion, help filling the remaining generation may see even more layers of in essence a two-metal-layer integration
‘gaps’ and share insights on multilayer semi-damascene. The AR will be grad-
integration at interconnect technology ually ramped up to 4, 5 and even more –
conferences. depending on what will be feasible. Up
to ~AR=6, when combined with airgap,
The imec interconnect roadmap: sufficient RC benefit over other options
introducing 5 generations is expected (FIGURE 3).
of semi-damascene On the longer term, call it generation
Imec proposes to gradually introduce five, imec envisions alternative metals
subsequent generations of semi-dama- to enter the semi-damascene roadmap.
scene. Insertion of the first generation Think about patternable binary or
is envisioned for the imec A10 or A7 ternary compounds with better figures
logic technology node, where the metal of merit than single metals at tight
pitch of the most critical interconnects interconnect pitches. Figure 4. Via resistance distribution
and cross-sectional TEMs for the three
becomes as tight as 18nm (FIGURE 2). As such, semi-damascene can
different FSAV options at 26nm metal
At that point in time, GAA nanosheet become the next inflection point for pitch.
integration is expected to be main- BEOL fabrication. It has an excellent
stream and CFETs will not yet be in value proposition, not only in terms scheme, potentially expandable to
place. Introducing semi-damascene will of resistance, capacitance, and area multiple layers. But process optimiza-
therefore be the only major change that consumption. Experiments and sim- tions for multilayer schemes are still
chip makers will have to cope with. ulations also point towards lower in their infancy. What is the best way
Imec proposes to introduce subtrac- power consumption and better thermal to implement them? Which litho and
tively etched Ru in M0, the first local properties than Cu dual-damascene etch processes, hard masks and resists
metal layer that follows the middle of schemes. At the same time, the stepwise should be used? And how to integrate
line (MOL). This first generation will implementation as outlined above will the vias that connect the extremely
come with a metal line AR 2, which is allow to minimize the risks always narrow interconnect lines of subsequent
slightly higher than today’s typical Cu associated with the introduction of a BEOL layers?
line AR (~1.6). In combination with the new technology. To address the last question, imec
favourable behaviour of barrier-less Ru earlier proposed the fully self-aligned
at tight metal pitches, this approach will Enabling advanced generations via (FSAV) as a key building block to
already give a resistance and reliability of semi-damascene semi-damascene [6]. FSAVs ensure a
benefit over Cu. While generation one and two are proper alignment of the lines and via
In the second generation, imec aims ready to enter the development phase, (at both via top and bottom), which is
efficient.
to create the via (meaning that the via is created by etching
a hole in a SiO2 dielectric which is then filled with metal),
imec explored two pillar-based FSAV integration schemes
(meaning that the via is formed as a pillar by direct etch of a
metal layer). The two variants are referred to as ‘hybrid pillar’ Simple replacement of Kr+ gas laser
(HP-FSAV) and ‘pillar with an etch stop layer’ (PE-FSAV).
The three integration schemes differ in number of process
steps, and in patterning and etch processes being used, in
hard mask integration and type of resist (e.g., allowing EUV
lithography tone inversion for enabling the pillars). But for
all three cases, the feasibility of reaching target via resistance
and via-to-line overlay margin was showcased (FIGURE
5). The most notable difference is related to the resistance
uniformity achieved across the wafer. All integration schemes TopWave 405 – 1 Watt @ 405 nm
provide sufficient via litho and etch process windows. High coherence diode laser for
Therefore, they are compatible with the direct metal etching lithography and holography
equipment currently available through our tool suppliers.
Other work by imec shows that the self-aligned window • Low cost of operation
• 1 Watt @ 405 nm
also exists for implementing airgaps, which will be needed
• Excellent beam quality, typical M² = 1.15
to continue the capacitance benefit when line ARs increase
further [8].
The status today hence proves that technically viable
options are available to implement at least two layers of
semi-damascene. At the same time the number of wafers
www.semiconductordigest.com
Interconnects
6) can indeed substantially improve lateral attack and line-break formation identified for benchmarking against
the RC metric over lower AR schemes during direct metal etch compared to Cu: the compound’s cohesive energy
[9]. Shortly after, initial experiments stacks without this extra layer. The key and the product of the bulk resistivity
indicated that high-AR lines are also benefit of this ‘defect mitigation layer’ and the mean free path of the carriers.
compatible with multilayer schemes [10]. is that it enables low-defectivity lines Ab-initio simulations revealed a sub-list
While the formation of interconnect of high AR and long lengths, which of candidates, including for example
lines with modest ARs (2 and 3) is LVDSURPLVLQJUHVXOWWRZDUGV$5 intermetallic aluminides, the starting
relatively well understood, increasing Ru semi-damascene. The results were point for further experimental work.
the AR while preserving good line presented at the 2024 VLSI Symposium Today, research groups worldwide
resistance and reliability requires some [12]. The experimental work showed investigate how the resistivity of these
technical mastery. It has shown to good reliability behavior of lines down candidate alloys behaves at reduced
challenge almost every process step – to 24nm pitch (FIGURE 7). But, at dimensions. For example, when inter-
including patterning and etch, cleaning, the same time more work is needed to metallic aluminides are deposited in
and defect control. For example, the optimize and extend the results towards thin films, defect mechanisms involved
direct metal etch ‘attacks’ the sidewalls 18nm pitch, show compatibility with in thin film formation seem to affect
of the Ru lines, leading to line-break de- integrating airgaps and demonstrate the resistivity behavior (FIGURE 8).
fects. And this worsens with increasing sufficient time-dependent dielectric Understanding that correlation will be
AR. Obtaining the lowest possible line breakdown (TDDB) and mechanical key to control the resistance. Imec also
resistance necessitates a more funda- reliability margin. identified global and local composition
mental understanding of the high-AR Advanced interconnects: the quest control as an important knob towards
line formation and reliability. for alternative conductors The work minimizing the resistance. [14]
As a first important insight, researchers on semi-damascene integration so far Once ways are found to optimize
at imec found that the composition of the focused on using Ru as the conductor of the resistance of promising binary and
stack used to form the high-AR metal choice. Several years ago, imec began ternary alloys, the next step is to im-
lines strongly influences the resistance investigating whether there are other plement them in relevant metallization
of the semi-damascene lines. Line break metals with even better prospects. The schemes and address the challenges
defectivity was shown to be the main search expanded from
contributor to the stack-dependent device elemental metals towards
performance. Imec, through multiple binary and ternary
experiments, found an optimal stack, ordered compounds
which starts with depositing 1nm TiN [13]. After a promising
for improved adhesion, followed by preliminary study, several
physical vapor deposited (PVD) Ru. R&D groups worldwide
Compared to other compositions used in started to embrace the
the study, this stack offered the lowest idea and joined the search
resistance over the entire height of the for candidate alloys. The
metal line. Second, the study provided community recently
a first indication that the line defectivity gathered at the VLSI
is influenced by the grain structure and 2024 thematic workshop
crystal orientation of the Ru metal grains. on ‘Novel metals for
Figure 7. Resistance yield of AR 6 lines at various metal
These morphological parameters strongly advanced interconnects’. pitches (18-26nm) for cases with and without defect
depend on the method used for depositing This workshop was orga- mitigation layer (DML).
Ru, favoring the use of PVD. [11] nized by imec to discuss
Besides gaining insights in the param- the state of the art and future research related to semi-damascene processing.
eters affecting the Ru line resistance, directions – from both industrial and Imec encourages universities and
imec recently came up with a unique academic perspective. research groups to collaboratively
approach to further improve the high-AR Since the list of potential alloys is explore patterning and etch strategies
lines from resistance and uniformity enormous, imec started its investigation and set up process directions. Although
point of view: sandwiching a sub-nm with setting up a unique methodology for much work remains to be done, research
TiN or W layer between two Ru layers. down-selecting and ranking the possible into alternative metals is a promising
This stack was found to be less prone to candidates. Two figures of merit were avenue, and steady progress is being
Conclusion
Semi-damascene metallization may become
the next inflection point in BEOL fabri-
cation, with industry currently debating
about introducing subtractive etch in the first
local interconnect layer. Although not even
the first generation of semi-damascene is
in production today, based on experimental Figure 8. Example of the challenge posed by local composition control in
evidence, imec is already looking ahead to intermetallic aluminides. The atom probe tomography measurement (right)
newer generations of semi-damascene. The shows local composition fluctuations (blue = Al; green = Ni), affecting the
focus is on multiple metal layers and vias, a resistivity (left).
step-by-step increase of the aspect ratios, and About the author
the introduction of new metals. For these next generations to Zsolt Tokei is imec fellow, and program director of nano-inter-
become a reality, joint efforts and more data are needed with connects at imec. He joined imec in 1999 and,
strong input from academia and industry. since then, has held various technical positions
in the organization. He is working on a range of
REFERENCES
1. ‘Semidamascene interconnects for 2nm node and beyond,’ G. interconnect issues, including scaling, metal-
Murdoch et al., IEEE IITC 2020; lization, electrical characterization, module
2. ‘Subtractive Ru Interconnect Enabled by Novel Patterning integration, reliability, and system aspects.
Solution for EUV Double Patterning and Top Via with Embedded
Airgap Integration for Post Cu Interconnect Scaling,’ C. Penny et
al., IEDM 2022;
3. ‘Airgap Integration on Patterned Metal Lines for Advanced
Interconnect Performance Scaling,’ H.K. Chang et al., IEEE IITC 2023;
4. ‘A Novel Integration Scheme for Self-Aligned Ru Top via as Post-
Cu Alternative Metal Interconnects,’ K. Motoyama et al., IEEE IITC
2023;
5. ‘A Study of Resistivity Control for Subtractive Interconnects Using
Ruthenium,’ J. Rogers et al., IEEE IITC 2023;
thermcraftinc.com • (336) 784-4800
6. ‘First demonstration of two metal level semi-damascene
interconnects with fully self-aligned vias at 18MP,’ G. Murdoch et
al., VLSI 2022;
7. ‘Redefining 2-level semi-damascene interconnect technology:
benchmarking three different fully self-aligned via options,’ G.
Semiconductor
Marti et al., IEEE IITC 2024;
8. ‘Airgap integration in MP18 two-level semi-damascene
interconnects with fully self-aligned vias,’ G. Delie et al., IEEE IITC
2024;
9. ‘MP18-26 Ru direct-etch integration development with leakage
improvement and increased aspect ratio,’ A. Pokhrel et al., IEEE
IITC 2022;
HeatingElements
Thermcraft has been supplying
upp
upply
u plyyin
ply n high
ng hiigh qual
q
quality
uallity
ty
10. ‘Two-metal-level semi-damascene interconnect at metal pitch diffusion furnace heating
n
ng
18nm and aspect-ratio 6 routed using fully self-aligned via,’ A. elements to the
Gupta et al., IEDM 2023; semiconductor
11. ‘Impact of Ru deposition method and adhesion layer on electrical industry since 1976.
performance of semi-damascene interconnects,’ G. Delie et al.,
SSDM 2023;
Our high-performance
elements are designed
12. ‘Mitigating line-break defectivity with a sandwiched TiN or
W layer for metal pitch 18nm aspect ratio 6 semi-damascene for the most demanding n p
ng processes.
roc
ocesses.
cesses.
interconnects,’ A. Gupta et al., VLSI 2024; Whether used in annealing silicon wafers,
13. ‘Alternative metals: from ab initio screening to calibrated narrow silicon doping, or other semiconductor
line model’, C. Adelmann et al., IEEE IITC 2018; applications, we will provide a custom
14. ‘Optimizations on resistivity of binary compounds for advanced engineered solution to meet your needs.
interconnect metallization,’ J.-P. Soulié, SSDM 2023.
www.semiconductordigest.com
Materials
The semiconductor manufacturer and au- can block higher voltages with lower on-
P
OWER ELECTRONICS ARE AT THE HEART OF
many electronic systems in tomotive expert Bosch has an optimistic state resistances, making them ideal for
battery-electric vehicles. Here, outlook on the future of silicon carbide. the high-voltage range. In addition, the
semiconductors manage the energy and Silicon carbide belongs to a class improved temperature stability ensures
ensure that it is utilized as efficiently as of materials known as wide bandgap that the semiconductor retains its per-
formance even at temperatures of up to
almost 400 degrees Fahrenheit. Another
key advantage of SiC is its higher charge
carrier mobility, which enables signifi-
cantly higher switching frequencies
compared to conventional silicon-based
solutions. Together, these benefits lead to
improved overall efficiency.
I
F YOU HAVE BEEN RUNNING A
semiconductor manufacturing also setting yourself up for valuable new terprise applications, such as ERP,
execution system (MES) for more capabilities. Here are just some of the SCM and PLM.
than 10 years, you already know well MES-enabling capabilities that have • Advanced machine learning and AI
the value of integrated, automated matured within the past decade: • Advanced simulation and modeling,
operations. And you likely know also • Integration with plant devices via digital twins
that MES and automation technol- IIoT • Personalization and customization
ogies have advanced significantly
over the past decade. Maintaining or
enhancing those benefits may require
migrating to a more modern system.
There is, however, more than one way
to approach migration, and getting the
maximum return on your investment
depends on selecting the strategy best
suited for your processes, your business
and your tolerance for risk. Here are
some important things to consider to
make your migration a success.
Why migrate?
Migrating to a new MES platform can
lower the total cost of ownership of au-
tomation and production assets, increase
efficiency, revenue, and ultimately,
profitability, safety and environmental
sustainability.
If your software is at the end of
its life and the vendor is phasing out
support, you will have little choice but
to migrate to a new platform. But even
if your ten-year-old system is not on its
last legs, there are still major benefits to
migrating to a modern system. You can
get your legacy functionality in a more
roll back before switching over to the the migration. swapping out or adding modules.
new systems. But all this learning and The execution phase then covers all One note of caution – regardless of
rolling back takes time and resources, the activities to bring the migration to which strategy you choose, you may
so these approaches will extend com- life. It assumes that you have recon- be tempted along the way to add some
pletion time and increase costs. ciled downtime with production needs. custom touches maybe to preserve
It includes the roll-out and go live, some legacy functionality. This could,
Planning and implementation performance monitoring, phase-in and however, be a recipe for disaster.
The strategy that best matches your phase-out of manufacturing processes Whether you implement it via big bang,
needs, optimizing for cost, speed, and and ultimately, shutdown and removal phased-in, or parallel, the integrity of
risk reduction, depends on a thorough of the legacy system. The closure phase the new product must be preserved. You
upfront planning process, where you covers all post-migration close-down risk not only compatibility issues down
structure the definition, preparation, activities, such as archiving legacy the road, but also missing out on new
execution, and closure of your project. data and decommissioning unnec- functionality that could optimize your
In the definition stage you set the essary hardware. It may or may not processes in ways you hadn’t imagined.
project scope, target and execution include activities necessary to activate Having taken the time to select the
strategy, this is where you would new functionality from the new MES migration strategy that best fits your
commit to a big bang, phased parallel system. business strategy, and clearly articulated
approach. Next, during the preparation objectives, however, will help in both
phase you acquire and install and Adaptable MES the short and the long term.
configure system hardware and other It is quite possible that this may be the For more guidelines on planning
applications and set up development, last full-scale migration you will ever and implementing your migration see
staging and production environments. do. Given the increasing adoption of our white paper Guide to Successful
This is also where you configure, model interoperability standards and software MES Replacement. https://www.
and customize the new MES modules, development advancements tailoring criticalmanufacturing.com/insights/
train users, and adapt the related appli- to accommodate changing market white-papers/guide-to-successful-
cations and utilities needed to support dynamics could be simply a matter of mes-replacement/
W
ATER IS FUNDAMENTAL IN THE
manufacture of microelec- increasingly pressing issue. In addition “In the midst of increasing regional
tronics, with large volumes to sustainability considerations, water water scarcity and raw material costs,
of water required at every stage of stress can increase operating costs for we’re seeing end-users increase their
the fabrication process. As one of the foundries as they compete with local reclamation of wastewater for reuse
world’s most water-intensive industries, communities for local resources, plus back into their process streams—and
it is understandable why it is in the it may expose them to reputational, recycling other valuable resources
Figure 2.
T
HE U.S. SEMICONDUCTOR INDUSTRY IS AT
a strategic crossroads. Despite this critical talent gap through
being a global technology leader, our expertly designed, custom
the U.S. currently manufactures only business process outsourcing
about 12 percent of the world’s semi- (BPO) solutions. the U.S. domestic semiconductor man-
conductors—not including the most ufacturing workforce has declined by
advanced types. The semiconductor talent challenge 43% since its peak in 2000, leaving the
Recognizing the strategic importance While the CHIPS and Science Act industry with a diminished talent pool.
of this sector, the U.S. government of 2022 represents a significant step Demanding jobs and lack of clear
took decisive action in August 2022 by toward revitalizing the U.S. semicon- pathways contribute to attrition The
signing the Creating Helpful Incentives ductor industry, it does not mitigate semiconductor industry is known for its
to Produce Semiconductors (CHIPS) and the pressing talent shortage facing demanding work environment—char-
Science Act into law. This legislation al- the sector. This challenge is rooted in acterized by long hours, high-pressure
located more than $75 billion to revitalize long-term trends and requires a multi- deadlines, and rapidly evolving technol-
American competitiveness in the industry faceted approach. ogies. These factors, coupled with a lack
and to limit the need for foreign suppliers. Decline in domestic manufacturing of clear career progression pathways in
While this opens up an amazing workforce According to McKinsey data, some companies, make the competition
potential for U.S. companies to take a
larger portion of the highly profitable
global semiconductor market (estimated
to reach $1 trillion by 2030) [1], there
is a significant shortage of skilled tech
talent. The gap between available posi-
tions and qualified workers is expected
to persist through 2030—threatening to
limit growth and innovation.
With nearly 80 years of experience
in workforce management and de-
cades of hands-on experience in the
semiconductor industry, Kelly® is
role. Currently, Dan is an operations manufacturing leadership until his partner with skilled technicians during
manager for Kelly at the semiconductor company began downsizing. He tool installation projects, to field
company, where he enjoys the oppor- accepted a role as a level one semi- service engineers and supervisors.
tunity to learn and grow daily. conductor technician with Kelly. Rich This unmatched expertise allows us to
Upskilling: a key strategy for work- stood out due to his unique interest provide tailored workforce solutions
force development Upskilling programs in process improvements and team to the industry’s most competitive
can fundamentally change semicon- development, and quickly moved up semiconductor organizations—helping
ductor companies’ talent equation. The to a senior operations manager role. them to build a team of skilled
programs proactively enhance employee Throughout his five-year tenure with workers, well-trained on the company’s
performance by equipping them with Kelly, Rich has had a hand in hiring internal processes.
the latest skills and knowledge. They more than 450 technicians to support Comprehensive semiconductor
cultivate loyalty through clear career the semiconductor company. He cur- workforce solutions By implementing
pathways and improve productivity by rently oversees factory support opera- strategic workforce management and
ensuring employees are engaged and tions and the startup of
up-to-date in their knowledge. a new, remote branch.
Common upskilling programs include: Right talent, right
• Training courses jobs, right time In a
• Mentorship programs rapidly evolving and
• Tuition reimbursement highly competitive
• Job rotations industry, ensuring
• Technology access the right talent is in
Here are five benefits of using the right roles at the
upskilling to strategically and systemat- right time can make
ically develop existing talent: or break success.
1. Training existing employees can be However, finding,
more cost-effective than recruiting hiring, onboarding,
and onboarding new hires, especially and upskilling a large staff can be partnering with experienced experts,
for specialized roles. overwhelming for even the best in- semiconductor companies can effec-
2. By demonstrating their commitment ternal HR departments. Time spent on tively navigate the talent shortage,
to their workforce, companies reduce administrative tasks can detract from build a sustainable workforce, and
attrition and improve job satisfaction. the strategic workforce planning and position themselves for long-term
3. As employees gain new skills, they development initiatives that are critical success in this critical industry. The
become more efficient and effective for long-term success. key lies in working with a partner
in their roles, enhancing organiza- To effectively address the talent who understands how to identify and
tional productivity. shortage, companies need to ensure manage talent across all levels of
4. Upskilling ensures that the workforce they have the right talent available. This technical skills.
remains current with the latest indus- requires a nuanced understanding of the Partnering with Kelly delivers
try developments. skillsets required at each job level, from established BPO solutions, indus-
5. By developing employees’ skills, entry-level to highly specialized roles. try-specific expertise, and a proven
companies can prepare internal track record—allowing semicon-
candidates for future leadership roles, Turn to a BPO solution ductor companies to focus fully on
ensuring smooth transitions and This is where a business process innovation and core business objec-
knowledge retention. outsourcing (BPO) solution from Kelly tives, while ensuring their workforce
By prioritizing upskilling, semicon- becomes a game-changing advantage. needs are met with precision and
ductor companies can create a more With decades of experience providing efficiency.
resilient, adaptable, and skilled work- workforce solutions, Kelly is the leading To learn more, visit www.kellyser-
force capable of meeting the industry’s provider of skilled tech talent for the vices.us/semiconductor-digest/
evolving challenges. semiconductor industry.
REFERENCE
Our team has a deep understanding 1. https://www.mckinsey.com/industries/
A semiconductor spotlight on Rich of the skillsets required for each job semiconductors/our-insights/reimagining-
Rich was working in construction level—from safety mentors who labor-to-close-the-expanding-us-
semiconductor-talent-gap
— are usually communicated under their visibility and insights derived from AI
T
HE SEMICONDUCTOR INDUSTRY HAS
recently experienced widespread current identifier. The internal dynamics empower manufacturers and supply chain
consolidation through merger of companies, including their previous professionals to make more informed de-
and acquisition (M&A) activity. Over M&A history and the use of distributor cisions across their supply chain following
the past several years, there have been or legacy part numbers, compound the M&A activity, pinpointing any deviations
several notable semiconductor acqui- issue of proper identification.. This con- and resolving problems before they arise.
sitions, including Intel’s purchase of fusion may cause production line-down By analyzing historical data, schedules
Mobileye for $15.3 billion and Analog situations or could even force a redesign and sequences, AI can help design engi-
Devices’ acquisition of Linear Tech- in the middle of an electronics manu- neers and procurement teams anticipate
nology for $14.8 billion. factuer’s product lifecycle. demand fluctuations and potential supply
M&A can benefit companies and Electronicproduct manufacturers issues before they materialize, leading
investors in many ways, including can address these issues with best to proactive problem-solving rather than
reduced costs and increased effiencies, practices that capture manufacturer reactive crisis management. The latest
but it can pose significant challenges to and part-number changes over time. supply-chain AI tools can even help with
the supply chain. In an industry where For example, it’s often beneficial to auto-association of historical identifiers
supply-chain disruptions are already assign internal part numbers — which to current indentifiers. If a customer
common — mostly due to natural di- allows for more than one manufactuer uploads a BOM, for example, AI can
sasters, geopolitical tensions, and factory or part-number identifier for the same automatically match the current iden-
closures — M&A adds an extra layer of part — in internal systems such as tifier to the historical identifier, reducing
complexity. Electronic products man- PLM(product lifecycle management) duplication and confusion.
ufacturers, face production delays and platforms and product libraries. The technology can also be leveraged
shortages due to these industry shifts. In the past, not tracking part/man- to track PCN, processes product discon-
ufacturer changes over time required tinuance (PDN), and end of life (EOL)
Why does M&A cause significant manual work to assure PCN/ issuances from component manufac-
supply-chain disruptions? EOL notices were not missed due to turers, immediately notifying sup-
A product’s Bill of Materials (BOM) using legacy part numbers, and often ply-chain processionals of any changes,
doesn’t change. With M&A part numbers lead to duplication of part numbers and and offering insights on how and when
and manufacturer names do change. As missed opportunities to consolidate these should be addressed. Through
a result, semiconductor components im- volumes for purchasing power. the use of AI, supply chain teams can
pacted by M&A will have multiple iden- The good news is that artificial maintain healthy operations following
tifiers, including their original identity intelligence (AI) technology can help M&A and other disruptions.
and any subsequent post-acquisition combat these challenges and streamline As M&A reshapes the semiconductor
identities.. This can lead to confusion and the process of uncovering identity industry, supply chains need to adapt. AI
critical disruptions in a supply chain. discrepancies, preventing disruptions. offers solutions that streamline oper-
For example, obsolescence and ations, manage part duplications, and
product change notices (PCN) — the AI to the rescue improve visibility. By leveraging these
documents issued by manufacturers Over the past few years, AI tools have technologies, companies can navigate
to inform customers about changes captivated the attention of companies as challenges more effectively and maintain
to products or manufacturing process a way to save time and resources. The innovation in a changing market.
T
HE UN PREDICTS THAT BY 2030 THERE
will be a 40% gap between 60 to 350 times more expensive than to reduce plant overall energy con-
global freshwater supply and producing drinking water, mainly due sumption by up to 20% and coagulant &
demand. Amid the escalating decline to the additional purification processes flocculant chemical usage by 5- 20% —
in freshwater availability, industry still and equipment required to remove vir- and it is clear that it is essential that the
accounts for a staggering 20% of global tually all contaminants. This cost is so semiconductor industry focuses efforts
freshwater withdrawals. high because of the energy consumption on the importance of smarter water use
The increased threat of water scarcity, and chemical usage that is needed for across their operations.
competition for water resources due to water treatment processes. With water So, what does this look like in
a growing global population, and new demand showing no signs of slowing practice?
regulations for wastewater discharge, down, it is crucial for manufacturers
has highlighted the urgent need to ad- to review operations now to optimize Creating a data-driven
dress inefficiencies across all industrial processes and reduce their water circular water economy
sectors. consumption. Today, data-driven industrial water
The semiconductor industry is no management technologies are revolu-
exception, with the chip manufacturing The operational case for tionizing how companies can approach
boom increasing water consumption enhanced circularity water management and sustainability.
by 20-30% in the last few years. Today, According to recent analysis from S&P Automation coupled with data and AI
the industry is on average using five Global, “water scarcity is a risk in the are playing a crucial role in enabling
times more water for chip production in coming decade for the tech hardware in- better management of resources,
comparison to ten years ago. In fact, a dustry, particularly the water-intensive optimizing treatment processes, and
single semiconductor fabrication plant semiconductor subsector. Mishandling improving operational efficiencies
can use up to 10 million gallons of of such a risk could hit a chipmaker’s supporting quicker and more precise
water per day, which is equivalent to the operations and creditworthiness.” decision-making than ever before.
daily water consumption of a city with a By 2030, 40% of chip production This is particularly true for industrial
population of 300,000, while an average facilities are predicted to be in high- water management solutions that are
chip manufacturing facility today can or extremely high-water-risk areas. layered with sensors, data, and cloud-
use 10 million gallons of ultrapure water Already many governments across the based platforms to optimize physical
per day—as much water as is used globe are increasing investments in water systems. The integration of
by 33,000 US households every day. domestic semiconductor manufacturing AI, Machine Learning (ML), Data
Furthermore, the demand for high- efforts to safeguard supplies while man- Analytics, Internet of Things (IoT),
quality ultrapure water (UPW) needed aging ESG concerns and environmental sensors, and digital twins provides a
for cleaning and cooling continues to impacts. huge volume of data insights that can be
rise, with the average chip manufac- Combine this threat with the ex- leveraged for quick analysis to measure
turing facility using 10 million gallons panding regulation to include zero water quality and make predictions
of UPW each day. liquid discharge (ZLD) treatment using demand forecasting.
AD INDEX OFFICES
Advertiser Pg Gold Flag Media, 58 Summer Street, Andover, MA 01810
Bechtel M&T.................................................................................. C4
Busch LLC........................................................................................ 17
ADVERTISING
Edwards Ltd. ...........................................................................51, C3 North America, ROW
Fastmicro B.V...................................................................................3 Kerry Hoffman
Guardian Equipment.................................................................. 25 Tel: 978-580-4205
Hammond Power Solutions.......................................................5 [email protected]
Levitronix Technologies Inc.................................................... C2
Middlesex General Industries, Inc. ....................................... 11 Germany, Austria, Switzerland & E. Europe
Nikon Precision, Inc. ...................................................................19 Holger Gerisch
Nordson Test & Inspection ..................................................7, 13 Tel: +49 0 8856 8020228
Schneider Electric................................................................. 15, 21 [email protected]
SEMI............................................................................................27, 29
Spotfire .......................................................................................9, 23 For advertising information,
Thermcraft, Inc. ........................................................................... 33 please visit www.semiconductor
TOPTICA Photonics AG ...............................................................31 digest.com/advertise.
PRODUCT SHOWCASE
Upgrade ĞĸĐŝĞŶĐLJ͘ŽǁŶƐŝnjĞĞŵŝƐƐŝŽŶƐ͘
dŚĞŶĞǁ͕ĞĂƐLJƐǁĂƉŽƵƚ͕ĞŶĞƌŐLJ
ƐĂǀŝŶŐŝy,ϭϮϭϬĚƌLJƉƵŵƉƵƉŐƌĂĚĞ͘
^ĐĂŶĨŽƌĚĞƚĂŝůƐ͘
A
I IS REVOLUTIONISING ENTIRE
industries. By next year, it’s ex- First, it breaks the link costs. And with fewer
pected the world will generate between performance functions taking up
over 180 zettabytes of data. As a result, and flexibility. This valuable silicon space,
a fundamental shift in computing power means a system can a custom chip not
is needed to cater for the development of scale up to meet only lowers costs but
these data-hungry innovations. increased demands if provides more chips
In response to this need, one solution required. Secondly, by per wafer, improving
that’s gaining traction is heterogeneous taking advantage of in- each wafer’s yield too.
computing. This enables a single system telligent features like smart The emergence of custom
to have multiple computing sub-systems, scheduling, it breaks the link instructions supported by RISC-V
such as CPUs, GPUs, DSPs, FPGAs between flexibility and cost, so as many also provides an opportunity to further
and ASICs. These processors execute processors can be employed for as many optimise the use of custom processors.
core instructions differently, but work in tasks as possible. Finally, this increased
parallel to help increase compute speed flexibility and scalability results in a more A smarter approach for
and lower the time required to complete efficient, cost-effective system, which can AI applications
a task. The result? A more seamless competently manage multiple tasks. There’s no question the demands placed
user experience in instances where vast on chips are steadily growing. Hetero-
amounts of data need to be processed Opting for custom chip designs geneous computing offers the chance
and converted — such as AI and ma- When considering heterogeneous SoCs, to accelerate computing speed, while
chine learning workloads. there are real gains to be made from reducing the time it takes to complete a
custom chip designs or processing task. By delegating different workloads
A balancing act blocks in an SoC. These are tailored to to specialised processors, performance
For those wishing to push ahead, a specific application requirements, while can be optimised and energy effi-
heterogeneous system on chip (SoC) is providing more control when inte- ciency improved. As businesses rush
stacked with possibilities. Typically when grating hardware and software. to embrace the opportunities opened
designing a system, there’s some kind of Custom chip designs can also deliver up with AI and machine learning, a
tradeoff between flexibility, performance greater cost efficiencies. Because the heterogeneous SoC offers a smarter way
and cost. For instance, general purpose chip is designed for a specific use case, to achieve greater performance.
computing might provide the most fewer inessential features are imple- With a long-standing relationship
flexibility, but loses ground on perfor- mented, reducing design and fabrication with many of the world’s leading
mance and cost. And because applica- costs. In turn, there are fewer functions vendors in the semiconductor industry,
tion-specific computing is designed for a consuming power, which minimises Mobica is well positioned to help them
particular use case, it impresses when it energy usage, and auxiliary components support their customers in maximising
comes to performance, but this comes at a can be designed with a lower specifi- the potential of their silicon products.
price and with less flexibility. In contrast, cation on the printed circuit board. A If you need guidance on how to take
embedded computing is the least flexible, simpler design also means simpler imple- advantage of heterogeneous SoCs and
but typically available for the lowest cost. mentation, making the system easier to custom chip designs, visit www.mobica.
How, then, does a heterogeneous SoC manage and troubleshoot, which reduces com/semiconductor.