TP VHDL
TP VHDL
Library ieee;
Use ieee.std_logic_1164.all;
entity Hamming_84 is port (
d : in std_logic_vector (3 downto 0);
parité : out std_logic_vector (3 downto 0);
encodeur : out std_logic_vector (7 downto 0)
); end Hamming_Encodeur;
- - - Décodeur Hamming
process(encodeur)
begin
parité <= encodeur(3 downto 0);
end process;
end Behavioral;
-- Codeur de Priorité
-- Affichage à 7 Segments