Arm Cortex A710 TRM 101800 0200 06 en
Arm Cortex A710 TRM 101800 0200 06 en
Revision: r2p0
Non-Confidential Issue 06
Copyright © 2019–2021 Arm Limited (or its affiliates). 101800_0200_06_en
All rights reserved.
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Release Information
Document history
Issue Date Confidentiality Change
0000-01 25 October 2019 Confidential First alpha release for r0p0
0000-02 24 January 2020 Confidential First beta release for r0p0
0000-03 30 March 2020 Confidential First limited access release for r0p0
0100-04 12 June 2020 Confidential First limited access release for r1p0
0200-05 21 August 2020 Confidential First early access release for r2p0
0200-06 25 May 2021 Non-Confidential Second early access release for r2p0
Proprietary Notice
This document is protected by copyright and other related rights and the practice or
implementation of the information contained in this document may be protected by one or more
patents or pending patent applications. No part of this document may be reproduced in any form
by any means without the express prior written permission of Arm. No license, express or implied,
by estoppel or otherwise to any intellectual property rights is granted by this document unless
specifically stated.
Your access to the information in this document is conditional upon your acceptance that you
will not use or permit others to use the information for the purposes of determining whether
implementations infringe any third party patents.
TO THE EXTENT NOT PROHIBITED BY LAW, IN NO EVENT WILL ARM BE LIABLE FOR
ANY DAMAGES, INCLUDING WITHOUT LIMITATION ANY DIRECT, INDIRECT, SPECIAL,
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 2 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
This document consists solely of commercial items. You shall be responsible for ensuring that
any use, duplication or disclosure of this document complies fully with any relevant export laws
and regulations to assure that this document or any portion thereof is not exported, directly
or indirectly, in violation of such export laws. Use of the word “partner” in reference to Arm’s
customers is not intended to create or refer to any partnership relationship with any other
company. Arm may make changes to this document at any time and without notice.
If any of the provisions contained in these terms conflict with any of the provisions of any click
through or signed written agreement covering this document with Arm, then the click through or
signed written agreement prevails over and supersedes the conflicting provisions of these terms.
This document may be translated into other languages for convenience, and you agree that if there
is any conflict between the English version of this document and any translation, the terms of the
English version of the Agreement shall prevail.
The Arm corporate logo and words marked with ® or ™ are registered trademarks or trademarks
of Arm Limited (or its subsidiaries) in the US and/or elsewhere. All rights reserved. Other brands
and names mentioned in this document may be the trademarks of their respective owners. Please
follow Arm’s trademark usage guidelines at https://www.arm.com/company/policies/trademarks.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
(LES-PRE-20349)
Confidentiality Status
This document is Non-Confidential. The right to use, copy and disclose this document may be
subject to license restrictions in accordance with the terms of the agreement entered into by Arm
and the party that Arm delivered this document to.
Product Status
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 3 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Web Address
developer.arm.com
Arm values inclusive communities. Arm recognizes that we and our industry have used terms that
can be offensive. Arm strives to lead the industry and create change.
This document includes terms that can be offensive. We will replace these terms in a future issue
of this document.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 4 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Contents
1 Introduction.....................................................................................................................................................18
1.1 Product revision status................................................................................................................................18
1.2 Intended audience........................................................................................................................................18
1.3 Conventions................................................................................................................................................... 18
1.4 Additional reading.........................................................................................................................................20
1.5 Feedback.........................................................................................................................................................21
3 Technical overview........................................................................................................................................ 31
3.1 Core components......................................................................................................................................... 31
3.2 Interfaces........................................................................................................................................................ 35
3.3 Programmers model..................................................................................................................................... 35
5 Power management...................................................................................................................................... 37
5.1 Voltage and power domains...................................................................................................................... 37
5.2 Architectural clock gating modes............................................................................................................. 39
5.2.1 Wait for Interrupt and Wait for Event.................................................................................................39
5.2.2 Low-power state behavior considerations.......................................................................................... 39
5.3 Power control................................................................................................................................................ 40
5.4 Core power modes...................................................................................................................................... 40
5.4.1 On mode..................................................................................................................................................... 42
5.4.2 Off mode.....................................................................................................................................................43
5.4.3 Emulated off mode...................................................................................................................................43
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 5 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
6 Memory management.................................................................................................................................. 46
6.1 Memory Management Unit components................................................................................................46
6.2 Translation Lookaside Buffer entry content........................................................................................... 48
6.3 Translation Lookaside Buffer match process..........................................................................................48
6.4 Translation table walks................................................................................................................................ 49
6.5 Hardware management of the Access flag and dirty state................................................................ 50
6.6 Responses.......................................................................................................................................................50
6.7 Memory behavior and supported memory types................................................................................. 52
9 L2 memory system........................................................................................................................................63
9.1 L2 cache......................................................................................................................................................... 63
9.2 Support for memory types.........................................................................................................................63
9.3 Transaction capabilities................................................................................................................................64
15 System control............................................................................................................................................. 93
15.1 AArch64 identification registers............................................................................................................. 93
16 Debug.............................................................................................................................................................95
16.1 Supported debug methods...................................................................................................................... 96
16.2 Debug register interfaces.........................................................................................................................97
16.2.1 Core interfaces........................................................................................................................................98
16.2.2 Effects of resets on debug registers.................................................................................................. 98
16.2.3 External access permissions to Debug registers............................................................................. 98
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 7 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 8 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
A AArch32 registers.......................................................................................................................................130
A.1 AArch32 generic-system-control register summary.......................................................................... 130
A.1.1 FPSCR, Floating-Point Status and Control Register....................................................................... 130
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 9 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 10 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 11 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 12 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 13 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 14 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 15 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 16 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Contents
E Document revisions....................................................................................................................................587
E.1 Revisions.......................................................................................................................................................587
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 17 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Introduction
1 Introduction
The rxpy identifier indicates the revision status of the product described in this manual, for
example, r1p2, where:
rx Identifies the major revision of the product, for example, r1.
py Identifies the minor revision or modification status of the product, for example, p2.
This manual is for system designers, system integrators, and programmers who are designing or
programming a System on Chip (SoC) that uses an Arm core.
1.3 Conventions
The following subsections describe conventions used in Arm documents.
Glossary
The Arm Glossary is a list of terms used in Arm documentation, together with definitions for
those terms. The Arm Glossary does not contain terms that are industry standard unless the Arm
meaning differs from the generally accepted meaning.
Typographic conventions
Convention Use
italic Introduces citations.
bold Highlights interface elements, such as menu names. Denotes signal names. Also used for terms in
descriptive lists, where appropriate.
monospace Denotes text that you can enter at the keyboard, such as commands, file and program names, and source
code.
monospace bold Denotes language keywords when used outside example code.
monospace underline Denotes a permitted abbreviation for a command or option. You can enter the underlined text instead of
the full command or option name.
<and> Encloses replaceable terms for assembler syntax where they appear in code or code fragments. For ex-
ample:
MRC p15, 0, <Rd>, <CRn>, <CRm>, <Opcode_2>
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 18 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Introduction
Convention Use
SMALL CAPITALS Used in body text for a few terms that have specific technical meanings, that are defined in the
Arm® Glossary. For example, IMPLEMENTATION DEFINED, IMPLEMENTATION SPECIFIC, UNKNOWN, and
UNPREDICTABLE.
This represents a recommendation which, if not followed, might lead to system failure or damage.
This represents a requirement for the system that, if not followed, might result in system failure or
damage.
This represents a requirement for the system that, if not followed, will result in system failure or damage.
This represents a useful tip that might make it easier, better or faster to perform a task.
This is a reminder of something important that relates to the information you are reading.
Timing diagrams
The following figure explains the components used in timing diagrams. Variations, when they occur,
have clear labels. You must not assume any timing information that is not explicit in the diagrams.
Shaded bus and signal areas are undefined, so the bus or signal can assume any value within the
shaded area at that time. The actual level is unimportant and does not affect normal operation.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 19 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Introduction
Signals
The signal conventions are:
Signal level
The level of an asserted signal depends on whether the signal is active-HIGH or active-LOW.
Asserted means:
• HIGH for active-HIGH signals.
• LOW for active-LOW signals.
Lowercase n
At the start or end of a signal name, n denotes an active-LOW signal.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 20 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Introduction
1.5 Feedback
Arm welcomes feedback on this product and its documentation.
Feedback on content
Information about how to give feedback on the content.
Arm tests the PDF only in Adobe Acrobat and Acrobat Reader, and cannot
guarantee the quality of the represented document when used with any other PDF
reader.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 21 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
The Cortex®‑A710 core is implemented inside a DynamIQ™-110 cluster and is always connected
to the DynamIQ™ Shared Unit-110 (DSU-110) that behaves as a full interconnect with L3 cache
and snoop control.
This configuration is also used in systems with different types of cores where Cortex®‑A710 is
either the high-performance or balanced-performance core.
The following figure shows an example configuration with four Cortex®‑A710 cores in a DynamIQ™
cluster.
DynamIQ cluster
CPU
Core 0 bridge
External memory interface
CPU
Interrupt interface
Core 1 bridge
DynamIQ Power management and clock
cluster control
shared logic
CPU
Core 2 bridge DFT
CoreSight infrastructure
CPU
Core 3 bridge
Utility bus
This manual applies to the Cortex®‑A710 core only. Read this manual together with the Arm®
DynamIQ™ Shared Unit-110 Technical Reference Manual for detailed information about the DSU-110.
This manual does not provide a complete list of registers. Read this manual together with the Arm®
Architecture Reference Manual Armv8, for Armv8-A architecture profile.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 22 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
However, regardless of the cluster configuration, the Cortex®‑A710 core always has the same
features.
Core features
• Implementation of the Armv9-A A32, T32, and A64 instruction sets
• AArch32 Execution state at Exception level EL0 and AArch64 Execution state at all Exception
levels, EL0 to EL3
• Memory Management Unit (MMU)
• 40-bit Physical Address (PA) and 48-bit Virtual Address (VA)
• Generic Interrupt Controller (GIC) CPU interface to connect to an external interrupt distributor
• Generic Timers interface that supports 64-bit count input from an external system counter
• Implementation of the Reliability, Availability, and Serviceability (RAS) Extension
• Implementation of the Scalable Vector Extension (SVE) with a 128-bit vector length and Scalable
Vector Extension 2 (SVE2)
• Integrated execution unit with Advanced Single Instruction Multiple Data (SIMD) and floating-
point support
• Support for the optional Cryptographic Extension, which is licensed separately
• Activity Monitoring Unit (AMU)
Cache features
• Separate L1 data and instruction caches
• Private, unified data and instruction L2 cache
• Error protection on L1 instruction and data caches, L2 cache, and MMU Translation Cache
(MMU TC) with parity or Error Correcting Code (ECC) allowing Single Error Correction and Double
Error Detection (SECDED)
• Support for Memory System Resource Partitioning and Monitoring (MPAM)
Debug features
• Armv9.0-A debug logic
• Performance Monitoring Unit (PMU)
• Embedded Trace Macrocell (ETM) with support for Embedded Trace Extension (ETE)
• Trace Buffer Extension (TRBE)
• Optional Embedded Logic Analyzer (ELA)
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 23 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
Related information
3 Technical overview on page 31
You can configure your Cortex®‑A710 core implementation using the following options:
L1 instruction cache size
Configure the L1 instruction cache to be 32KB or 64KB. All the cores in the cluster can have
different cache sizes.
L1 data cache size
Configure the L1 data cache to be 32KB or 64KB. All the cores in the cluster can have
different cache sizes.
L2 cache size
Configure the L2 cache to be 256KB or 512KB. All the cores in the cluster can have different
cache sizes.
L2 transaction queue size
Configure the L2 transaction queue size to be 48, 56, or 62.
Cryptographic Extension
Configure your implementation with or without the Cryptographic Extension. The selected
option applies to all cores in the cluster.
CoreSight™ Embedded Logic Analyzer (ELA)
Include support for integrating ELA-600 as a separate licensable product.
PMU Event Counters
Configure the number of PMU events counters to be 6 or 20.
Size of the ATB FIFO depth in the core ELA
Configure the size of the ATB FIFO to be 4, 8, 16, 32, or 64.
Timing closure
Configure the L2 data cache RAMs timing behavior.
See RTL configuration process in the Arm® Cortex®‑A710 Core Configuration and Integration Manual
for detailed configuration options and guidelines.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 24 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
The following table describes which DSU-110 dependent features are supported in your
Cortex®‑A710 core.
Table 2-1: Cortex®‑A710 core features that have a dependency on the DSU-110
Feature Supported in the Dependency on the DSU-110
Cortex®‑A710 core
Direct connect No Direct connect support at the DynamIQ™-110 cluster level only applies when
your licensed core also supports Direct connect.
Direct connect is intended for large systems where there are many cores.
Core included in a complex No Affects the DynamIQ™ cluster configuration and external signals.
Cryptographic Extension Yes Affects the external signals of the DSU-110.
Maximum Power Mitigation Yes
Mechanism (MPMM)
Performance Defined Power Yes
(PDP) feature
DISPBLKx signal supported Yes
Statistical Profiling Extension No
(SPE) architecture
The Cortex®‑A710 core supports AArch32 at EL0 and AArch64 at all Exception levels, EL0 to EL3,
and supports all mandatory features of each architecture version.
The following tables show, for each Armv8-A architecture version, the optional features that the
Cortex®‑A710 core supports.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 25 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 26 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
See CHI master interface in the Arm® DynamIQ™ Shared Unit-110 Technical Reference
Manual for information on CHI.E commands inferred by MTE.
Armv8.5-RNG, Random Number Not -
Generator instructions supported
Armv8.5-CSEH, Context Not -
Synchronization and Exception supported
Handling
The following table shows the Arm®v9.0-A features that the Cortex®‑A710 core supports.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 27 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
The following table shows the other standards and specifications that the Cortex®‑A710 core
supports.
Table 2-9: Other standards and specifications support in the Cortex®‑A710 core
Standard or specification Version Notes
Generic Interrupt Controller (GIC) GICv4.1 See the Arm® Generic Interrupt Controller
Architecture Specification, GIC architecture
version 3 and version 4 for more
information.
Debug - Arm®v9.0-A architecture implemented
with Arm®v8.4-A Debug architecture
support and Arm®v8.3-A debug over
powerdown support
Related information
3.1 Core components on page 31
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 28 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
The Cortex®‑A710 core includes an ATPG test interface that provides signals to control the Design
for Test (DFT) features of the core. To prevent problems with DFT implementation, you must
carefully consider how you use these signals.
Arm also provides MBIST interfaces that enable you to test the RAMs at operational frequency.
You can add your own MBIST controllers to automatically generate test patterns and perform result
comparisons. Optionally, you can use your EDA tool to test the physical RAMs directly instead of
using the supplied Arm interfaces.
See Design for Test integration guidelines in the Arm® Cortex®‑A710 Core Configuration and
Integration Manual for the list of test signals and information on their usage. See also Design for Test
integration guidelines in the Arm® DynamIQ™ Shared Unit-110 Configuration and Integration Manual for
the list of external scan control signals.
The Arm® Cortex®‑A710 Core Configuration and Integration Manual and Arm®
DynamIQ™ Shared Unit-110 Configuration and Integration Manual are confidential
documents that are available with the appropriate product licenses.
Separate parties can perform each of the following tasks. Implementation and integration choices
affect the behavior and features of the core:
Implementation
The implementer configures and synthesizes the RTL to produce a hard macrocell. This task
includes integrating RAMs into the design.
Integration
The integrator connects the macrocell into a System on Chip (SoC). This task includes
connecting it to a memory system and peripherals.
Programming
In the final task, the system programmer develops the software to configure and initialize the
core and tests the application software.
The operation of the final device depends on the build configuration, the configuration inputs, and
the software configuration:
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 29 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
The Cortex®‑A710 core
Build configuration
The implementer chooses the options that affect how the RTL source files are rendered.
These options usually include or exclude logic that can affect the area, maximum frequency,
and features of the resulting macrocell.
Configuration inputs
The integrator configures some features of the core by tying inputs to specific values. These
configuration settings affect the start-up behavior before any software configuration is made.
They can also limit the options available to the software.
Software configuration
The programmer configures the core by programming values into registers. The configuration
choices affect the behavior of the core.
See RTL configuration process in the Arm® Cortex®‑A710 Core Configuration and Integration Manual
and in the Arm® DynamIQ™ Shared Unit-110 Configuration and Integration Manual for implementation
options. See also Functional integration in the Arm® DynamIQ™ Shared Unit-110 Configuration and
Integration Manual for signal descriptions.
The Arm® Cortex®‑A710 Core Configuration and Integration Manual and Arm®
DynamIQ™ Shared Unit-110 Configuration and Integration Manual are confidential
documents that are available with the appropriate product licenses.
Changes in functionality that have an impact on the documentation also appear in E.1 Revisions on
page 587.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 30 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Technical overview
3 Technical overview
All components in the Cortex®‑A710 core are always present. These components are designed to
make the Cortex®‑A710 core a high-performance or balanced-performance core.
The Cortex®‑A710 core interfaces with the DynamIQ™ Shared Unit-110 (DSU-110) through the
CPU bridge.
The Cortex®‑A710 core implements the Arm®v9.0-A architecture. The Arm®v9.0-A architecture
extends the architecture defined in the Armv8-A architectures up to Arm®v8.5-A. The
programmers model and the architecture features implemented, such as the Generic Timer, are
compliant with the standards in 2.4 Supported standards and specifications on page 25.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 31 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Technical overview
Core
L1 instruction
TLB Register rename
Vector execute
Macro-
operation FPU SVE
cache
L2 memory system
L2 cache
Instruction decode
The instruction decode unit decodes AArch32 and AArch64 instructions into internal format.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 32 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Technical overview
Register rename
The register rename unit performs register renaming to facilitate out-of-order execution and
dispatches decoded instructions to various issue queues.
Instruction issue
The instruction issue unit controls when the decoded instructions are dispatched to the execution
pipelines. It includes issue queues for storing instructions pending dispatch to execution pipelines.
Integer execute
The integer execution pipeline is part of the overall execution pipeline and includes the integer
execute unit that performs arithmetic and logical data processing operations.
Vector execute
The vector execute unit is part of the execution pipeline and performs Advanced SIMD and
floating-point operations (FPU), executes the Scalable Vector Extension (SVE) and Scalable Vector
Extension 2 (SVE2) instructions, and can optionally execute the cryptographic instructions (Crypto).
Advanced SIMD and floating-point support
Advanced SIMD is a media and signal processing architecture that adds instructions primarily
for audio, video, 3D graphics, image, and speech processing. The floating-point architecture
provides support for single-precision and double-precision floating-point operations.
Cryptographic Extension
The Cryptographic Extension is optional in Cortex®‑A710 cores. The Cryptographic
Extension adds new instructions to the Advanced SIMD and the Scalable Vector Extension
(SVE) instruction sets that accelerate:
• Advanced Encryption Standard (AES) encryption and decryption.
• The Secure Hash Algorithm (SHA) functions SHA-1, SHA-224, SHA-256, SHA-384, and
SHA-512.
• Armv8.2-SM SM3 hash function and SM4 encryption and decryption instructions.
• Finite field arithmetic that is used in algorithms such as Galois/Counter Mode and Elliptic
Curve Cryptography.
It complements but does not replace AArch64 Advanced SIMD and floating-point
functionality.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 33 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Technical overview
These are saved into the TLB when an address is translated. The TLB entries include global and
Address Space IDentifiers (ASIDs) to prevent context switch TLB invalidations. They also include
Virtual Machine IDentifiers (VMIDs) to prevent TLB invalidations on virtual machine switches by the
hypervisor.
L2 memory system
The L2 memory system includes the L2 cache. The L2 cache is private to the core and is 8-way
set associative. You can configure its RAM size to be 256KB or 512KB. The L2 memory system is
connected to the DSU-110 through an asynchronous CPU bridge.
The Cortex®‑A710 core also includes a ROM table that contains a list of components in the
system. Debuggers can use the ROM table to determine which CoreSight components are
implemented.
All the debug and trace components of the Cortex®‑A710 core are described in this manual. The
Arm® Cortex®‑A710 Core Configuration and Integration Manual provides information about the
Embedded Logic Analyzer (ELA).
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 34 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Technical overview
CPU bridge
In a cluster, there is one CPU bridge between each Cortex®‑A710 core and the DSU-110.
The CPU bridge controls buffering and synchronization between the core and the DSU-110.
The CPU bridge is asynchronous to allow different frequency, power, and area implementation
points for each core. You can configure the CPU bridge to run synchronously without affecting the
other interfaces such as debug and trace which are always asynchronous.
Related information
6 Memory management on page 46
7 L1 instruction memory system on page 54
8 L1 data memory system on page 58
9 L2 memory system on page 63
12 GIC CPU interface on page 89
17 Performance Monitors Extension support on page 103
18 Embedded Trace Extension support on page 116
3.2 Interfaces
The DSU-110 manages all Cortex®‑A710 core external interfaces to the System on Chip (SoC).
See Technical overview in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual for
detailed information on these interfaces.
See the Arm® Architecture Reference Manual Armv8, for Armv8-A architecture profile for more
information about the programmers model.
Related information
2.4 Supported standards and specifications on page 25
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 35 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Clocks and resets
Each Cortex®‑A710 core has a single clock domain and receives a single clock input. This clock
input is gated by an architectural clock gate in the CPU bridge.
In addition, the Cortex®‑A710 core implements extensive clock gating that includes:
• Regional clock gates to various blocks that can gate off portions of the clock tree
• Local clock gates that can gate off individual registers or banks of registers
The Cortex®‑A710 core receives the following reset signals from the DynamIQ™ Shared Unit-110
(DSU-110) side of the CPU bridge:
• A Warm reset for all registers in the core except for:
◦ Some parts of Debug logic
◦ Some parts of Embedded Trace Macrocell (ETM) logic
◦ Reliability, Availability, and Serviceability (RAS) logic
• A Cold reset for all logic in the core, including the debug logic, ETM logic, and RAS logic.
For a complete description of the clock gating and reset scheme of the core, see the following
sections in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual:
• Clocks and resets
• Power and reset control with Power Policy Units
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 36 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
5 Power management
The Cortex®‑A710 core provides mechanisms to control both dynamic and static power
dissipation.
The PDCORE power domain contains all Cortex®‑A710 core logic and part of the core
asynchronous bridge that belongs to the VCORE domain. The PDCLUSTER power domain contains
the part of the CPU bridge that belongs to the VCLUSTER domain.
The following figure shows the Cortex®‑A710 core power domain and voltage domain. It also
shows the cluster power domain and voltage domain that cover the system side of the CPU bridge.
Cluster
Core
CPU bridge
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 37 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
You can tie the VCORE and VCLUSTER voltage domains to the same supply if either:
• The core is configured to run synchronously with the DSU-110 sharing the same clock.
• The core is not required to support Dynamic Voltage and Frequency Scaling (DVFS).
In a cluster with multiple Cortex®‑A710 cores, there is one PDCORE<n> power domain per core,
where n is the core instance number. If a core is not present, then the corresponding power domain
is not present.
This diagram shows the power domains for an example Cortex®‑A710 configuration with a
four-core cluster:
Figure 5-2: Core power domains in a cluster with four Cortex®‑A710 cores
PDCLUSTER
Cluster
Clamping cells between power domains are inferred through power intent files (UPF) rather than
instantiated in the RTL. See Power management in the Arm® Cortex®‑A710 Core Configuration and
Integration Manual for more information.
For detailed information on the DSU-110 cluster power domains and voltage domains, see Power
management in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 38 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
There is a small amount of dynamic power used by the logic that is required to wake up the core
from WFI or WFE low-power state. Other than this power use, the power that is drawn is reduced
to static leakage current only.
When the core executes the WFI or WFE instruction, it waits for all instructions in the core,
including explicit memory accesses, to retire before it enters a low-power state. The WFI and WFE
instructions also ensure that store instructions have updated the cache or have been issued to the
L3 memory system.
Executing the WFE instruction when the event register is set does not cause entry
into low-power state, but clears the event register.
The core exits the WFI or WFE state when one of the following events occurs:
• The core detects a reset.
• The core detects one of the architecturally defined WFI or WFE wakeup events.
WFI and WFE wakeup events can include physical and virtual interrupts.
See the Arm® Architecture Reference Manual Armv8, for Armv8-A architecture profile for more
information about entering low-power state and wakeup events.
While the core is in WFI or WFE state, the clocks in the core are temporarily enabled, without
causing the core to exit WFI or WFE, when any of the following events are detected:
• A system snoop request that must be serviced by the core L1 data cache or the L2 cache
• A cache or Translation Lookaside Buffer (TLB) maintenance operation that must be serviced by
the core L1 instruction cache, L1 data cache, L2 cache, or TLB
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 39 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
When the core enters WFI or WFE state, the core clock is gated.
See the Arm® Architecture Reference Manual Armv8, for Armv8-A architecture profile for more
information about WFI and WFE.
Each of the cores have their own individual PPU for controlling their respective core power domain.
For example there is a PPU for PDCORE0 and a PPU for PDCORE1.
The PPUs decide and request any change in power mode. The Cortex®‑A710 core then performs
any actions necessary to reach the requested power mode. For example, the core might gate
clocks, clean caches, or disable coherency before accepting the request.
See Power management and Power and reset control with Power Policy Units in the Arm® DynamIQ™
Shared Unit-110 Technical Reference Manual for more information about the PPUs for the cluster
and the cores.
Related information
B.1.25 IMP_CPUPPMCR_EL3, CPU Power Performance Management Control Register on page
192
C.2.1 CPUPPMCR, Power Performance Management Register on page 449
The Power Policy Unit (PPU) of a core manages at the cluster level the transitions between the
power modes for that core. See Power Management in the Arm® DynamIQ™ Shared Unit-110
Technical Reference Manual for more information.
The following table shows the supported Cortex®‑A710 core power modes.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 40 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
Power modes that are not shown in the following table are not supported and
must not occur. Deviating from the legal power modes can lead to UNPREDICTABLE
results. You must comply with the dynamic power management and powerup
and powerdown sequences described in 5.5 Cortex‑A710 core powerup and
powerdown sequence on page 44.
A core must be in Wait for Interrupt (WFI) or Wait for Event (WFE) low-power state before it enters this mode.
Off OFF The core is powered down.
Emulated OFF_EMU Emulated off mode permits you to debug the powerup and powerdown cycle without changing the software.
Off
In this mode, the core powerdown is normal, except:
• The clock is not gated and power is not removed when the core is powered down.
• Only a Warm reset is asserted. The debug logic is preserved in the core and remains accessible by the
debugger.
Debug DBG_RECOV The RAM and logic are powered up.
recovery
This mode is for applying a Warm reset to the cluster, while preserving memory and RAS registers for debug
purposes. Both cache and RAS state are preserved when transitioning from DBG_RECOV to ON.
Caution:
This mode must not be used during normal system operation.
Warm WARM_RST A Warm reset resets all state except for the trace logic and the debug and RAS registers.
reset
The following figure shows the supported modes for the Cortex®‑A710 core power domain and
the legal transitions between them.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 41 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
FULL_RET
ON WARM_RST
From any
OFF_EMU DBG_RECOV
mode
OFF
Related information
5.2 Architectural clock gating modes on page 38
5.4.4 Full retention mode on page 43
5.2.1 Wait for Interrupt and Wait for Event on page 39
5.4.1 On mode
In the On power mode, the Cortex®‑A710 core is on and fully operational.
The core can be initialized into the On mode. When a transition to the On mode is completed, all
caches are accessible and coherent. Other than the normal architectural steps to enable caches, no
additional software configuration is required.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 42 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
5.4.2 Off mode
In the Off power mode, power is removed completely from the core and no state is retained.
In Off mode, all core logic and RAMs are off. The domain is inoperable and all core state is lost. The
L1 and L2 caches are disabled, cleaned and invalidated, and the core is removed from coherency
automatically on transition to Off mode.
An attempted debug access when the core domain is off returns an error response on the internal
debug interface, indicating that the core is not available.
In Full retention mode, only power that is required to retain register and RAM state is available. The
core is in retention state and is non-operational.
The core enters Full retention mode when all of the following conditions are met:
• The retention timer has expired. For more information on setting the retention timer, see
B.1.18 IMP_CPUPWRCTLR_EL1, CPU Power Control Register on page 178.
• The core is in Wait for Interrupt (WFI) or Wait for Event (WFE) low-power state.
• The core clock is not temporarily enabled for any of the following reasons:
◦ L1 snoops or L2 snoops
◦ Cache or Translation Lookaside Buffer (TLB) maintenance operations
◦ Debug or Generic Interrupt Controller (GIC) access
The core exits Full retention mode when it detects any of the following events:
• A WFI or WFE wakeup event, as defined in the Arm® Architecture Reference Manual Armv8, for
Armv8-A architecture profile.
• An event that requires the core clock to be temporarily enabled without exiting the dynamic
retention mode. For example, an L1 or L2 snoop, a cache or TLB maintenance operation, a
debug access on the debug APB bus, or a GIC access.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 43 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
By default, the core invalidates its caches when it transitions from Off to On mode. Using Debug
recovery mode allows the L1 cache and L2 cache contents that were present before the reset to
be observable after the reset. The contents of the caches are retained and are not altered on the
transition back to the On mode.
In addition to preserving the cache contents, Debug recovery supports preserving the Reliability,
Availability, and Serviceability (RAS) state. When in Debug recovery mode, a DynamIQ™-110
cluster-wide Warm reset must be applied externally. The RAS and cache state are preserved when
the core is transitioned to the On mode.
Debug recovery is strictly for debug purposes. It must not be used for functional
purposes, because correct operation of the caches is not guaranteed when entering
this mode.
Debug recovery mode can occur at any time with no guarantee of the state of the core. A request
of this type is accepted immediately, therefore its effects on the core, the DynamIQ™ cluster, or
the wider system are UNPREDICTABLE, and a wider system reset might be required. In particular, any
outstanding memory system transactions at the time of the reset might complete after the reset.
The core is not expecting these transactions to complete after a reset, and might cause a system
deadlock.
If the system sends a snoop to the DynamIQ™ cluster during Debug recovery mode, depending on
the cluster state:
• The snoop might get a response and disturb the contents of the caches
• The snoop might not get a response and cause a system deadlock
A Warm reset is applied to the Cortex®‑A710 core when the core receives a Warm reset signal
from the DynamIQ™ Shared Unit-110 (DSU-110) side of the CPU bridge.
The Cortex®‑A710 core implements the Arm®v8‑A Reset Management Register, RMR_EL3. When
the core runs in EL3, it requests a Warm reset if you set the RMR_EL3.RR bit to 1.
See the Arm® Architecture Reference Manual Armv8, for Armv8-A architecture profile for more
information about RMR_EL3.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 44 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Power management
After executing WFI and then receiving a powerdown request from the power controller, the
hardware:
• Disables and cleans the L1 cache
• Removes the core from coherency
Related information
B.1.18 IMP_CPUPWRCTLR_EL1, CPU Power Control Register on page 178
The debug over powerdown logic is part of the DebugBlock in the DynamIQ™ Shared Unit-110
(DSU-110). The DebugBlock is external to the DynamIQ™-110 cluster and must remain powered
on during the debug over powerdown process.
See Debug in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual for more information.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 45 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Memory management
6 Memory management
The Memory Management Unit (MMU) translates an input address to an output address.
This translation is based on address mapping and memory attribute information that is available in
the Cortex®‑A710 core internal registers and translation tables. The MMU also controls memory
access permissions, memory ordering, and cache policies for each region of memory.
Each stage of address translation uses address translations and associated memory properties
that are held in memory-mapped translation tables. Translation table entries can be cached into a
Translation Lookaside Buffer (TLB). The translation table entries enable the MMU to provide fine-
grained memory system control and to control the table walk hardware.
See the Arm® Architecture Reference Manual Armv8, for Armv8-A architecture profile for more
information on this feature.
A TLB is a cache of recently executed page translations within the MMU. The Cortex®‑A710 core
implements a two-level TLB structure. The TLB stores all translation table sizes and is responsible
for breaking these down into smaller tables when required for the L1 data or instruction TLB.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 46 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Memory management
TLB entries contain a global indicator and an Address Space Identifier (ASID) to allow context
switches without requiring the TLB to be invalidated.
TLB entries contain a Virtual Machine IDentifier (VMID) to allow virtual machine switches by the
hypervisor without requiring the TLB to be invalidated.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 47 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Memory management
A hit in the L1 instruction TLB provides a single CLK cycle access to the translation, and returns
the PA to the instruction cache for comparison. It also checks the access permissions to signal an
Instruction Abort.
A hit in the L1 data TLB provides a single CLK cycle access to the translation, and returns the PA to
the data cache for comparison. It also checks the access permissions to signal a Data Abort.
A miss in the L1 data TLB or a hit in the L2 TLB has a 3-cycle penalty compared to a hit in the L1
data TLB. This penalty can be increased depending on the arbitration of pending requests.
Each TLB entry also contains a field to store the Virtual Machine IDentifier (VMID) in the entry
applicable to accesses from EL0 and EL1. The VMID permits hypervisor virtual machine switches
without requiring the TLB to be invalidated.
Related information
6.4 Translation table walks on page 49
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 48 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Memory management
A TLB match entry occurs when the following conditions are met:
• The VA bits[48:N], where N is log2 of the block size for that translation that is stored in the TLB
entry, matches the requested address.
• Entry translation regime matches the current translation regime.
• The ASID matches the current ASID held in the TTBR0_ELx or TTBR1_ELx register associated
with the target translation regime, or the entry is marked global.
• The VMID matches the current VMID held in the VTTBR_EL2 register.
The ASID and VMID matches are ignored when ASID and VMID are not relevant. ASID is relevant
when the translation regime is:
• EL2 in Secure state with HCR_EL2.E2H and HCR_EL2.TGE set to 1
• EL1 or EL0 in Secure state
• EL1 or EL0 in Non-secure state
VMID is relevant for EL1 or EL0 in Non-secure state when HCR_EL2.E2H and HCR_EL2.TGE are
not both set. It is also relevant in Secure state when SCR_EL3.EEL2 is 1.
Address translation is performed only when the MMU is enabled. They can also be disabled for a
particular translation base register, in which case the MMU returns a translation fault.
You can program the MMU to make the accesses that are generated by translation table walks
cacheable. This means that translation table entries can be cached in the L2 cache, the L3 cache,
and external caches.
During a lookup or translation table walk, the access permission bits in the matching translation
table entry determine whether the access is permitted. If the permission checks are violated, the
MMU signals a permission fault. See the Arm® Architecture Reference Manual Armv8, for Armv8-A
architecture profile for more information.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 49 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Memory management
Miss Miss
L2 TLB
Miss
In translation table walks the descriptor is fetched from the L2 memory system.
Related information
7 L1 instruction memory system on page 54
8 L1 data memory system on page 58
9 L2 memory system on page 63
This feature is enabled in TCR_ELx (where x is 1-3) and VTCR_EL2. To support hardware
management of dirty state, translation table descriptors include the Dirty Bit Modifier (DBM) field.
The Cortex®‑A710 core supports hardware updates to the Access flag and to dirty state only when
the translation tables are held in Inner Write-Back and Outer Write-Back Normal memory regions.
If software requests a hardware update in a region that is not Inner Write-Back or Outer Write-
Back Normal memory, then the Cortex®‑A710 core returns an abort with the following encoding:
• ESR_ELx.DFSC = 0b110001 for Data Aborts
• ESR_ELx.IFSC = 0b110001 for Instruction Aborts
6.6 Responses
Certain faults and aborts can cause an exception to be taken because of a memory access.
MMU responses
When one of the following operations is completed, the Memory Management Unit (MMU)
generates a translation response to the requester:
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 50 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Memory management
MMU aborts
The MMU can detect faults that are related to address translation and can cause exceptions to be
taken to the core. Faults can include address size faults, translation faults, access flag faults, and
permission faults.
External aborts
External aborts occur in the memory system, and are different from aborts that the MMU detects.
Normally, external memory aborts are rare. External aborts are caused by errors that are flagged by
the external memory interfaces or are generated because of an uncorrected Error Correcting Code
(ECC) error in the L1 data cache or L2 cache arrays.
External aborts are reported synchronously when they occur during translation table walks, data
accesses due to all loads to Normal memory, all loads with acquire semantics and all AtomicLd,
AtomicCAS, and AtomicSwap instructions. The address captured in the Fault Address Register
(FAR) is the target address of the instruction that generated the synchronous abort. External
aborts are reported asynchronously, then they occur for loads to Device memory without release
semantics, stores to any memory type, and AtomicSt, cache maintenance, TLBI, and IC
instructions.
If there is this kind of mis-programming, the Cortex®‑A710 core does not generate a translation
fault.
Conflict aborts
Conflict aborts are generated from the L1 TLB. If a conflict abort is detected in the L2 TLB, then it
chooses one valid translation and a conflict abort is not generated.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 51 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Memory management
In the following table, the n prefix means the capability is not allowed.
The following table shows how memory types are supported in the Cortex®‑A710 core.
1
Non-cacheable and Device are treated as Outer Shareable. Combinations of Non-cacheable and Write-Through are
treated as Non-cacheable, and therefore are Outer Shareable.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 52 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Memory management
The following table shows how the shareability is treated for certain Normal memory.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 53 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L1 instruction memory system
The L1 instruction memory system provides an instruction stream to the decoder. To increase
overall performance and reduce power consumption, the L1 instruction memory system uses
dynamic branch prediction and instruction caching.
The L1 instruction TLB also resides in the L1 instruction memory system. However,
it is part of the Memory Management Unit (MMU) and is described in 6 Memory
management on page 46.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 54 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L1 instruction memory system
If the L1 instruction cache is disabled, then all instruction fetches to cacheable memory are
treated as if they were non-cacheable. This treatment means that instruction fetches might not be
coherent with caches in other cores, and software must take this into account.
No relationship between cache sets and Physical Address (PA) can be assumed.
Arm recommends that cache maintenance operations by set/way are used only to
invalidate the entire cache.
Related information
5.4.5 Debug recovery mode on page 43
A branch instruction or exception in the code stream can cause a pipeline flush, discarding the
currently fetched instructions. On instruction fetches, pages with Device memory type attributes
are treated as Non-Cacheable Normal Memory.
Device memory pages must be marked with the translation table descriptor attribute bit eXecute
Never (XN). The device and code address spaces must be separated in the physical memory map.
This separation prevents Speculative fetches to read-sensitive devices when address translation is
disabled.
If the L1 instruction cache is enabled and if the instruction fetches miss in the L1 instruction cache,
then they can still look up in the L1 data cache. However, the lookup never causes an L1 data
cache refill, regardless of the data cache enable status. The line is only allocated in the L2 cache,
provided that the L1 instruction cache is enabled.
Program flow prediction is enabled when the Memory Management Unit (MMU) is enabled for
the current exception level. If program flow prediction is disabled, then all taken branches incur a
penalty that is associated with cleaning the pipeline. If program flow prediction is enabled, then it
predicts whether a conditional or unconditional branch is to be taken, as follows:
• For conditional branches, it predicts whether the branch is to be taken and the address to
which the branch goes, known as the branch target address.
• For unconditional branches, it only predicts the branch target address.
• A Branch Target Buffer (BTB) holding the branch target address of previously observed taken
branches
• A branch direction predictor that uses the previous branch history
• The return stack, a stack of nested subroutine return addresses
• A static branch predictor
• An indirect branch predictor
Return stack
The return stack stores the address and instruction set state. This address is equal to the link
register value stored in R14 in AArch32 state or X30 in AArch64 state.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 57 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L1 data memory system
The L1 data memory system executes load and store instructions and services memory coherency
requests.
The L1 data TLB also resides in the L1 data memory system. However, it is part of
the Memory Management Unit (MMU) and is described in 6 Memory management on
page 46.
There is no operation to invalidate the entire data cache. If software requires this function, then
it must be constructed by iterating over the cache geometry and executing a series of individual
invalidates by set/way instructions. DCCISW operations perform both a clean and invalidate of the
target set/way. The values of HCR_EL2.SWIO have no effect.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 58 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L1 data memory system
• All load and store instructions to cacheable memory are treated as Non-cacheable.
• Data cache maintenance operations continue to execute normally.
The L1 data and L2 caches cannot be disabled independently. When a core disables the L1 data
cache, cacheable memory accesses issued by that core are no longer cached in the L1 or L2 cache.
To maintain data coherency between multiple cores, the Cortex®‑A710 core uses the Modified
Exclusive Shared Invalid (MESI) protocol.
Related information
5.4.5 Debug recovery mode on page 43
If an instruction hits in the L1 data cache, then the Cortex®‑A710 core tries to perform it as a near
atomic. Then, based on system behavior, the core can decide to perform it as a far atomic.
If the operation misses everywhere within the cluster and the interconnect supports far atomics,
then the atomic is passed on to the interconnect to perform the operation. If the operation hits
anywhere inside the cluster, or if an interconnect does not support atomics, then the L3 memory
system performs the atomic operation. If the line is not already there, it allocates the line into the
L3 cache.
Therefore if software prefers that the atomic is performed as a near atomic, then precede the
atomic instruction with a PLDW or PRFM PSTL1KEEP instruction. Alternatively, CPUECTLR can be
programmed such that different types of atomic instructions attempt to execute as a near atomic.
One cache fill is made on an atomic. If the cache line is lost before the atomic operation can be
made, then it is sent as a far atomic.
The Cortex®‑A710 core supports atomics to Device or Non-cacheable memory, however this relies
on the interconnect also supporting atomics. If such an atomic instruction is executed when the
interconnect does not support them, then it results in an abort.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 59 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L1 data memory system
You can use these instructions to construct semaphores, ensuring synchronization between
different processes running on the core, and also between different cores that are using the same
coherent memory locations for the semaphore. A Load-Exclusive instruction tags a small block
of memory for exclusive access. CTR_EL0 defines the size of the tagged blocks as 16 words, one
cache line.
See the Arm® Architecture Reference Manual Armv8, for Armv8-A architecture profile for more
information on these instructions.
Preload instructions
The Cortex®‑A710 core supports the AArch64 prefetch memory instructions, PRFM, the AArch32
Prefetch Data, PLD, and the AArch32 Preload Data With Intent To Write, PLDW, instructions.
These instructions signal to the memory system that memory accesses from a specified address are
likely to occur soon. The memory system takes actions that aim to reduce the latency of memory
accesses when they occur.
PRFM instructions perform a lookup in the cache. If they miss and are to a cacheable address, then
a linefill starts. However, a PRFM instruction retires when its linefill is started, and it does not wait
until the linefill is complete.
The Preload Instruction (PLI) memory system hint performs preloading in the L2 cache for
cacheable accesses if they miss in the L2 cache. Instruction preloading is performed in the
background.
For more information about prefetch memory and preloading caches, see the Arm® Architecture
Reference Manual Armv8, for Armv8-A architecture profile.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 60 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L1 data memory system
The CPUECTLR register allows you to have some control over the prefetcher.
For more information, see the Arm® Architecture Reference Manual Armv8, for Armv8-A architecture
profile.
A cache line is allocated to the L1 or L2 cache on either a read miss or a write miss. However,
writing large blocks of data can pollute the cache with unnecessary data. It can also waste power
and performance when a linefill is performed only to discard the linefill data because the entire line
was subsequently written by the memset(). In some situations, cache line allocation on writes is
not required. For example, when executing the C standard library memset() function to clear a
large block of memory to a known value.
To prevent unnecessary cache line allocation, the Bus Interface Unit (BIU) can detect when the
core has written a full cache line before the linefill completes. If this situation is detected on a
configurable number of consecutive linefills, then it switches into write streaming mode.
When in write streaming mode, load operations behave as normal, and can still cause linefills.
Writes still lookup in the cache, but if they miss then they write out to the L2 or L3 cache rather
than starting a linefill.
More than the specified number of linefills might be observed on the master
interface, before the BIU switches to write streaming mode.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 61 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L1 data memory system
When a Cortex®‑A710 core has switched to write streaming mode, the BIU continues to monitor
the bus traffic. It signals to the L2 or L3 cache to go into write streaming mode when it observes a
further number of full cache line writes.
The write streaming threshold defines the number of consecutive cache lines that are fully written
without being read before store operations stop causing cache allocations. You can configure the
write streaming threshold for each cache:
• IMP_CPUECTLR_EL1.L1WSCTL configures the L1 write streaming mode threshold.
• IMP_CPUECTLR_EL1.L2WSCTL configures the L2 write streaming mode threshold.
• IMP_CPUECTLR_EL1.L3WSCTL configures the L3 write streaming mode threshold.
Related information
B.1.15 IMP_CPUECTLR_EL1, CPU Extended Control Register on page 161
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 62 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L2 memory system
9 L2 memory system
The Cortex®‑A710 L2 memory system connects the core with the DynamIQ™ Shared Unit-110
(DSU-110) through the CPU bridge. It includes the L2 Translation Lookaside Buffer (TLB) and private
L2 cache.
9.1 L2 cache
The integrated L2 cache handles both instruction and data requests from the instruction and data
side, as well as translation table walk requests.
The L1 instruction cache and L2 cache are weakly inclusive. Instruction fetches that miss in the
L1 instruction cache and L2 cache allocate both caches, but the invalidation of the L2 cache does
not cause back-invalidates of the L1 instruction cache. The L1 data cache and L2 cache are strictly
inclusive. Any data contained in the L1 data cache is also present in the L2 cache. Victimization of
L2 data can cause invalidations of the L1 data cache.
The L2 cache is invalidated automatically at reset unless the core power mode is initialized to
Debug Recovery.
Related information
5.4.5 Debug recovery mode on page 43
Memory that is marked as both Inner Write-Back Cacheable and Outer Write-Back Cacheable is
cached in the L1 data cache and the L2 cache.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 63 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
L2 memory system
The following table shows the maximum possible values for read, write, Distributed Virtual Memory
(DVM) issuing, and snoop capabilities of the Cortex®‑A710 L2 cache.
It depends on the configured Transaction Queue (TQ) size: 48, 56, or 62.
Read issuing capability 46, 54, or 60 This is the maximum number of outstanding read transactions.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 64 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
Direct access to internal memory is available only in EL3. In all other modes, executing these
instructions results in an Undefined Instruction exception. There are read-only (RO) registers used
to access the contents of the internal memory. The internal memory is selected by programming
the IMPLEMENTATION DEFINED RAMINDEX register. The following table shows the registers that are
used to read the data.
The size of the configured cache determines the number of sets in each way. The encoding that
is used to locate the cache data entry for tag and data memory is set in Xn in the appropriate SYS
instruction. It is similar for both the tag and data RAM access.
The following tables show the encodings required for locating and selecting a given cache line.
Table 10-2: Cortex®‑A710 L1 instruction cache tag location encoding for 32KB
Bit field of Xn Description
[31:24] RAMID = 0x00
[23:20] Reserved
[19:18] Way
[17:13] Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 65 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
Table 10-3: Cortex®‑A710 L1 instruction cache tag location encoding for 64KB
Bit field of Xn Description
[31:24] RAMID = 0x00
[23:20] Reserved
[19:18] Way
[17:14] Reserved
[13:6] Virtual address [13:6]
[5:0] Reserved
Table 10-4: Cortex®‑A710 L1 instruction cache data location encoding for 32KB
Bit field of Xn Description
[31:24] RAMID = 0x01
[23:20] Reserved
[19:18] Way
[17:13] Reserved
[12:3] Virtual address [12:3]
[2:0] Reserved
Table 10-5: Cortex®‑A710 L1 instruction cache data location encoding for 64KB
Bit field of Xn Description
[31:24] RAMID = 0x01
[23:20] Reserved
[19:18] Way
[17:14] Reserved
[13:3] Virtual address [13:3]
[2:0] Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 66 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
Table 10-11: Cortex®‑A710 L1 data cache tag location encoding for 32KB
Bit field of Xn Description
[31:24] RAMID = 0x08
[23:20] Reserved
[19:18] Way
[17:16] Copy:
0b00
Tag RAM associated with Pipe 0
0b01
Tag RAM associated with Pipe 1
0b10
Tag RAM associated with Pipe 2
0b11
Reserved
[15:13] Reserved
[12:7] Physical address [12:7]
[5:0] Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 67 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
Table 10-12: Cortex®‑A710 L1 data cache tag location encoding for 64KB
Bit field of Xn Description
[31:24] RAMID = 0x08
[23:20] Reserved
[19:18] Way
[17:16] Copy:
0b00
Tag RAM associated with Pipe 0
0b01
Tag RAM associated with Pipe 1
0b10
Tag RAM associated with Pipe 2
0b11
Reserved
[15:14] Reserved
[13:6] Physical address [13:6]
[5:0] Reserved
Table 10-13: Cortex®‑A710 L1 data cache data location encoding for 32KB
Bit field of Xn Description
[31:24] RAMID = 0x09
[23:20] Reserved
[19:18] Way
[17:16] BankSel
[15:13] Unused
[12:6] Physical address [12:6]
[5:0] Reserved
Table 10-14: Cortex®‑A710 L1 data cache data location encoding for 64KB
Bit field of Xn Description
[31:24] RAMID = 0x09
[23:20] Reserved
[19:18] Way
[17:16] BankSel
[15:14] Unused
[13:6] Physical address [13:6]
[5:0] Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 68 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L1 instruction cache tag format for instruction registers.
0b10
A32
0b11
A64
[0] Parity
The following tables show the L1 instruction cache data format for instruction registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 69 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L1 BTB cache format for instruction registers.
The following tables show the L1 GHB cache format for instruction registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 70 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L1 BIM cache format for instruction registers.
The following tables show the L1 instruction TLB format for instruction registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 71 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 72 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L0 MOP cache format for instruction registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 73 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L1 data cache tag format for data registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 74 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L1 data cache data format for data registers.
The following tables show the L1 data TLB format for data registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 75 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 76 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The size of the configured cache determines the number of sets in each way. The encoding that
is used to locate the cache data entry for tag and data memory is set in Xn in the appropriate SYS
instruction. It is similar for both the tag and data RAM access.
The following tables show the encodings required for locating and selecting a given cache line.
2
Table 10-46: Cortex®‑A710 L2 cache tag location encoding for 256KB
Bit field of Xn Description
[31:24] RAMID = 0x10
[23:21] Reserved
[20:18] Way (0-7)
[17:15] Reserved
[14:13] Index [14:13]
[12:10] XOR(index[12:10], Way[2:0])
[9:7] Index [9:7]
[6] XOR(Index [6], IndexPhysical address [10], Way[0])
2
index[14:7]=XOR(Physical Address[14:7],Physical Address[22:15]) index[6]=XOR(Physical Address[6], Physical
Address[10])
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 77 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
3
Table 10-47: Cortex®‑A710 L2 cache tag location encoding for 512KB
Bit field of Xn Description
[31:24] RAMID = 0x10
[23:21] RES0
[20:18] Way (0-7)
[17:16] RES0
[15:12] Index [15:12]
[11:9] XOR(Index [11:9], Way [2:0])
[8:7] Index [8:7]
[6] XOR(index [6], Index [10], Way [1])
[5:0] RES0
3
index[15:7]=XOR(Physical Address[15:7],Physical Address[24:16]) index[6]=XOR(Physical Address[6], Physical
Address[10])
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 78 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L2 tag cache format for data registers. In the first table:
For 256KB L2 cache
n=34, m=15
For 512KB L2 cache
n=33, m=16
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 79 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L2 data RAM format for instruction registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 80 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L2 TLB format for instruction registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 81 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 82 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Direct access to internal memory
The following tables show the L2 victim RAM format for instruction registers.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 83 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
RAS Extension support
For more information on the architectural RAS Extension and the definition of a node, see the
Arm® Reliability, Availability, and Serviceability (RAS) Specification Armv8, for the Armv8-A architecture
profile.
For information on the node that includes the shared L3 memory system, see RAS Extension Support
in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 84 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
RAS Extension support
SED parity
Single Error Detect. One bit of parity is applicable to the entire word. The word size is
specific for each RAM and depends on the protection granule.
SECDED ECC
Single Error Correct, Double Error Detect. When the datum and code bits are all-zero or all-
one, the interpretation is that an error has occurred that the ECC scheme cannot correct.
However, it might be corrected by other means, such as refetching cached data.
The following table shows which protection type is applied to each RAM in the Cortex®‑A710
core. The core can progress and remain functionally correct when there is a single bit error in any
RAM.
If there are multiple single bit errors in different RAMs or within different protection granules within
the same RAM, then the core also remains functionally correct.
If there is a double bit error in a single RAM within the same protection granule, then the behavior
depends on the RAM:
• For RAMs with SECDED capability, the core detects and either reports or defers the error. If
the error is in a cache line containing dirty data, then that data might be lost.
• For RAMs with only SED, the core does not detect a double bit error. This might cause data
corruption.
If there are errors that are three or more bits within the same protection granule, then depending
on the RAM and the position of the errors within the RAM, the core might or might not detect the
errors.
The cache protection feature of the core has a minimal performance impact when no errors are
present.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 85 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
RAS Extension support
Error containment also provides support for poisoning if there is a double error on an eviction. This
ensures that the error of the associated data is reported when it is consumed.
Support for the Error Synchronization Barrier (ESB) instruction in the core also allows further
isolation of imprecise exceptions that are reported when poisoned data is consumed.
Related information
11.6 AArch64 RAS registers on page 88
B.10.4 ERXCTLR_EL1, Selected Error Record Control Register on page 406
B.10.5 ERXSTATUS_EL1, Selected Error Record Primary Status Register on page 409
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 86 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
RAS Extension support
The MEMORY_ERROR event is counted by the Performance Monitoring Unit (PMU) counters if it is
selected and the counter is enabled.
In Secure state, the event is counted only if MDCR_EL3.SPME is asserted. See the Arm®
Architecture Reference Manual Armv8, for Armv8-A architecture profile for a description of
MDCR_EL3.
Related information
17.1 Performance monitors events on page 103
Error injection uses the error detection and reporting registers to insert errors. The Cortex®‑A710
core can inject the following error types:
Corrected errors
A Corrected Error (CE) is generated for a single Error Correcting Code (ECC) error on an L1 data
cache access.
Deferred errors
A Deferred Error (DE) is generated for a double ECC error on eviction of a cache line from the
L1 cache to the L2 cache, or as a result of a snoop on the L1 cache.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 87 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
RAS Extension support
Uncontainable errors
An Uncontainable Error (UC) is generated for a double ECC error on the L1 tag RAM following
an eviction.
An error can be injected immediately or when a 32-bit counter reaches zero. You can control
the value of the counter through the Error Pseudo-fault Generation Countdown Register,
ERR0PFGCDN. The value of the counter decrements on a per clock cycle basis. See the Arm®
Reliability, Availability, and Serviceability (RAS) Specification Armv8, for the Armv8-A architecture profile
for more information about ERR0PFGCDN.
Error injection is a separate source of error within the system and does not create
hardware faults.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 88 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
GIC CPU interface
Each core in a DynamIQ™-110 cluster has a GIC CPU interface, which connects to a common
external distributor component.
See the Arm® Generic Interrupt Controller Architecture Specification, GIC architecture version 3 and
version 4 for more information about interrupt groups.
To disable the GIC CPU interface, assert the GICCDISABLE signal HIGH at reset. If you disable
it this way, then you can use an external GIC IP to drive the interrupt signals (nFIQ, nIRQ). If
the Cortex®‑A710 core is not integrated with an external GIC interrupt distributor component
(minimum GICv3 architecture) in the system, then you must disable the GIC CPU interface.
• The virtual input signals nVIRQ and nVFIQ and the input signals nIRQ and nFIQ can be driven
by an external GIC in the SoC.
• GIC system register access generates UNDEFINED instruction exceptions.
If you enable the GIC CPU interface, then you must tie off nVIRQ and nVFIQ to
HIGH. This is because the GIC CPU interface generates the virtual interrupt signals
to the core. The nIRQ and nFIQ signals are controlled by software, therefore there
is no requirement to tie them HIGH.
See Functional integration in the Arm® DynamIQ™ Shared Unit-110 Configuration and Integration
Manual for more information on these signals.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 90 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Advanced SIMD and floating-point support
The Cortex®‑A710 core implements all scalar operations in hardware with support for all
combinations of:
• Rounding modes
• Flush-to-zero
• Default Not a Number (NaN) modes
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 91 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Scalable Vector Extensions support
SVE is an optional extension introduced by the Armv8.2 architecture. SVE is supported in AArch64
state only. SVE provides vector instructions that, primarily, support wider vectors than the Arm
Advanced SIMD instruction set.
All the features and additions that SVE introduces are described in the Arm® Architecture Reference
Manual Supplement, The Scalable Vector Extension (SVE), for Armv8-A.
See the Arm®v9-A Supplement for v8-A Arm® Architecture Reference Manual for more information
about SVE2.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 92 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
System control
15 System control
The system registers control and provide status information for the functions that the core
implements.
The system registers are accessible in both AArch32 execution state at EL0 only and AArch64
execution state at EL0 to EL3.
Some of the system registers are accessible through the external debug interface or Utility bus
interface.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 93 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
System control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 94 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Debug
16 Debug
The DynamIQ™-110 cluster provides a debug system that supports both self-hosted and external
debug. It has an external DebugBlock component, and integrates various CoreSight debug related
components.
The CoreSight debug related components are split into two groups, with some components in the
DynamIQ™ cluster, and others in the separate DebugBlock.
The DebugBlock is a dedicated debug component in the DSU-110, separate from the cluster. The
DebugBlock operates within a separate power domain, enabling connection to a debugger to be
maintained when the cores and the DynamIQ™ cluster are both powered down.
The connection between the cluster and the DebugBlock consists of a pair of Advanced Peripheral
Bus APB interfaces, one in each direction. All debug traffic, except the authentication interface,
takes place over this interface as read or write APB transactions. This debug traffic includes register
reads, register writes, and Cross Trigger Interface (CTI) triggers.
The following figure shows how the debug system is implemented with the DynamIQ™ cluster.
DynamIQ Cluster
Core0 Core1
Async Async
bridge bridge
Debug
DebugBlock
SCU and L3 cache Debug
APB APB DAP
APB APB JTAG
ELA PMU APB ROM Decoder
Trace Mux
funnel Debug
Snoop filter L3 RAM
RAM arrays arrays Over
Power
down Cross
Trigger
CTI
ATB Matrix
CTI
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 95 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Debug
The primary debug APB interface on the DebugBlock controls the debug components. The APB
decoder decodes the requests on this bus before they are sent to the appropriate component in
the DebugBlock or in the DynamIQ™ cluster. The per-core CTIs are connected to a CTM.
Each core contains a debug component that the debug APB bus accesses. The cores support
debug over powerdown using modules in the DebugBlock that mirror key core information. These
modules allow access to debug over powerdown CoreSight™ registers while the core is powered
down.
The ETM in each core outputs trace, which is funneled in the DynamIQ™ cluster down to a single
AMBA® 4 ATBv1.1 interface.
See Debug in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual for more information
about the DynamIQ™ cluster debug components.
The Cortex®‑A710 core also supports direct access to internal memory, that is, cache debug. Direct
access to internal memory allows software to read the internal memory that the L1 and L2 cache
and Translation Lookaside Buffer (TLB) structures use. See 10 Direct access to internal memory on
page 65 for more information.
Debug target
DynamIQ cluster
Protocol
Debug host
converter
Debug unit
Debug host
A computer, for example a personal computer, that is running a software debugger such as
the Arm® Debugger. You can use the debug host to issue high-level commands. For example,
you can set a breakpoint at a certain location or examine the contents of a memory address.
Protocol converter
The debug host sends messages to the debug target using an interface such as Ethernet.
However, the debug target typically implements a different interface protocol. A device such
as DSTREAM is required to convert between the two protocols.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 96 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Debug
Debug target
The lowest level of the system implements system support for the protocol converter to
access the debug unit. For DSU-110 based devices, the mechanism used to access the debug
unit is based on the CoreSight architecture. The DSU-110 DebugBlock is accessed using an
APB interface and the debug accesses are then directed to the selected A710 core inside
the DynamIQ™ cluster. An example of a debug target is a development system with a test
chip or a silicon part with a A710 core.
Debug unit
Helps debugging software that is running on the core:
• DSU-110 and external hardware based around the core.
• Operating systems.
• Application software.
For self-hosted debug, the debug target runs debug monitor software that runs on the core in the
DynamIQ™ cluster. This way, it does not require expensive interface hardware to connect a second
host computer.
The Debug architecture defines a set of Debug registers. The Debug register interfaces provide
access to these registers either from software running on the core or from an external debugger.
See Debug in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual for more information.
Related information
5.6 Debug over powerdown on page 45
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 97 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Debug
Performance monitoring
This function is system register based and memory-mapped. You can access the performance
monitor registers using the APB slave port that connects into the DebugBlock of the DSU.
Trace
This function is system register based and memory-mapped. You can access the Embedded
Trace Macrocell (ETM) registers using the APB slave port that connects into the DebugBlock
of the DSU.
ELA registers
This function is memory-mapped. You can access the Embedded Logic Analyzer (ELA) registers
using the APB slave port that connects into the DebugBlock of the DSU.
For information on the APB slave port interface, see Interfaces in the Arm® DynamIQ™ Shared
Unit-110 Technical Reference Manual.
complexporeset_n maps to a Cold reset that covers reset of the core logic and the integrated
debug functionality. This signal initializes the core logic, including the Embedded Trace Macrocell
(ETM) trace unit, breakpoint, watchpoint logic, performance monitor, and debug logic.
complexreset_n maps to a Warm reset that covers reset of the core logic. This signal resets some
of the debug and performance monitor logic.
The following table shows the core response to accesses through the external debug interface.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 98 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Debug
A breakpoint consists of a breakpoint control register and a breakpoint value register. These two
registers are referred to as a Breakpoint Register Pair (BRP). Four of the breakpoints (BRP 0-3) match
only to the Virtual Address (VA) and the other two (BRP 4 and 5) match against either the VA or
context ID, or the Virtual Machine ID (VMID).
You can use watchpoints to stop your target when a specific memory address is accessed by your
program. All the watchpoints can be linked to two breakpoints (BRP 4 and 5) to enable a memory
request to be trapped in a given process context.
The Cortex®‑A710 core responds to a debug event in one of the following ways:
• It ignores the debug event
• It takes a debug exception
• It enters debug state
In the Cortex®‑A710 core, watchpoint debug events are always synchronous. Memory hint
instructions and cache clean operations, except DC ZVA, and DC IVAC do not generate
watchpoint debug events. Store exclusive instructions generate a watchpoint debug event even
when the check for the control of exclusive monitor fails. Atomic CAS instructions generate a
watchpoint debug event even when the compare operation fails.
A Cold reset sets the Debug OS Lock. For the debug events and debug register accesses to operate
normally, the Debug OS Lock must be cleared.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 99 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Debug
See Debug and ROM tables in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual.
The ROM table is a CoreSight debug related component that aids system debug along with
CoreSight SoC and is for the Cortex®‑A710 core. There is one ROM table for each core and ROM
tables comply with the Arm® CoreSight™ Architecture Specification v3.0.
The DynamIQ™ Shared Unit-110 (DSU-110) has its own ROM tables, one for the cluster and one
for the DebugBlock, and has entry points in the cluster ROM table for the ROM tables belonging
to each core. See ROM tables in the Arm® DynamIQ™ Shared Unit-110 Technical Reference Manual for
more information.
Related information
C.1 External CoreROM register summary on page 434
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 100 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Debug
For details on the CoreSight component identification for the Cortex®‑A710 core ELA, see the
Arm® CoreSight™ ELA-600 Embedded Logic Analyzer Technical Reference Manual.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 101 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Debug
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 102 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
You can program the PMU using either the System registers or the external Debug APB interface.
The following table lists the Cortex®‑A710 performance monitors events. Event reference numbers
that are not listed are reserved.
This event counts any instruction architecturally executed (condition code check pass).
0x1 L1I_CACHE_REFILL L1 instruction cache refill
This event counts any instruction fetch which misses in the cache.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 103 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
This event counts any refill of the L1 instruction TLB from the MMU Translation Cache
(MMUTC). This includes refills that result in a translation fault.
This event counts any load or store operation or translation table walk access which
causes data to be read from outside the L1, including accesses which do not allocate into
L1.
This event counts any load or store operation or translation table walk access
which looks up in the L1 data cache. In particular, any access which could count the
L1D_CACHE_REFILL event causes this event to count.
This event counts any refill of the data L1 TLB from the MMUTC. This includes refills that
result in a translation fault. TLB maintenance instructions are not counted.
This event counts all retired instructions, including those that fail their condition check.
0x9 EXC_TAKEN Exception taken
0x0A EXC_RETURN Instruction architecturally executed, condition code check pass, exception return
0x0B CID_WRITE_RETIRED Instruction architecturally executed, condition code check pass, write to CONTEXTIDR
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 104 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
This event counts any predictable branch instruction which is mispredicted either because
of dynamic misprediction or because the MMU is off and the branches are statically
predicted not taken.
0x11 CPU_CYCLES Cycle
0x12 BR_PRED Predictable branch speculatively executed.
This event counts any instruction fetch which accesses the L1 instruction cache or MOP
cache.
The following instructions are not counted:
• Cache maintenance instructions
• Non-cacheable accesses
0x15 L1D_CACHE_WB L1 data cache Write-Back
This event counts any write-back of data from the L1 data cache to L2 or L3. This counts
both victim line evictions and snoops, including cache maintenance operations.
This event counts any transaction from L1 which looks up in the L2 cache, and any write-
back from the L1 to the L2.
Snoops from outside the core and cache maintenance operations are not counted.
0x17 L2D_CACHE_REFILL L2 cache refill
This event counts any cacheable transaction from L1 which causes data to be read from
outside the core. L2 refills caused by stashes into L2 are not counted.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 105 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
This event counts any write-back of data from the L2 cache to outside the core. This
includes snoops to the L2 which return data, regardless of whether they cause an
invalidation.
Invalidations from the L2 which do not write data outside of the core and snoops which
return data from the L1 are not counted.
0x19 BUS_ACCESS Bus access
This event counts for every beat of data transferred over the data channels between the
core and the Snoop Control Unit (SCU). If both read and write data beats are transferred on
a given cycle, this event is counted twice on that cycle.
This event counts the sum of BUS_ACCESS_RD, BUS_ACCESS_WR, and any snoop data
responses.
0x1A MEMORY_ERROR Local memory error
This event counts any correctable or uncorrectable memory error (ECC or parity) in the
protected core RAMs.
0x1B INST_SPEC Operation speculatively executed
0x1C TTBR_WRITE_RETIRED Instruction architecturally executed, condition code check pass, write to TTBR
This event counts any full cache line write into the L2 cache which does not cause a
linefill, including write-backs from L1 to L2 and full-line writes which do not allocate into
L1.
0x21 BR_RETIRED Instruction architecturally executed, branch
This event counts all branches, taken or not. This excludes exception entries, debug
entries and CCFAIL branches.
0x22 BR_MIS_PRED_RETIRED Instruction architecturally executed, mispredicted branch
This event counts any branch counted by BR_RETIRED which is not correctly predicted
and causes a pipeline flush.
0x23 STALL_FRONTEND No operation issued because of the frontend
The counter counts on any cycle when there are no fetched instructions available to
dispatch.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 106 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
The counter counts on any cycle fetched instructions are not dispatched due to resource
constraints.
0x25 L1D_TLB Level 1 data TLB access
This event counts any load or store operation which accesses the data L1 TLB. If both
a load and a store are executed on a cycle, this event counts twice. This event counts
regardless of whether the MMU is enabled.
0x26 L1I_TLB Level 1 instruction TLB access
This event counts any instruction fetch which accesses the instruction L1 TLB.
This event counts any full cache line write into the L3 cache which does not cause a
linefill, including write-backs from L2 to L3 and full-line writes which do not allocate into
L2.
0x2A L3D_CACHE_REFILL Attributable L3 cache refill
This event counts for any cacheable read transaction returning data from the SCU for
which the data source was outside the cluster.
Transactions such as ReadUnique are counted as read transactions, even though they can
be generated by store instructions.
0x2B L3D_CACHE Attributable L3 cache access
This event counts for any cacheable read transaction returning data from the SCU, or for
any cacheable write to the SCU.
0x2D L2TLB_REFILL Attributable L2 TLB refill
This event counts on any refill of the MMUTC, caused by either an instruction or data
access.
This event counts on any access to the MMUTC (caused by a refill of any of the L1 TLBs).
This event counts on any data access which causes L2D_TLB_REFILL to count.
0x35 ITLB_WLK Access to instruction TLB that caused a translation table walk.
This event counts on any instruction access which causes L2D_TLB_REFILL to count.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 107 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
If CPUECTLR.EXTLLC is set, then this event counts any cacheable read transaction which
returns a data source of interconnect cache.
If CPUECTLR.EXTLLC is set, then this event counts any cacheable read transaction which
returns a data source of DRAM, remote, or inter-cluster peer.
This event counts any load operation or page table walk access which looks up in the
L1 data cache. In particular, any access which could count the L1D_CACHE_REFILL_RD
event causes this event to count.
This event counts any store operation which looks up in the L1 data cache. In particular,
any access which could count the L1D_CACHE_REFILL_WR event causes this event to
count.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 108 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
This event counts any load operation or page table walk access which causes data to be
read from outside the L1, including accesses which do not allocate into L1.
This event counts any store operation which causes data to be read from outside the L1,
including accesses which do not allocate into L1.
This event counts any L1 data cache linefill (as counted by L1D_CACHE_REFILL) which
hits in the L2 cache, system L3 cache, or another core in the cluster.
0x45 L1D_CACHE_REFILL_OUTER L1 data cache refill, outer
This event counts any L1 data cache linefill (as counted by L1D_CACHE_REFILL) which
does not hit in the L2 cache, system L3 cache, or another core in the cluster, and instead
obtains data from outside the cluster.
0x46 L1D_CACHE_WB_VICTIM L1 data cache write-back, victim
0x47 L1D_CACHE_WB_CLEAN L1 data cache write-back cleaning and coherency
0x48 L1D_CACHE_INVAL L1 data cache invalidate
0x4C L1D_TLB_REFILL_RD L1 data TLB refill, read
0x4D L1D_TLB_REFILL_WR L1 data TLB refill, write
0x4E L1D_TLB_RD L1 data TLB access, read
0x4F L1D_TLB_WR L1 data TLB access, write
0x50 CACHE_ACCESS_RD L2 cache access, read
This event counts any read transaction from L1 which looks up in the L2 cache.
This event counts any write transaction from L1 which looks up in the L2 cache or any
write-back from L1 which allocates into the L2 cache.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 109 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
This event counts any cacheable read transaction from L1 which causes data to be
read from outside the core. L2 refills caused by stashes into L2 should not be counted.
Transactions such as ReadUnique are counted as read transactions, even though they can
be generated by store instructions.
0x53 CACHE_WR_REFILL L2 cache refill, write
This event counts any write transaction from L1 which causes data to be read from
outside the core. L2 refills caused by stashes into L2 should not be counted.
This event counts for every beat of data transferred over the read data channel between
the core and the SCU.
0x61 BUS_ACCESS_WR Bus access write
This event counts for every beat of data transferred over the write data channel between
the core and the SCU.
0x66 MEM_ACCESS_RD Data memory access, read
• Instruction fetches
• Prefetches
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 110 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
• Prefetches
0x68 UNALIGNED_LD_SPEC Unaligned access, read
0x69 UNALIGNED_ST_SPEC Unaligned access, write
0x6A UNALIGNED_LDST_SPEC Unaligned access
0x6C LDREX_SPEC Exclusive operation speculatively executed, LDREX or LDX
0x6D STREX_PASS_SPEC Exclusive operation speculatively executed, STREX or STX pass
0x6E STREX_FAIL_SPEC Exclusive operation speculatively executed, STREX or STX fail
0x6F STREX_SPEC Exclusive operation speculatively executed, STREX or STX
0x70 LD_SPEC Operation speculatively executed, load
0x71 ST_SPEC Operation speculatively executed, store
0x73 DP_SPEC Operation speculatively executed, integer data-processing
0x74 ASE_SPEC Operation speculatively executed, Advanced SIMD instruction
0x75 VFP_SPEC Operation speculatively executed, floating-point instruction
0x76 PC_WRITE_SPEC Operation speculatively executed, software change of the PC
0x77 CRYPTO_SPEC Operation speculatively executed, Cryptographic instruction
0x78 BR_IMMED_SPEC Branch speculatively executed, immediate branch
0x79 BR_RETURN_SPEC Branch speculatively executed, procedure return
0x7A BR_INDIRECT_SPEC Branch speculatively executed, indirect branch
0x7C ISB_SPEC Barrier speculatively executed, ISB
0x7D DSB_SPEC Barrier speculatively executed, DSB
0x7E DMB_SPEC Barrier speculatively executed, DMB
0x81 EXC_UNDEF Counts the number of undefined exceptions taken locally
0x82 EXC_SVC Exception taken locally, Supervisor Call
0x83 EXC_PABORT Exception taken locally, Instruction Abort
0x84 EXC_DABORT Exception taken locally, Data Abort and SError
0x86 EXC_IRQ Exception taken locally, IRQ
0x87 EXC_FIQ Exception taken locally, FIQ
0x88 EXC_SMC Exception taken locally, Secure Monitor Call
0x8A EXC_HVC Exception taken locally, Hypervisor Call
0x8B EXC_TRAP_PABORT Exception taken, Instruction Abort not taken locally
0x8C EXC_TRAP_DABORT Exception taken, Data Abort or SError not taken locally
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 111 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 112 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
When the PMU generates an interrupt, the nPMUIRQ[n] output is driven LOW.
The behavior is specific to each register and is not described in this manual. For a detailed
description of these features and their effects on the registers, see the Arm® Architecture Reference
Manual Armv8, for Armv8-A architecture profile. The register descriptions provided in this manual
describe whether each register is read/write or read-only.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 113 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 114 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Performance Monitors Extension support
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 115 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
ETM
coreclk
Core
Core interface Trace generation
interface
Core interface
The core interface monitors and generates P0 elements that are essentially executed branches and
exceptions traced in program order.
Trace generation
The trace generation logic generates various trace packets based on P0 elements.
FIFO
The ETM generates trace in a highly compressed form. The First In First Out (FIFO) enables
trace bursts to be flattened out. When the FIFO is full, the FIFO signals an overflow. The trace
generation logic does not generate any new trace until the FIFO is emptied. This behavior causes a
gap in the trace when viewed in the debugger.
Trace out
Trace from the FIFO is output on the AMBA ATB interface or to the trace buffer.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 116 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
The following table shows the Embedded Trace Macrocell (ETM) trace unit resources, and indicates
which of these resources the A710 core implements.
The following table shows the trace generation options that are implemented in the Cortex®‑A710
core ETM trace unit.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 117 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
Description Configuration
Virtual Machine ID size in bytes 4
Context ID size in bytes 4
Support for conditional instruction tracing Not implemented
Support for tracing of data Not implemented
Support for tracing of load and store instructions as P0 elements Not implemented
Support for cycle counting in the instruction trace Implemented
Support for branch broadcast tracing Implemented
Number of events that are supported in the trace 4
Return stack support Implemented
Tracing of SError exception support Implemented
Instruction trace cycle counting minimum threshold 4
Size of Trace ID 7 bits
Synchronization period support Read/write
Global timestamp size 64 bits
Number of cores available for tracing 1
ATB trigger support Implemented
Low-power behavior override Not implemented
Stall control support Not implemented
Support for overflow avoidance Not implemented
Support for using CONTEXTIDR_EL2 in Virtual Machine IDentifier (VMID) Implemented
comparator
If the ETM trace unit is reset, then tracing stops until the ETM trace unit is reprogrammed and re-
enabled. However, if the core is reset using Warm reset, the last few instructions provided by the
core before the reset might not be traced.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 118 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
The core does not have to be in debug state when you program the ETM registers. When you
program the ETM registers, you must enable all the changes at the same time. Otherwise, if you
program the counter, it might start to count based on incorrect events before the correct setup is in
place for the trigger condition. To disable the ETM trace unit, use the TRCPRGCTLR.EN bit. See the
Arm® Embedded Trace Macrocell Architecture Specification for more information about the following
registers:
• Programming Control Register, TRCPRGCTLR
• Trace Status Register, TRCSTATR
The following figure shows the flow for programming ETM registers using the Debug APB
interface:
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 119 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
Figure 18-2: Programming ETM registers using the Debug APB interface
Start
Set TRCPRGCTLR.EN
to 0
Read TRCSTATR
No
Is TRCSTATR Idle
1?
Yes
Set TRCPRGCTLR.EN
to 1
Read TRCSTATR
No
Is TRCSTATR Idle
0?
Yes
End
The following figure shows the flow for programming ETM registers using the System register
interface:
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 120 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
Figure 18-3: Programming ETM registers using the System register interface
Start
Set TRCPRGCTLR.EN
to 0b0
ISB
TSB
Set TRCPRGCTLR.EN
to 0b1
ISB
TSB
End
Register accesses differ depending on the ETM state. See the Arm® Embedded Trace Extension for
information on the behaviors and access mechanisms.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 121 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
The ETM trace unit uses four extended external input selectors to access the PMU events. Each
selector can independently select one of the PMU events, that are then active for the cycles
where the relevant events occur. These selected events can then be accessed by any of the event
registers within the ETM trace unit.
Related information
17 Performance Monitors Extension support on page 103
17.1 Performance monitors events on page 103
Other than the events mentioned in 17.1 Performance monitors events on page 103, the following
events are also exported:
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 122 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 123 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Embedded Trace Extension support
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 124 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Trace Buffer Extension support
When disabled, the TRBE ignores trace data and the ETM trace unit sends trace data to the
AMBA® Trace Bus (ATB) interface.
The core does not have to be in debug state when you program the TRBE registers. When you
program the TRBE registers, you must enable all the changes at the same time. Otherwise, if you
program the counter, it might start to count based on incorrect events before the correct setup is in
place for the trigger condition. To disable the TRBE, use the TRBLIMITR_EL1.E bit.
Register accesses differ depending on the TRBE state. See the Arm® Architecture Reference Manual
Armv8, for Armv8-A architecture profile for information on the behaviors and access mechanisms.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 125 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Activity Monitors Extension support
The activity monitors provide useful information for system power management and persistent
monitoring. The activity monitors are read-only in operation and their configuration is limited to the
highest Exception level implemented.
The Cortex®‑A710 core implements seven counters in two groups, each of which is a 64-bit
counter that counts a fixed event. Group 0 has four counters 0-3, and Group 1 has three counters
0-2.
See the Arm® Architecture Reference Manual Armv8, for Armv8-A architecture profile for information
on the memory mapping of these registers.
The CPTR_EL2.TAM bit controls access from EL0 and EL1 to the activity monitors System registers.
The CPTR_EL3.TAM bit controls access from EL0, EL1, and EL2 to the Activity Monitors Extension
System registers. The AMUSERENR_EL0.EN bit is configurable at EL1, EL2, and EL3. All other
controls, as well as the value of the counters, are configurable only at the highest implemented
Exception level.
For a detailed description of access controls for the registers, see the Arm® Architecture Reference
Manual Armv8, for Armv8-A architecture profile.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 126 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Activity Monitors Extension support
The base address for Activity Monitoring Unit (AMU) registers on the Utility bus interface is
0x<n>90000, where n is the Cortex®‑A710 core instance number in the DynamIQ™-110 cluster.
This counter counts cycles in which the core is unable to dispatch instructions from
the front end to the back end due to a back end stall caused by a miss in the last
level of cache within the core clock domain.
AMEVCNTR10 Reserved 0x0300 Reserved
AMEVCNTR11 Reserved 0x0301 Reserved
AMEVCNTR12 Reserved 0x0302 Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 127 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Activity Monitors Extension support
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 128 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Activity Monitors Extension support
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 129 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch32 registers
Configurations
The named fields in this register map to the equivalent fields in the AArch64 AArch64-FPCR and
AArch64-FPSR.
It is IMPLEMENTATION DEFINED whether the Len and Stride fields can be programmed to
non-zero values, which will cause some AArch32 floating-point instruction encodings to be
UNDEFINED, or whether these fields are RAZ.
Implemented only if the implementation includes the Advanced SIMD and floating-point
functionality.
Attributes
Width
32
Functional group
generic-system-control
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 130 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch32 registers
Bit descriptions
Figure A-1: AArch32_fpscr bit assignments
31 30 29 28 27 26 25 24 23 22 21 20 19 18 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
0b1
Alternative half-precision format selected.
This bit is only used for conversions between half-precision floating-point and other floating-point formats.
The data-processing instructions added as part of the ARMv8.2-FP16 extension always use the IEEE half-
precision format, and ignore the value of this bit.
[25] DN Default NaN mode control bit:
0b0
NaN operands propagate through to the output of a floating-point operation.
0b1
Any operation involving one or more NaNs returns the Default NaN.
The value of this bit only controls scalar floating-point arithmetic. Advanced SIMD arithmetic always uses the
Default NaN setting, regardless of the value of the DN bit.
[24] FZ Flush-to-zero mode control bit:
0b0
Flush-to-zero mode disabled. Behavior of the floating-point system is fully compliant with the IEEE 754
standard.
0b1
Flush-to-zero mode enabled.
The value of this bit only controls scalar floating-point arithmetic. Advanced SIMD arithmetic always uses the
Flush-to-zero setting, regardless of the value of the FZ bit.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 131 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch32 registers
0b01
Round towards Plus Infinity (RP) mode.
0b10
Round towards Minus Infinity (RM) mode.
0b11
Round towards Zero (RZ) mode.
The specified rounding mode is used by almost all scalar floating-point instructions. Advanced SIMD arithmetic
always uses the Round to Nearest setting, regardless of the value of the RMode bits.
[21:20] RES0 Reserved 0b00
[19] FZ16 Flush-to-zero mode control bit on half-precision data-processing instructions:
0b0
Flush-to-zero mode disabled. Behavior of the floating-point system is fully compliant with the IEEE 754
standard.
0b1
Flush-to-zero mode enabled.
The value of this bit applies to both scalar and Advanced SIMD floating-point half-precision calculations.
[18:8] RES0 Reserved 0b0
[7] IDC Input Denormal cumulative floating-point exception bit. This bit is set to 1 to indicate that the Input Denormal
floating-point exception has occurred since 0 was last written to this bit.
How VFP instructions update this bit depends on the value of the IDE bit.
Advanced SIMD instructions set this bit if the Input Denormal floating-point exception occurs in one or more of
the floating-point calculations performed by the instruction, regardless of the value of the IDE bit.
[6:5] RES0 Reserved 0b00
[4] IXC Inexact cumulative floating-point exception bit. This bit is set to 1 to indicate that the Inexact floating-point
exception has occurred since 0 was last written to this bit.
How VFP instructions update this bit depends on the value of the IXE bit.
Advanced SIMD instructions set this bit if the Inexact floating-point exception occurs in one or more of the
floating-point calculations performed by the instruction, regardless of the value of the IXE bit.
The criteria for the Inexact floating-point exception to occur are different in Flush-to-zero mode. For details, see
'Flush-to-zero'.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 132 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch32 registers
How VFP instructions update this bit depends on the value of the UFE bit.
Advanced SIMD instructions set this bit if the Underflow floating-point exception occurs in one or more of the
floating-point calculations performed by the instruction, regardless of the value of the UFE bit.
The criteria for the Underflow floating-point exception to occur are different in Flush-to-zero mode. For details,
see 'Flush-to-zero'.
[2] OFC Overflow cumulative floating-point exception bit. This bit is set to 1 to indicate that the Overflow floating-point
exception has occurred since 0 was last written to this bit.
How VFP instructions update this bit depends on the value of the OFE bit.
Advanced SIMD instructions set this bit if the Overflow floating-point exception occurs in one or more of the
floating-point calculations performed by the instruction, regardless of the value of the OFE bit.
[1] DZC Divide by Zero cumulative floating-point exception bit. This bit is set to 1 to indicate that the Divide by Zero
floating-point exception has occurred since 0 was last written to this bit.
How VFP instructions update this bit depends on the value of the DZE bit.
Advanced SIMD instructions set this bit if the Divide by Zero floating-point exception occurs in one or more of
the floating-point calculations performed by the instruction, regardless of the value of the DZE bit.
[0] IOC Invalid Operation cumulative floating-point exception bit. This bit is set to 1 to indicate that the Invalid Operation
floating-point exception has occurred since 0 was last written to this bit.
How VFP instructions update this bit depends on the value of the IOE bit.
Advanced SIMD instructions set this bit if the Invalid Operation floating-point exception occurs in one or more of
the floating-point calculations performed by the instruction, regardless of the value of the IOE bit.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 133 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 134 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 135 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
The value of this register must be interpreted in conjunction with the value of AArch64-MIDR_EL1.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-1: AArch64_aidr_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AIDR_EL1
Accessibility
MRS <Xt>, AIDR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 136 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Arm recommends the contents of this register have no effect on the PE when
AArch64-HCR_EL2.{E2H, TGE} is {1, 1}, and instead the configuration and control
fields are provided by the AArch64-ACTLR_EL2 register. This avoids the need for
software to manage the contents of these register when switching between a Guest
OS and a Host OS.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-2: AArch64_actlr_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, ACTLR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 137 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ACTLR_EL1
Arm recommends the contents of this register are updated to apply to EL0 when
AArch64-HCR_EL2.{E2H, TGE} is {1, 1}, gaining configuration and control fields
from the AArch64-ACTLR_EL1. This avoids the need for software to manage the
contents of these register when switching between a Guest OS and a Host OS.
Configurations
If EL2 is not implemented, this register is RES0 from EL3.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 138 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-3: AArch64_actlr_el2 bit assignments
63 32
RES0
31 13 12 11 10 9 8 7 6 5 2 1 0
RES0 0 0 RES0
CLUSTERPMUEN ACTLREN
SMEN ECTLREN
TSIDEN PWREN
Reserved
0b1
CLUSTERPM* registers are write-accessible from EL1 if they are write-accessible from EL2.
[11] SMEN Scheme Management Registers enable. The possible values are: 0x0
0b0
Registers CLUSTERACPSID, CLUSTERSTASHSID, CLUSTERPARTCR, CLUSTERBUSQOS, and
CLUSTERTHREADSIDOVR are not write-accessible EL1. This is the reset value.
0b1
Registers CLUSTERACPSID, CLUSTERSTASHSID, CLUSTERPARTCR, CLUSTERBUSQOS, and
CLUSTERTHREADSIDOVR are write-accessible EL1 if they are write-accessible from EL2.
[10] TSIDEN Thread Scheme ID Register enable. The possible values are: 0x0
0b0
Register CLUSTERTHREADSID is not write-accessible from EL1. This is the reset value.
0b1
Register CLUSTERTHREADSID is write-accessible from EL1 if they are write-accessible from
EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 139 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Reserved
[7] PWREN Power Control Registers enable. The possible values are: 0x0
0b0
Registers CPUPWRCTLR, CLUSTERPWRCTLR, CLUSTERPWRDN, CLUSTERPWRSTAT,
CLUSTERL3HIT and CLUSTERL3MISS are not write accessible from EL1. This is the reset
value.
0b1
Registers CPUPWRCTLR, CLUSTERPWRCTLR, CLUSTERPWRDN, CLUSTERPWRSTAT,
CLUSTERL3HIT and CLUSTERL3MISS are write-accessible from EL1 if they are write-
accessible from EL2
[6:2] RES0 Reserved 0b0000
[1] ECTLREN Extended Control Registers enable. The possible values are: 0b0
0b0
CPUECTLR*_EL1 and CLUSTERECTLR_EL1 are not write-accessible from EL1. This is the
reset value.
0b1
CPUECTLR*_EL1 and CLUSTERECTLR_EL1 are write-accessible from EL1 if they are write-
accessible from EL2.
[0] ACTLREN Auxiliary Control Registers enable. The possible values are: 0b0
0b0
CPUACTLR*_EL1 and CLUSTERACTLR are not write-accessible from EL1. This is the reset
value.
0b1
CPUACTLR*_EL1 and CLUSTERACTLR are write-accessible from EL1 if they are write-
accessible from EL2
Access
MRS <Xt>, ACTLR_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 140 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ACTLR_EL2
Arm recommends that the values in this register do not cause unnecessary traps to
EL2 when AArch64-HCR_EL2.{E2H, TGE} == {1, 1}.
Configurations
If EL2 is not implemented, this register is RES0 from EL3.
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
generic-system-control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 141 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
0x0
Bit descriptions
Figure B-4: AArch64_hacr_el2 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, HACR_EL2
Accessibility
MRS <Xt>, HACR_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 142 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
HACR_EL2 = X[t];
elsif PSTATE.EL == EL3 then
HACR_EL2 = X[t];
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-5: AArch64_actlr_el3 bit assignments
63 32
RES0
31 13 12 11 10 9 8 7 6 5 2 1 0
RES0 0 0 RES0
CLUSTERPMUEN ACTLREN
SMEN ECTLREN
TSIDEN PWREN
Reserved
0b1
CLUSTERPM* registers are write-accessible from EL2 and EL1 if they are write-accessible
from EL2.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 143 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Registers CLUSTERACPSID, CLUSTERSTASHSID, CLUSTERPARTCR, CLUSTERBUSQOS,
and CLUSTERTHREADSIDOVR are write-accessible EL2 and EL1 if they are write-accessible
from EL2.
[10] TSIDEN Thread Scheme ID Register enable. The possible values are: 0x0
0b0
Register CLUSTERTHREADSID is not write-accessible from EL2 and EL1. This is the reset
value.
0b1
Register CLUSTERTHREADSID is write-accessible from EL2 and EL1 if they are write-
accessible from EL2
[9] RES0 Reserved 0x0
[8] Reserved Reserved 0x0
0b0
Reserved
0b1
Reserved
[7] PWREN Power Control Registers enable. The possible values are: 0x0
0b0
Registers CPUPWRCTLR, CLUSTERPWRCTLR, CLUSTERPWRDN, CLUSTERPWRSTAT,
CLUSTERL3HIT and CLUSTERL3MISS are not write accessible from EL2 and EL1. This is the
reset value.
0b1
Registers CPUPWRCTLR, CLUSTERPWRCTLR, CLUSTERPWRDN, CLUSTERPWRSTAT,
CLUSTERL3HIT and CLUSTERL3MISS are write-accessible from EL2 and EL1 if they are
write-accessible from EL2
[6:2] RES0 Reserved 0b0000
[1] ECTLREN Extended Control Registers enable. The possible values are: 0b0
0b0
CPUECTLR*_EL2 and EL1 and CLUSTERECTLR_EL2 and EL1 are not write-accessible from
EL2 and EL1. This is the reset value.
0b1
CPUECTLR*_EL2 and EL1 and CLUSTERECTLR_EL2 and EL1 are write-accessible from EL2
and EL1 if they are write-accessible from EL2.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 144 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
CPUACTLR*_EL2 and EL1 and CLUSTERACTLR are write-accessible from EL2 and EL1 if
they are write-accessible from EL2
Access
MRS <Xt>, ACTLR_EL3
Accessibility
MRS <Xt>, ACTLR_EL3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 145 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
If EL2 is not implemented, this register is RES0 from EL3.
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
AMAIR_EL2 is permitted to be cached in a TLB.
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AMAIR_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 146 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, AMAIR_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 147 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
If no LORegion descriptors are implemented, then the registers AArch64-LORC_EL1, AArch64-
LORN_EL1, AArch64-LOREA_EL1, and AArch64-LORSA_EL1 are RES0.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-7: AArch64_lorid_el1 bit assignments
63 32
RES0
31 24 23 16 15 8 7 0
RES0 LD RES0 LR
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 148 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Note:
If LORID_EL1 indicates that no LORegions are implemented, then LoadLOAcquire and StoreLORelease
will behave as LoadAcquire and StoreRelease.
0b00000100
Four LORegions are supported
Access
MRS <Xt>, LORID_EL1
Accessibility
MRS <Xt>, LORID_EL1
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 149 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
AMAIR_EL1 is permitted to be cached in a TLB.
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AMAIR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 150 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, AMAIR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 151 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
UNDEFINED;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
AMAIR_EL3 is permitted to be cached in a TLB.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 152 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AMAIR_EL3
Accessibility
MRS <Xt>, AMAIR_EL3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 153 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
When EL3 is implemented:
• If EL3 can use all Execution states then this register must be implemented.
• If EL3 cannot use AArch32, then it is IMPLEMENTATION DEFINED whether the register is
implemented.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-10: AArch64_rmr_el3 bit assignments
63 32
RES0
31 2 1 0
RES0 RR
RAO/WI
Access
MRS <Xt>, RMR_EL3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 154 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, RMR_EL3
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-11: AArch64_imp_cpuactlr_el1 bit assignments
63 32
Reserved
31 0
Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 155 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, S3_0_C15_C1_0
Accessibility
MRS <Xt>, S3_0_C15_C1_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 156 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-12: AArch64_imp_cpuactlr2_el1 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_0_C15_C1_1
Accessibility
MRS <Xt>, S3_0_C15_C1_1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 157 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 158 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-13: AArch64_imp_cpuactlr3_el1 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_0_C15_C1_2
Accessibility
MRS <Xt>, S3_0_C15_C1_2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 159 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-14: AArch64_imp_cpuactlr4_el1 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_0_C15_C1_3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 160 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, S3_0_C15_C1_3
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 161 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-15: AArch64_imp_cpuectlr_el1 bit assignments
63 61 60 58 57 55 54 53 52 51 50 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32
CMC_MIN_WAYS ATOMIC_ACQ_N
L2_INST_PART EAR
L2_DATA_PART ATOMIC_LD_FORCE
MM_VMID_THR _NEAR
MM_ASP_EN PFT_IF
MM_CH_DIS PFT_LS
MM_TLBPF_DIS PFT_MM
HPA_L1_DIS DFSP
EFC
HPA_DIS
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
ATOMIC_ST_NE EXTLLC
AR RPF_LO_CONF
ATOMIC_REL_NEAR RPF_MODE
ATOMIC_LD_NEAR PF_STI_DIS
TLD_PRED_DIS PF_STS_DIS
TLD_PRED_MODE PF_SS_L2_DIST
DTLB_CABT_EN PF_DIS
WS_THR_L2 WS_THR_DRAM
WS_THR_L4
WS_THR_L3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 162 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b001
CMC must leave at least 1 way for data in L2
0b010
CMC must leave at least 2 ways for data in L2 - This is the default value.
0b011
CMC must leave at least 3 ways for data in L2
0b100
CMC must leave at least 4 ways for data in L2
0b101
CMC must leave at least 5 ways for data in L2
0b110
CMC must leave at least 6 ways for data in L2
0b111
CMC must leave at least 7 ways for data in L2
[60:58] L2_INST_PART Partition the L2 cache for Instruction. The possible values are:
0b000
No ways reserved for instructions. This is the reset value
0b001
Reserve 1 way for instruction. Only instruction fetches can allocate way 7
0b010
Reserve 2 ways for instruction. Only instruction fetches can allocate ways 7:6
0b011
Reserve 3 ways for instruction. Only instruction fetches can allocate ways 7:5
0b100
Reserve 4 ways for instruction. Only instruction fetches can allocate ways 7:4
0b101
Reserve 5 ways for instruction. Only instruction fetches can allocate ways 7:3
0b110
Reserve 6 ways for instruction. Only instruction fetches can allocate ways 7:2
0b111
Reserve 7 ways for instruction. Only instruction fetches can allocate ways 7:1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 163 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b001
Reserve 1 way for data. Only data accesses can allocate way 0
0b010
Reserve 2 ways for data. Only data accesses can allocate ways 1:0
0b011
Reserve 3 ways for data. Only data accesses can allocate ways 2:0
0b100
Reserve 4 ways for data. Only data accesses can allocate ways 3:0
0b101
Reserve 5 ways for data. Only data accesses can allocate ways 4:0
0b110
Reserve 6 ways for data. Only data accesses can allocate ways 5:0
0b111
Reserve 7 ways for data. Only data accesses can allocate ways 6:0
[54] MM_VMID_THR VMID filter threshold. The possible values are:
0b0
VMID filter flush after 16 unique VMID allocations to the MMU Translation Cache.
This is the default value.
0b1
VMID filter flush after 32 unique VMID allocations to the MMU Translation Cache
[53] MM_ASP_EN Disables allocation of splintered pages in L2 TLB. The possible values are:
0b0
Enables allocation of splintered pages in the L2 TLB. This is the default value.
0b1
Disables allocation of splintered pages in the L2 TLB.
[52] MM_CH_DIS Disables use of contiguous hint. The possible values are:
0b0
Enables use of contiguous hint. This is the default value.
0b1
Disables use of contiguous hint.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 164 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Disables TLB prefetcher.
[50:48] RES0 Reserved 0b000
[47] HPA_L1_DIS Disables hardware page aggregation in L1 TLBs. The possible values are:
0b0
Enables hardware page aggregation in L1 TLBs. This is the default value.
0b1
Disables hardware page aggregation in L1 TLBs.
[46] HPA_DIS Disable Hardware page aggregation. The possible values are:
0b0
Enables hardware page aggregation. This is the default value.
0b1
Disables hardware page aggregation.
[45:44] DCC Downstream Cache Control. Controls whether evictions of clean cache-lines send data on
the CHI interface. Set this based on whether there is a cache on the path to memory. The
possible values are:
0b00
Disables sending data when clean cache-lines are evicted.
0b01
Enables sending WriteEvictFull transactions when Unique Clean cache-lines are
evicted. Shared Clean cache-line evictions do not send data.
0b10
Enables sending WriteEvictOrEvict transactions when Unique Clean cache-lines
are evicted. Shared Clean cache-line evictions do not send data. This is the default
value when the SCU is not present
0b11
Enables sending WriteEvictOrEvict transactions when Unique Clean or Shared
Clean cache-lines are evicted. This is the default value when SCU is present
[43] EFC Eviction flush control. Controls whether hardware cache flushes and DC CISW instructions
send data when evicting clean cachelines on the CHI interface. The possible values are:
0b0
Disables sending data when hardware cache flushes or DC CISW instructions evict
a clean cacheline. Sending of Evict transactions is controlled by Downstream Snoop
Filter Present (DSFP). This is the default value.
0b1
Sending of data when hardware cache flushes or DC CISW instructions evict clean
cachelines is controlled by Downstream Cache Control (DCC). Sending of Evict
transactions is controlled by Downstream Snoop Filter Present (DSFP).
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 165 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Enables sending of Evict transactions when clean cachelines are evicted without
data. This is the default value
[41:40] PFT_MM DRAM prefetch using PrefetchTgt transactions for tablewalk requests. The possible values
are:
0b00
Disable prefetchtgt generation for requests from the Memory Management unit
(MMU). This is the default value.
0b01
Conservatively generate prefetchtgt for cacheable requests from the MMU, always
generate for Non-cacheable.
0b10
Agressively generate prefetchtgt for cacheable requests from the MMU, always
generate for Non-cacheable.
0b11
Always generate prefetchtgt for cacheable requests from the MMU, always
generate for Non-cacheable.
[39:38] PFT_LS DRAM prefetch using PrefetchTgt transactions for load and store requests. The possible
values are:
0b00
Disable prefetchtgt generation for requests from the Load-Store unit (LS). This is
the default value.
0b01
Conservatively generate prefetchtgt for cacheable requests from the LS, always
generate for Non-cacheable.
0b10
Agressively generate prefetchtgt for cacheable requests from the LS, always
generate for Non-cacheable.
0b11
Always generate prefetchtgt for cacheable requests from the LS, always generate
for Non-cacheable.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 166 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b01
Conservatively generate prefetchtgt for cacheable requests from the IF, always
generate for Non-cacheable.
0b10
Agressively generate prefetchtgt for cacheable requests from the IF, always
generate for Non-cacheable.
0b11
Always generate prefetchtgt for cacheable requests from the IF, always generate for
Non-cacheable.
[35:34] RES0 Reserved 0b00
[33] ATOMIC_LD_FORCE_NEAR A load atomic (including SWP & CAS) instruction to WB memory will be performed near.
The possible values are:
0b0
Load-atomic is near if cache line is already Exclusive, otherwise make far atomic
request.
0b1
Load-atomic will be performed near by bringing the line into the L1D Cache. This is
the default value.
[32] ATOMIC_ACQ_NEAR An atomic instruction to WB memory with acquire semantics that does not hit in the cache
in Exclusive state, may make up to one fill request. The possible values are:
0b0
Acquire-atomic is near if cache line is already Exclusive, otherwise make far atomic
request.
0b1
Acquire-atomic will make up to 1 fill request to perform near. This is the default
value.
[31] ATOMIC_ST_NEAR A store atomic instruction to WB memory that does not hit in the cache in Exclusive state,
may make up to one fill request. The possible values are:
0b0
Store-atomic is near if cache line is already Exclusive, otherwise make far atomic
request. This is the default value.
0b1
Store-atomic will make up to 1 fill request to perform near.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 167 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Release-atomic will make up to 1 fill request to perform near. This is the default
value.
[29] ATOMIC_LD_NEAR A load atomic (including SWP & CAS) instruction to WB memory that does not hit in the
cache in Exclusive state, may make up to one fill request. The possible values are:
0b0
Load-atomic is near if cache line is already Exclusive, otherwise make far atomic
request. This is the default value.
0b1
Load-atomic will make up to 1 fill request to perform near.
[28] TLD_PRED_DIS Disable Transient Load Prediction. The possible values are:
0b0
Enables transient load prediction. This is the default value.
0b1
Disables transient load prediction.
[27] TLD_PRED_MODE Aggressive Transient Load Prediction. The possible values are:
0b0
Disables aggressive transient load prediction. This is the default value.
0b1
Enables aggressive transient load prediction.
[26] DTLB_CABT_EN Enables TLB Conflict Data Abort Exception. The possible values are:
0b0
Disables TLB conflict data abort exception. This is the default value.
0b1
Enables TLB conflict data abort exception.
[25:24] WS_THR_L2 Threshold for direct stream to L2 cache on store. The possible values are:
0b00
256B - This is the default value
0b01
4KB
0b10
8KB
0b11
Disables direct stream to L2 cache on store.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 168 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b01
256KB - This is the default value
0b10
512KB
0b11
Disables direct stream to L3 cache on store.
[21:20] WS_THR_L4 Threshold for direct stream to L4 cache on store. The possible values are:
0b00
256KB
0b01
512KB - This is the default value
0b10
1MB
0b11
Disables direct stream to L4 cache on store.
[19:18] WS_THR_DRAM Threshold for direct stream to DRAM on store. The possible values are:
0b00
512KB
0b01
1MB - This is the default value
0b10
2MB
0b11
Disables direct stream to DRAM on store.
[17:16] RES0 Reserved 0b00
[15] PF_DIS Disables hardware prefetching. The possible values are:
0b0
Enables hardware prefetching. This is the default value.
0b1
Disables hardware prefetching.
[14] RES0 Reserved 0b0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 169 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b01
40 lines ahead
0b10
60 lines ahead
0b11
Dynamic. This is the default value.
[11:10] RES0 Reserved 0b00
[9] PF_STS_DIS Disable store-stride prefetches. The possible values are:
0b0
Enables store prefetching. This is the default value.
0b1
Disables store prefetching.
[8] PF_STI_DIS Disable store prefetches at issue (not overridden by ls_hw_pref_disable). The possible
values are:
0b0
Enables store prefetching. This is the default value.
0b1
Disable store prefetching.
[7:6] RES0 Reserved 0b00
[5:4] RPF_MODE Region prefetcher aggressiveness. The possible values are:
0b00
Dynamic region prefetch aggressiveness. This is the default value.
0b01
Conservative region prefetching.
0b10
Very Conservative region prefetching.
0b11
Most Conservative region prefetching. This will disable the region prefetcher.
[3] RPF_LO_CONF Region Prefetcher single accesses training behavior. The possible values are:
0b0
Mostly don't train PHT on single access. This is the default value.
0b1
Always train the PHT on single access. This results in fewer prefetch requests.
[2:1] RES0 Reserved 0b00
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 170 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Indicates that an external Last-level cache is present in the system, and that the
DataSource field on the master CHI interface indicates when data is returned from
the LLC. This is used to control how the LL_CACHE* PMU events count.
Access
MRS <Xt>, S3_0_C15_C1_4
Accessibility
MRS <Xt>, S3_0_C15_C1_4
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-16: AArch64_imp_cpuectlr2_el1 bit assignments
63 32
RES0
31 15 14 11 10 9 8 7 6 5 4 3 2 1 0
RES0 PF_MODE
CBUSY_FILTER_WINDOW TXREQ_MAX
CBUSY_FILTER_THRESHOLD TXREQ_LIMIT_DYNAM
TXREQ_LIMIT_DEC IC
TXREQ_LIMIT_INC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 172 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b0001
Modes [0,1]
0b0010
Modes [0,2]
0b0011
Modes [0,3]
0b0100
Modes [1,1]
0b0101
Modes [1,2]
0b0110
Modes [1,3]
0b0111
Modes [2,2]
0b1000
Modes [2,3]
0b1001
Modes [3,3] (statically at the most conservative mode)
0b1010
reserved
0b1011
reserved
0b1100
reserved
0b1101
reserved
0b1110
reserved
0b1111
reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 173 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b01
64
0b10
128
0b11
512
[8:7] CBUSY_FILTER_THRESHOLD Fraction of of CBusy responses in the sampling window necessary to be considered a valid
sample of that CBusy value. The possible values are:
0b00
1/16 - This is the default value
0b01
1/32
0b10
1/8
0b11
1/4
[6:5] TXREQ_LIMIT_DEC Dynamic TXREQ limit decrement. Controls how quickly the dynamic TXREQ limit is
decreased when CBusy indicates value of 3. The possible values are:
0b00
4 - This is the default value
0b01
8
0b10
16
0b11
2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 174 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b01
8
0b10
16
0b11
2
[2] TXREQ_LIMIT_DYNAMIC Selects static or dynamic control of TXREQ limit. Dynamic TXREQ limit will adjust based
on CBusy responses on RXDAT and RXRSP in the range of the static limit selected by
CPUECTLR2_EL1[1:0] and 1/4 of the L2 TQ SIZE. The possible values are:
0b0
maximum number of TXREQ transactions statically set by CPUECTLR2_EL1[1:0] -
This is the default value.
0b1
maximum number of TXREQ transactions dynamically controlled
[1:0] TXREQ_MAX Maximum number of TXREQ transactions outstanding from the L2 Transaction Queue.
The possible values are:
0b00
full L2 TQ size - This is the default value
0b01
3/4 of L2 TQ size
0b10
1/2 of L2 TQ size
0b11
1/4 of L2 TQ size
Access
MRS <Xt>, S3_0_C15_C1_5
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 175 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, S3_0_C15_C1_5
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 176 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-17: AArch64_imp_cpuppmcr3_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_0_C15_C2_4
Accessibility
MRS <Xt>, S3_0_C15_C2_4
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 177 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-18: AArch64_imp_cpupwrctlr_el1 bit assignments
63 32
RES0
31 13 12 10 9 7 6 4 3 1 0
RES0 RES0
SIMD_RET_CTRL CORE_PWRDN_E
WFE_RET_CTRL N
WFI_RET_CTRL
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 178 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b001
2 system counter ticks are required before SIMD powerdown.
0b010
8 system counter ticks are required before SIMD powerdown.
0b011
32 system counter ticks are required before SIMD powerdown.
0b100
64 system counter ticks are required before SIMD powerdown.
0b101
128 system counter ticks are required before SIMD powerdown.
0b110
256 system counter ticks are required before SIMD powerdown.
0b111
512 system counter ticks are required before SIMD powerdown.
[9:7] WFE_RET_CTRL Wait for Event retention control. The possible values are: 0x0
0b000
Dynamic retention is disabled.
0b001
2 system counter ticks are required before retention entry.
0b010
8 system counter ticks are required before retention entry.
0b011
32 system counter ticks are required before retention entry.
0b100
64 system counter ticks are required before retention entry.
0b101
128 system counter ticks are required before retention entry.
0b110
256 system counter ticks are required before retention entry.
0b111
512 system counter ticks are required before retention entry.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 179 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b001
2 system counter ticks are required before retention entry.
0b010
8 system counter ticks are required before retention entry.
0b011
32 system counter ticks are required before retention entry.
0b100
64 system counter ticks are required before retention entry.
0b101
128 system counter ticks are required before retention entry.
0b110
256 system counter ticks are required before retention entry.
0b111
512 system counter ticks are required before retention entry.
[3:1] RES0 Reserved 0b000
[0] CORE_PWRDN_EN Indicates to the power controller if the CPU wants to power down when it enters WFE/WFI state. 0b0
The possible values are:
0b0
CPU does not want to power down when it enters WFE/WFI state.
0b1
CPU wants to power down when it enters WFE/WFI state.
Access
MRS <Xt>, S3_0_C15_C2_7
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 180 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, S3_0_C15_C2_7
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 181 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-19: AArch64_imp_atcr_el1 bit assignments
63 32
RES0
31 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
RES0
HWVAL162 HWEN059
HWVAL161 HWEN060
HWVAL160 HWEN061
HWVAL159 HWEN062
HWVAL062 HWEN159
HWVAL061 HWEN160
HWVAL060 HWEN161
HWVAL059 HWEN162
Access
MRS <Xt>, S3_0_C15_C7_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 182 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, S3_0_C15_C7_0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 183 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-20: AArch64_imp_cpuactlr5_el1 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_0_C15_C8_0
Accessibility
MRS <Xt>, S3_0_C15_C8_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 184 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL3, 0x18);
else
IMP_CPUACTLR5_EL1 = X[t];
elsif PSTATE.EL == EL2 then
if EL2Enabled() && ACTLR_EL2.ACTLREN == '0' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif ACTLR_EL3.ACTLREN == '0' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
IMP_CPUACTLR5_EL1 = X[t];
elsif PSTATE.EL == EL3 then
IMP_CPUACTLR5_EL1 = X[t];
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-21: AArch64_imp_cpuactlr6_el1 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_0_C15_C8_1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 185 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, S3_0_C15_C8_1
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 186 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-22: AArch64_imp_cpuactlr7_el1 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_0_C15_C8_2
Accessibility
MRS <Xt>, S3_0_C15_C8_2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 187 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-23: AArch64_imp_atcr_el2 bit assignments
63 32
RES0
31 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
RES0
HWVAL162 HWEN059
HWVAL161 HWEN060
HWVAL160 HWEN061
HWVAL159 HWEN062
HWVAL062 HWEN159
HWVAL061 HWEN160
HWVAL060 HWEN161
HWVAL059 HWEN162
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 188 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, S3_4_C15_C7_0
Accessibility
MRS <Xt>, S3_4_C15_C7_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 189 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
else
UNDEFINED;
elsif PSTATE.EL == EL2 then
return IMP_ATCR_EL2;
elsif PSTATE.EL == EL3 then
return IMP_ATCR_EL2;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 190 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-24: AArch64_imp_avtcr_el2 bit assignments
63 32
RES0
31 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
RES0
HWVAL162 HWEN059
HWVAL161 HWEN060
HWVAL160 HWEN061
HWVAL159 HWEN062
HWVAL062 HWEN159
HWVAL061 HWEN160
HWVAL060 HWEN161
HWVAL059 HWEN162
Access
MRS <Xt>, S3_4_C15_C7_1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 191 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, S3_4_C15_C7_1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 192 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-25: AArch64_imp_cpuppmcr_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C2_0
Accessibility
MRS <Xt>, S3_6_C15_C2_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 193 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-26: AArch64_imp_cpuppmcr2_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C2_1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 194 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, S3_6_C15_C2_1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-27: AArch64_imp_cpuppmcr4_el3 bit assignments
63 32
Reserved
31 0
Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 195 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, S3_6_C15_C2_4
Accessibility
MRS <Xt>, S3_6_C15_C2_4
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 196 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-28: AArch64_imp_cpuppmcr5_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C2_5
Accessibility
MRS <Xt>, S3_6_C15_C2_5
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 197 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-29: AArch64_imp_cpuppmcr6_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C2_6
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 198 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, S3_6_C15_C2_6
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 199 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-30: AArch64_imp_cpuactlr_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C4_0
Accessibility
MRS <Xt>, S3_6_C15_C4_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 200 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-31: AArch64_imp_atcr_el3 bit assignments
63 32
RES0
31 12 11 10 9 8 7 4 3 2 1 0
RES0 RES0
HWVAL062 HWEN059
HWVAL061 HWEN060
HWVAL060 HWEN061
HWVAL059 HWEN062
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 201 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, S3_6_C15_C7_0
Accessibility
MRS <Xt>, S3_6_C15_C7_0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 202 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-32: AArch64_imp_cpupselr_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C8_0
Accessibility
MRS <Xt>, S3_6_C15_C8_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 203 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-33: AArch64_imp_cpupcr_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C8_1
Accessibility
MRS <Xt>, S3_6_C15_C8_1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 204 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-34: AArch64_imp_cpupor_el3 bit assignments
63 32
Reserved
31 0
Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 205 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, S3_6_C15_C8_2
Accessibility
MRS <Xt>, S3_6_C15_C8_2
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 206 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-35: AArch64_imp_cpupmr_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C8_3
Accessibility
MRS <Xt>, S3_6_C15_C8_3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 207 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-36: AArch64_imp_cpupor2_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C8_4
Accessibility
MRS <Xt>, S3_6_C15_C8_4
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 208 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-37: AArch64_imp_cpupmr2_el3 bit assignments
63 32
Reserved
31 0
Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 209 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, S3_6_C15_C8_5
Accessibility
MRS <Xt>, S3_6_C15_C8_5
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 210 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-38: AArch64_imp_cpupfr_el3 bit assignments
63 32
Reserved
31 0
Reserved
Access
MRS <Xt>, S3_6_C15_C8_6
Accessibility
MRS <Xt>, S3_6_C15_C8_6
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 211 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
The named fields in this register map to the equivalent fields in the AArch32 AArch32-FPSCR.
It is IMPLEMENTATION DEFINED whether the Len and Stride fields can be programmed to
non-zero values, which will cause some AArch32 floating-point instruction encodings to be
UNDEFINED, or whether these fields are RAZ.
Attributes
Width
64
Functional group
generic-system-control
Reset value
See individual bit resets.
Bit descriptions
Figure B-39: AArch64_fpcr bit assignments
63 32
RES0
31 27 26 25 24 23 22 21 20 19 18 16 15 14 13 12 11 10 9 8 7 0
AHP FZ16
RMode
0b1
Alternative half-precision format selected.
This bit is only used for conversions between half-precision floating-point and other floating-point
formats.
The data-processing instructions added as part of the ARMv8.2-FP16 extension always use the IEEE
half-precision format, and ignore the value of this bit.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 212 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Any operation involving one or more NaNs returns the Default NaN.
The value of this bit controls both scalar and Advanced SIMD floating-point arithmetic.
[24] FZ Flush-to-zero mode control bit.
0b0
Flush-to-zero mode disabled. Behavior of the floating-point system is fully compliant with the
IEEE 754 standard.
0b1
Flush-to-zero mode enabled.
The value of this bit controls both scalar and Advanced SIMD floating-point arithmetic.
0b01
Round towards Plus Infinity (RP) mode.
0b10
Round towards Minus Infinity (RM) mode.
0b11
Round towards Zero (RZ) mode.
The specified rounding mode is used by both scalar and Advanced SIMD floating-point instructions.
[21:20] RES0 Reserved 0b00
[19] FZ16 Flush-to-zero mode control bit on half-precision data-processing instructions.
0b0
Flush-to-zero mode disabled. Behavior of the floating-point system is fully compliant with the
IEEE 754 standard.
0b1
Flush-to-zero mode enabled.
The value of this bit applies to both scalar and Advanced SIMD floating-point half-precision calculations.
A half-precision floating-point number that is flushed to zero as a result of the value of the FZ16 bit does
not generate an Input Denormal exception.
[18:0] RES0 Reserved 0b00000000
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 213 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, FPCR
Accessibility
MRS <Xt>, FPCR
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 214 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
If EL2 is not implemented, this register is RES0 from EL3.
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 215 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-40: AArch64_afsr0_el2 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AFSR0_EL2
Accessibility
MRS <Xt>, AFSR0_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 216 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 217 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
If EL2 is not implemented, this register is RES0 from EL3.
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-41: AArch64_afsr1_el2 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AFSR1_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 218 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, AFSR1_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 219 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
UNDEFINED;
elsif PSTATE.EL == EL1 then
if EL2Enabled() && HCR_EL2.TVM == '1' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif EL2Enabled() && SCR_EL3.FGTEn == '1' && HFGWTR_EL2.AFSR1_EL1 == '1' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif EL2Enabled() && HCR_EL2.<NV2,NV1,NV> == '111' then
NVMem[0x130] = X[t];
else
AFSR1_EL1 = X[t];
elsif PSTATE.EL == EL2 then
if HCR_EL2.E2H == '1' then
AFSR1_EL2 = X[t];
else
AFSR1_EL1 = X[t];
elsif PSTATE.EL == EL3 then
AFSR1_EL1 = X[t];
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-42: AArch64_afsr0_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AFSR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 220 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, AFSR0_EL1
AFSR0_EL1 = X[t];
elsif PSTATE.EL == EL3 then
AFSR0_EL1 = X[t];
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 222 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-43: AArch64_afsr1_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AFSR1_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 223 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, AFSR1_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 224 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
UNDEFINED;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-44: AArch64_afsr0_el3 bit assignments
63 32
RES0
31 0
RES0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 225 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, AFSR0_EL3
Accessibility
MRS <Xt>, AFSR0_EL3
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 226 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Functional group
generic-system-control
Reset value
0x0
Bit descriptions
Figure B-45: AArch64_afsr1_el3 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, AFSR1_EL3
Accessibility
MRS <Xt>, AFSR1_EL3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 227 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
debug
Reset value
See individual bit resets.
Bit descriptions
Figure B-46: AArch64_imp_idata0_el3 bit assignments
63 32
DATA
31 0
DATA
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 228 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, S3_6_C15_C0_0
Accessibility
MRS <Xt>, S3_6_C15_C0_0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
debug
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 229 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-47: AArch64_imp_idata1_el3 bit assignments
63 32
DATA
31 0
DATA
Access
MRS <Xt>, S3_6_C15_C0_1
Accessibility
MRS <Xt>, S3_6_C15_C0_1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
debug
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 230 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-48: AArch64_imp_idata2_el3 bit assignments
63 32
DATA
31 0
DATA
Access
MRS <Xt>, S3_6_C15_C0_2
Accessibility
MRS <Xt>, S3_6_C15_C0_2
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
debug
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 231 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-49: AArch64_imp_ddata0_el3 bit assignments
63 32
DATA
31 0
DATA
Access
MRS <Xt>, S3_6_C15_C1_0
Accessibility
MRS <Xt>, S3_6_C15_C1_0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
debug
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 232 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-50: AArch64_imp_ddata1_el3 bit assignments
63 32
DATA
31 0
DATA
Access
MRS <Xt>, S3_6_C15_C1_1
Accessibility
MRS <Xt>, S3_6_C15_C1_1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
debug
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 233 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-51: AArch64_imp_ddata2_el3 bit assignments
63 32
DATA
31 0
DATA
Access
MRS <Xt>, S3_6_C15_C1_2
Accessibility
MRS <Xt>, S3_6_C15_C1_2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 234 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
system-instruction
Reset value
See individual bit resets.
Bit descriptions
Figure B-52: AArch64_sys_imp_ramindex bit assignments
63 32
RES0
31 24 23 0
ID INDEX
Access
Accesses to this instruction use the following encodings:
Accessibility
Accesses to this instruction use the following encodings:
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 235 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 236 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 237 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-53: AArch64_midr_el1 bit assignments
63 32
RES0
31 24 23 20 19 16 15 4 3 0
Architecture
On processors implemented by Arm, if the top four bits of the primary part number are 0x0 or 0x7, the
variant and architecture are encoded differently.
0b110101000111
Cortex®‑A710
[3:0] Revision An IMPLEMENTATION DEFINED revision number for the device.
0b0000
r2p0
Access
MRS <Xt>, MIDR_EL1
Accessibility
MRS <Xt>, MIDR_EL1
Configurations
In a uniprocessor system Arm recommends that each Aff<n> field of this register returns a value of
0.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-54: AArch64_mpidr_el1 bit assignments
63 40 39 32
RES0 Aff3
31 30 29 25 24 23 16 15 8 7 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 239 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Value read from the CPUID configuration pins. Identification number for each CPU in an cluster counting from
zero.
[7:0] Aff0 Affinity level 0. This is the affinity level that is most significant for determining PE behavior. Higher affinity
levels are increasingly less significant in determining PE behavior. The assigned value of the MPIDR.{Aff2,
Aff1, Aff0} or AArch64-MPIDR_EL1.{Aff3, Aff2, Aff1, Aff0} set of fields of each PE must be unique within the
system as a whole.
0b00000000
Only one thread.
Access
MRS <Xt>, MPIDR_EL1
Accessibility
MRS <Xt>, MPIDR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 240 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
If REVIDR_EL1 has the same value as AArch64-MIDR_EL1, then its contents have no significance.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-55: AArch64_revidr_el1 bit assignments
63 32
IMPLEMENTATION DEFINED
31 0
IMPLEMENTATION DEFINED
Access
MRS <Xt>, REVIDR_EL1
Accessibility
MRS <Xt>, REVIDR_EL1
Must be interpreted with AArch64-ID_PFR1_EL1. For general information about the interpretation
of the ID registers see 'Principles of the ID scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-56: AArch64_id_pfr0_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Error records accessed through System registers conform to RAS System Architecture v1.1, which includes
simplifications to ext-ERR<n>STATUS and support for the optional RAS Timestamp Extension.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 242 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_PFR0_EL1
Accessibility
MRS <Xt>, ID_PFR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 243 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Must be interpreted with AArch64-ID_PFR0_EL1. For general information about the interpretation
of the ID registers see 'Principles of the ID scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-57: AArch64_id_pfr1_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Virt_frac Virtualization
0b0011
When Port GICCDISABLE is Low, GIC (version 4.1) CPU interface is enabled.
[27:24] Virt_frac Virtualization fractional field. When the Virtualization field is 0000, determines the support for features
from the ARMv7 Virtualization Extensions. Defined values are:
0b0000
No features from the ARMv7 Virtualization Extensions are implemented.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 244 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_PFR1_EL1
Accessibility
MRS <Xt>, ID_PFR1_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 245 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Must be interpreted with the Main ID Register, AArch64-MIDR_EL1. For general information about
the interpretation of the ID registers see 'Principles of the ID scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-58: AArch64_id_dfr0_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
TraceFilt
This field does not follow the standard ID scheme, but uses the Alternative ID scheme described in
'Alternative ID scheme used for the Performance Monitors Extension version'.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 246 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
If EL3 is not implemented and the implemented Security state is Non-secure state, this field is RES0.
Otherwise, this field reads the same as bits [3:0].
0b1001
As per CopDbg
[3:0] CopDbg Support for System registers-based debug model, using registers in the coproc == 1110 encoding space, for
A and R profile processors. Defined values are:
0b1001
Support for Armv8.4 debug architecture.
Access
MRS <Xt>, ID_DFR0_EL1
Accessibility
MRS <Xt>, ID_DFR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 247 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Must be interpreted with the Main ID Register, AArch64-MIDR_EL1. For general information about
the interpretation of the ID registers see 'Principles of the ID scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
0x0
Bit descriptions
Figure B-59: AArch64_id_afr0_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, ID_AFR0_EL1
Accessibility
MRS <Xt>, ID_AFR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 248 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-60: AArch64_id_mmfr0_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 249 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_MMFR0_EL1
Accessibility
MRS <Xt>, ID_MMFR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 250 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-61: AArch64_id_mmfr1_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 251 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_MMFR1_EL1
Accessibility
MRS <Xt>, ID_MMFR1_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 252 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-62: AArch64_id_mmfr2_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 253 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_MMFR2_EL1
Accessibility
MRS <Xt>, ID_MMFR2_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 254 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-63: AArch64_id_mmfr3_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
MaintBcst
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 255 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_MMFR3_EL1
Accessibility
MRS <Xt>, ID_MMFR3_EL1
about the interpretation of the ID registers see 'Principles of the ID scheme for fields in ID
registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-64: AArch64_id_isar0_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
CmpBranch
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 257 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_ISAR0_EL1
Accessibility
MRS <Xt>, ID_ISAR0_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 258 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-65: AArch64_id_isar1_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 259 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_ISAR1_EL1
Accessibility
MRS <Xt>, ID_ISAR1_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 260 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-66: AArch64_id_isar2_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
MultiAccessInt LoadStore
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 261 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_ISAR2_EL1
Accessibility
MRS <Xt>, ID_ISAR2_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 262 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-67: AArch64_id_isar3_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
TabBranch SynchPrim
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 263 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_ISAR3_EL1
Accessibility
MRS <Xt>, ID_ISAR3_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 264 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-68: AArch64_id_isar4_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 265 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_ISAR4_EL1
Accessibility
MRS <Xt>, ID_ISAR4_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 266 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-69: AArch64_id_isar5_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
0b0001
When Cryptographic extensions are implemented and enabled then SHA256H, SHA256H2,
SHA256SU0, and SHA256SU1 instructions are implemented.
[11:8] SHA1 Indicates whether the SHA1 instructions are implemented in AArch32 state.
0b0000
When Cryptographic extensions are not implemented or disabled then SHA1 instructions are not
implemented.
0b0001
When Cryptographic extensions are implemented and enabled then SHA1C, SHA1P, SHA1M, SHA1H,
SHA1SU0, and SHA1SU1 instructions are implemented.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 267 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b0010
When Cryptographic extensions are implemented and enabled then AESE, AESD, AESMC, AESIMC and
VMULL.64 instructions are implemented.
[3:0] SEVL Indicates whether the SEVL instruction is implemented in AArch32 state.
0b0001
SEVL is implemented as Send Event Local.
Access
MRS <Xt>, ID_ISAR5_EL1
Accessibility
MRS <Xt>, ID_ISAR5_EL1
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 268 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-70: AArch64_id_mmfr4_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 269 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_MMFR4_EL1
Accessibility
MRS <Xt>, ID_MMFR4_EL1
Configurations
Prior to the introduction of the features described by this register, this register was
unnamed and reserved, RES0 from EL1, EL2, and EL3.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 270 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-71: AArch64_id_isar6_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 271 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_ISAR6_EL1
Accessibility
MRS <Xt>, ID_ISAR6_EL1
Configurations
In an implementation where at least one Exception level supports execution in AArch32 state, but
there is no support for Advanced SIMD and floating-point operation, this register is RAZ.
Attributes
Width
64
Functional group
identification
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 272 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-72: AArch64_mvfr0_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 273 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, MVFR0_EL1
Accessibility
MRS <Xt>, MVFR0_EL1
Configurations
In an implementation where at least one Exception level supports execution in AArch32 state, but
there is no support for Advanced SIMD and floating-point operation, this register is RAZ.
Attributes
Width
64
Functional group
identification
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 274 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-73: AArch64_mvfr1_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 275 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, MVFR1_EL1
Accessibility
MRS <Xt>, MVFR1_EL1
Configurations
In an implementation where at least one Exception level supports execution in AArch32 state, but
there is no support for Advanced SIMD and floating-point operation, this register is RAZ.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 276 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-74: AArch64_mvfr2_el1 bit assignments
63 32
RES0
31 8 7 4 3 0
Access
MRS <Xt>, MVFR2_EL1
Accessibility
MRS <Xt>, MVFR2_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 277 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-75: AArch64_id_pfr2_el1 bit assignments
63 32
RES0
31 12 11 8 7 4 3 0
Access
MRS <Xt>, ID_PFR2_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 278 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ID_PFR2_EL1
For general information about the interpretation of the ID registers see 'Principles of the ID scheme
for fields in ID registers'.
Configurations
Prior to the introduction of the features described by this register, this register was
unnamed and reserved, RES0 from EL1, EL2, and EL3.
Attributes
Width
64
Functional group
identification
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 279 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-76: AArch64_id_dfr1_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, ID_DFR1_EL1
Accessibility
MRS <Xt>, ID_DFR1_EL1
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
The external register ext-EDPFR gives information from this register.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 280 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-77: AArch64_id_aa64pfr0_el1 bit assignments
63 60 59 56 55 52 51 48 47 44 43 40 39 36 35 32
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 281 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b0011
When Port GICCDISABLE is Low, GIC (version 4.1) CPU interface is enabled.
[23:20] AdvSIMD Advanced SIMD. Defined values are:
0b0001
Advanced SIMD is implemented, including support for half-precision floating-point arithmetic.
[19:16] FP Floating-point. Defined values are:
0b0001
Floating-point, including support for half-precision floating-point arithmetic, is implemented.
[15:12] EL3 EL3 Exception level handling. Defined values are:
0b0001
EL3 can be executed in AArch64 state only.
[11:8] EL2 EL2 Exception level handling. Defined values are:
0b0001
EL2 can be executed in AArch64 state only.
[7:4] EL1 EL1 Exception level handling. Defined values are:
0b0001
EL1 can be executed in AArch64 state only.
[3:0] EL0 EL0 Exception level handling. Defined values are:
0b0010
EL0 can be executed in either AArch64 or AArch32 state.
Access
MRS <Xt>, ID_AA64PFR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 282 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ID_AA64PFR0_EL1
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-78: AArch64_id_aa64pfr1_el1 bit assignments
63 32
RES0
31 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 283 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b0010
Memory Tagging Extension is implemented. This value is reported when the BROADCASTMTE input is
HIGH.
[7:4] SSBS Speculative Store Bypassing controls in AArch64 state. Defined values are:
0b0010
AArch64 provides the PSTATE.SSBS mechanism to mark regions that are Speculative Store Bypassing
Safe, and the MSR and MRS instructions to directly read and write the PSTATE.SSBS field
[3:0] BT Branch Target Identification mechanism support in AArch64 state. Defined values are:
0b0001
The Branch Target Identification mechanism is implemented.
Access
MRS <Xt>, ID_AA64PFR1_EL1
Accessibility
MRS <Xt>, ID_AA64PFR1_EL1
For general information about the interpretation of the ID registers see 'Principles of the ID scheme
for fields in ID registers'.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 284 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
Prior to the introduction of the features described by this register, this register was
unnamed and reserved, RES0 from EL1, EL2, and EL3.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-79: AArch64_id_aa64zfr0_el1 bit assignments
63 48 47 44 43 40 39 36 35 32
31 24 23 20 19 16 15 8 7 4 3 0
0b0001
SVE2 SM4E and SM4EKEY instructions are implemented. This value is reported when
Cryptographic extensions are implemented and enabled.
[39:36] RES0 Reserved 0b0000
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 285 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b0001
SVE2 RAX1 instruction is implemented. This value is reported when Cryptographic extensions
are implemented and enabled.
[31:24] RES0 Reserved 0b00000000
[23:20] BF16 Indicates support for SVE BFloat16 instructions. Defined values are:
0b0001
BFCVT, BFCVTNT, BFDOT, BFMLALB, BFMLALT, and BFMMLA instructions are implemented.
[19:16] BitPerm Indicates support for SVE2 bit permute instructions. Defined values are:
0b0001
SVE2 BDEP, BEXT and BGRP instructions are implemented.
[15:8] RES0 Reserved 0b00000000
[7:4] AES Indicates support for SVE2-AES instructions. Defined values are:
0b0000
SVE2-AES instructions are not implemented. This value is reported when Cryptographic
extensions are not implemented or are disabled.
0b0010
SVE2 AESE, AESD, AESMC, and AESIMC instructions are implemented plus SVE2 PMULLB and
PMULLT instructions with 64-bit source. This value is reported when Cryptographic extensions
are implemented and enabled.
[3:0] SVEver Scalable Vector Extension instruction set version. Defined values are:
0b0001
SVE and the non-optional SVE2 instructions are implemented.
Access
MRS <Xt>, ID_AA64ZFR0_EL1
Accessibility
MRS <Xt>, ID_AA64ZFR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 286 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
return ID_AA64ZFR0_EL1;
elsif PSTATE.EL == EL2 then
return ID_AA64ZFR0_EL1;
elsif PSTATE.EL == EL3 then
return ID_AA64ZFR0_EL1;
For general information about the interpretation of the ID registers, see Principles of the ID scheme
for fields in ID registers.
Configurations
The external register ext-EDDFR gives information from this register.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-80: AArch64_id_aa64dfr0_el1 bit assignments
63 48 47 44 43 40 39 36 35 32
RES0 RES0
TraceBuffer DoubleLock
TraceFilt
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 287 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_AA64DFR0_EL1
Accessibility
MRS <Xt>, ID_AA64DFR0_EL1
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
0x0
Bit descriptions
Figure B-81: AArch64_id_aa64dfr1_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, ID_AA64DFR1_EL1
Accessibility
MRS <Xt>, ID_AA64DFR1_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 289 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
0x0
Bit descriptions
Figure B-82: AArch64_id_aa64afr0_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Access
MRS <Xt>, ID_AA64AFR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 290 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ID_AA64AFR0_EL1
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 291 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-83: AArch64_id_aa64afr1_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, ID_AA64AFR1_EL1
Accessibility
MRS <Xt>, ID_AA64AFR1_EL1
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 292 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-84: AArch64_id_aa64isar0_el1 bit assignments
63 60 59 56 55 52 51 48 47 44 43 40 39 36 35 32
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
0b0001
When Cryptographic extensions are implemented and enabled then SM3 instructions SM4E and
SM4EKEY are implemented.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 293 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b0001
When Cryptographic extensions are implemented and enabled then SM4 instructions SM3SS1,
SM3TT1A, SM3TT1B, SM3TT2A, SM3TT2B, SM3PARTW1, and SM3PARTW2 are implemented.
[35:32] SHA3 Indicates support for SHA3 instructions in AArch64 state. Defined values are:
0b0000
When Cryptographic extensions are not implemented or disabled then SHA3 instructions are not
implemented.
0b0001
When Cryptographic extensions are implemented and enabled then SHA3 instructions EOR3, RAX1,
XAR, and BCAX are implemented.
[31:28] RDM Indicates support for SQRDMLAH and SQRDMLSH instructions in AArch64 state. Defined values are:
0b0001
SQRDMLAH and SQRDMLSH instructions implemented.
[27:24] RES0 Reserved 0b0000
[23:20] Atomic Indicates support for Atomic instructions in AArch64 state. Defined values are:
0b0010
LDADD, LDCLR, LDEOR, LDSET, LDSMAX, LDSMIN, LDUMAX, LDUMIN, CAS, CASP, and SWP
instructions implemented.
[19:16] CRC32 CRC32 instructions implemented in AArch64 state. Defined values are:
0b0001
CRC32B, CRC32H, CRC32W, CRC32X, CRC32CB, CRC32CH, CRC32CW, and CRC32CX instructions
implemented.
[15:12] SHA2 SHA2 instructions implemented in AArch64 state. Defined values are:
0b0000
When Cryptographic extensions are not implemented or disabled then SHA2 instructions are not
implemented.
0b0010
When Cryptographic extensions are implemented and enabled then SHA256H, SHA256H2,
SHA256SU0, SHA256SU1, SHA512H, SHA512H2, SHA512SU0, and SHA512SU1 instructions are
implemented.
When the CRYPTO configuration parameter is true and the CRYPTODISABLE input is low at reset
Cryptographic Extensions are implemented
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 294 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b0001
When Cryptographic extensions are implemented and enabled then SHA1C, SHA1P, SHA1M, SHA1H,
SHA1SU0, and SHA1SU1 instructions are implemented.
When the CRYPTO configuration parameter is true and the CRYPTODISABLE input is low at reset
Cryptographic Extensions are implemented
[7:4] AES AES instructions implemented in AArch64 state. Defined values are:
0b0000
When Cryptographic extensions are not implemented or disabled then AES instructions are not
implemented.
0b0010
When Cryptographic extensions are implemented and enabled then AESE, AESD, AESMC, and
AESIMC instructions are implemented and also PMULL/PMULL2 instructions operating on 64-bit data
quantities.
When the CRYPTO configuration parameter is true and the CRYPTODISABLE input is low at reset
Cryptographic Extensions are implemented
[3:0] RES0 Reserved 0b0000
Access
MRS <Xt>, ID_AA64ISAR0_EL1
Accessibility
MRS <Xt>, ID_AA64ISAR0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 295 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
If ID_AA64ISAR1_EL1.{API, APA} == {0000, 0000}, then:
• The AArch64-TCR_EL1.{TBID,TBID0}, AArch64-TCR_EL2.{TBID0,TBID1}, AArch64-
TCR_EL2.TBID and AArch64-TCR_EL3.TBID bits are RES0.
• AArch64-APIAKeyHi_EL1, AArch64-APIAKeyLo_EL1, AArch64-APIBKeyHi_EL1, AArch64-
APIBKeyLo_EL1, AArch64-APDAKeyHi_EL1, AArch64-APDAKeyLo_EL1, AArch64-
APDBKeyHi_EL1, AArch64-APDBKeyLo_EL1 are not allocated.
• 'SCTLR_EL'.EnIA, 'SCTLR_EL'.EnIB, 'SCTLR_EL'.EnDA, 'SCTLR_EL'.EnDB are all RES0.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-85: AArch64_id_aa64isar1_el1 bit assignments
63 56 55 52 51 48 47 44 43 40 39 36 35 32
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 296 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 297 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_AA64ISAR1_EL1
Accessibility
MRS <Xt>, ID_AA64ISAR1_EL1
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 298 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-86: AArch64_id_aa64mmfr0_el1 bit assignments
63 44 43 40 39 36 35 32
RES0 TGran4_2
TGran64_2 TGran16_2
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 299 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_AA64MMFR0_EL1
Accessibility
MRS <Xt>, ID_AA64MMFR0_EL1
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 300 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-87: AArch64_id_aa64mmfr1_el1 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 301 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_AA64MMFR1_EL1
Accessibility
MRS <Xt>, ID_AA64MMFR1_EL1
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
Prior to the introduction of the features described by this register, this register was
unnamed and reserved, RES0 from EL1, EL2, and EL3.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 302 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-88: AArch64_id_aa64mmfr2_el1 bit assignments
63 60 59 56 55 52 51 48 47 44 43 40 39 36 35 32
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 303 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ID_AA64MMFR2_EL1
Accessibility
MRS <Xt>, ID_AA64MMFR2_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 304 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-89: AArch64_clidr_el1 bit assignments
63 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32
RES0
Ttype7 ICB
Ttype6 Ttype1
Ttype5 Ttype2
Ttype4 Ttype3
31 30 29 27 26 24 23 21 20 18 17 15 14 12 11 9 8 6 5 3 2 0
ICB LoUU LoC LoUIS Ctype7 Ctype6 Ctype5 Ctype4 Ctype3 Ctype2 Ctype1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 305 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b10
When L3 present, Unified Allocation Tag and Data cache at L3
[36:35] Ttype2 Tag cache type. Indicate the type of cache that is implemented and can be managed using the architected cache
maintenance instructions that operate by set/way at each level, from Level 1 up to a maximum of seven levels of
cache hierarchy.
0b10
Unified Allocation Tag and Data cache at L1
[34:33] Ttype1 Tag cache type. Indicate the type of cache that is implemented and can be managed using the architected cache
maintenance instructions that operate by set/way at each level, from Level 1 up to a maximum of seven levels of
cache hierarchy.
0b10
Unified Allocation Tag and Data cache at L1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 306 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b001
L1 cache is the highest Inner Cacheable level.
0b010
L2 cache is the highest Inner Cacheable level.
0b011
L3 cache is the highest Inner Cacheable level.
0b100
L4 cache is the highest Inner Cacheable level.
0b101
L5 cache is the highest Inner Cacheable level.
0b110
L6 cache is the highest Inner Cacheable level.
0b111
L7 cache is the highest Inner Cacheable level.
[29:27] LoUU Level of Unification Uniprocessor for the cache hierarchy.
0b000
Level of Unification Uniprocessor is before the L1 D-cache.
[26:24] LoC Level of Coherence for the cache hierarchy.
0b010
When no L3 present, Level 2
0b011
When L3 present, Level 3
[23:21] LoUIS Level of Unification Inner Shareable for the cache hierarchy.
0b000
No cache level needs cleaning to Point of Unification
[20:18] Ctype7 Cache Type fields. Indicate the type of cache that is implemented and can be managed using the architected
cache maintenance instructions that operate by set/way at each level, from Level 1 up to a maximum of seven
levels of cache hierarchy. Possible values of each field are:
0b000
No cache.
[17:15] Ctype6 Cache Type fields. Indicate the type of cache that is implemented and can be managed using the architected
cache maintenance instructions that operate by set/way at each level, from Level 1 up to a maximum of seven
levels of cache hierarchy. Possible values of each field are:
0b000
No cache.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 307 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b100
Unified instruction and data caches at L3
[5:3] Ctype2 Cache Type fields. Indicate the type of cache that is implemented and can be managed using the architected
cache maintenance instructions that operate by set/way at each level, from Level 1 up to a maximum of seven
levels of cache hierarchy. Possible values of each field are:
0b100
Unified instruction and data caches at L2
[2:0] Ctype1 Cache Type fields. Indicate the type of cache that is implemented and can be managed using the architected
cache maintenance instructions that operate by set/way at each level, from Level 1 up to a maximum of seven
levels of cache hierarchy. Possible values of each field are:
0b011
Separate instruction and data caches at L1
Access
MRS <Xt>, CLIDR_EL1
Accessibility
MRS <Xt>, CLIDR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 308 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
else
return CLIDR_EL1;
elsif PSTATE.EL == EL2 then
return CLIDR_EL1;
elsif PSTATE.EL == EL3 then
return CLIDR_EL1;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-90: AArch64_gmid_el1 bit assignments
63 32
RES0
31 4 3 0
RES0 BS
Access
MRS <Xt>, GMID_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 309 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, GMID_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-91: AArch64_ctr_el0 bit assignments
63 38 37 32
RES0 TminLine
31 30 29 28 27 24 23 20 19 16 15 14 13 4 3 0
DIC IDC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 310 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Note:
• For an implementation with cache lines containing 64 bytes of data and 4 Allocation Tags, this will be
log2(64/4) = 4.
• For an implementation with Allocations Tags in separate cache lines of 128 Allocation Tags per line, this
will be log2(128*16/4) = 9.
0b000100
Log2 of number of words (64/4=16) covered by Allocation Tags in the smallest cache line of all caches
[31] RES1 Reserved 0b1
[30] RES0 Reserved 0b0
[29] DIC Instruction cache invalidation requirements for data to instruction coherence.
0b0
When COHERENT_ICACHE not enabled, Instruction cache invalidation to the point of unification is
required for instruction to data coherence.
0b1
When COHERENT_ICACHE enabled, Instruction cache cleaning to the point of unification is not
required for instruction to data coherence.
[28] IDC Data cache clean requirements for instruction to data coherence. The meaning of this bit is:
0b1
Data cache clean to the Point of Unification is not required for instruction to data coherence.
[27:24] CWG Cache writeback granule. Log2 of the number of words of the maximum size of memory that can be
overwritten as a result of the eviction of a cache entry that has had a memory location in it modified.
0b0100
64 bytes.
[23:20] ERG Exclusives reservation granule, and, if TME is implemented, transactional reservation granule. Log2 of the
number of words of the maximum size of the reservation granule for the Load-Exclusive and Store-Exclusive
instructions, and, if TME is implemented, for detecting transactional conflicts.
0b0100
64 bytes.
[19:16] DminLine Log2 of the number of words in the smallest cache line of all the data caches and unified caches that are
controlled by the PE.
0b0100
64 bytes.
[15:14] L1Ip Level 1 instruction cache policy. Indicates the indexing and tagging policy for the L1 instruction cache. Possible
values of this field are:
0b11
Physical Index, Physical Tag (PIPT)
[13:4] RES0 Reserved 0x0
[3:0] IminLine Log2 of the number of words in the smallest cache line of all the instruction caches that are controlled by the
PE.
0b0100
64 bytes.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 311 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, CTR_EL0
Accessibility
MRS <Xt>, CTR_EL0
If ARMv8.5-MemTag is implemented, this register also indicates the granularity at which the rDC
GVA and rDC GZVA instructions write.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 312 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-92: AArch64_dczid_el0 bit assignments
63 32
RES0
31 5 4 3 0
RES0 BS
DZP
If ARMv8.5-MemTag is implemented, this field also indicates whether use of the rDC GVA and rDC GZVA
instructions are permitted or prohibited.
0b0
Instructions are permitted.
[3:0] BS Log2 of the block size in words. The maximum size supported is 2KB (value == 9).
0b0100
Log2 of the block size is 4
Access
MRS <Xt>, DCZID_EL0
Accessibility
MRS <Xt>, DCZID_EL0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 313 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
MPAMIDR_EL1 indicates the MPAM implementation parameters of the PE.
63 40 39 32
RES0 PMG_MAX
31 21 20 18 17 16 15 0
RES0 0 PARTID_MAX
VPMR_MAX HAS_HCR
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 314 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, MPAMIDR_EL1
Accessibility
MRS <Xt>, MPAMIDR_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 315 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Functional group
identification
Reset value
See individual bit resets.
Bit descriptions
Figure B-94: AArch64_imp_cpucfr_el1 bit assignments
63 32
RES0
31 3 2 1 0
RES0 0
no_scu core_cache_p
rotect
0b1
The SCU is not present.
[1] RES0 Reserved 0x0
[0] core_cache_protect Indicates whether ECC is present or not. Possible values of this field are:
0b0
ECC is not present.
0b1
ECC is present.
Access
MRS <Xt>, S3_0_C15_C0_0
Accessibility
MRS <Xt>, S3_0_C15_C0_0
return IMP_CPUCFR_EL1;
elsif PSTATE.EL == EL2 then
return IMP_CPUCFR_EL1;
elsif PSTATE.EL == EL3 then
return IMP_CPUCFR_EL1;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
performance-monitors
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 317 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-95: AArch64_pmmir_el1 bit assignments
63 32
RES0
31 8 7 0
RES0 SLOTS
Access
MRS <Xt>, PMMIR_EL1
Accessibility
MRS <Xt>, PMMIR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 318 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
performance-monitors
Reset value
See individual bit resets.
Bit descriptions
Figure B-96: AArch64_pmcr_el0 bit assignments
63 32
RES0
31 24 23 16 15 11 10 8 7 6 5 4 3 2 1 0
0b10100
When configured for 20 PMU counters, denotes 20 PMU counters implemented
[10:8] RES0 Reserved 0b000
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 319 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Event counter overflow on increment that causes unsigned overflow of AArch64-
PMEVCNTR<n>_EL0[63:0].
Note:
The effect of AArch64-MDCR_EL2.HPMN or AArch32-HDCR.HPMN on the operation of this bit always
applies if EL2 is implemented, at all Exception levels including EL2 and EL3, and regardless of whether
EL2 is enabled in the current Security state. For more information, see the description of AArch64-
MDCR_EL2.HPMN or AArch32-HDCR.HPMN.
[6] LC Long cycle counter enable. Determines when unsigned overflow is recorded by the cycle counter overflow
bit.
0b0
Cycle counter overflow on increment that causes unsigned overflow of AArch64-
PMCCNTR_EL0[31:0].
0b1
Cycle counter overflow on increment that causes unsigned overflow of AArch64-
PMCCNTR_EL0[63:0].
0b1
When event counting for counters in the range [0..(AArch64-MDCR_EL2.HPMN-1)] is prohibited,
cycle counting by AArch64-PMCCNTR_EL0 is disabled.
[4] RES0 Reserved 0b0
[3] D Clock divider.
0b0
When enabled, AArch64-PMCCNTR_EL0 counts every clock cycle.
0b1
When enabled, AArch64-PMCCNTR_EL0 counts once every 64 clock cycles.
If PMCR_EL0.LC == 1, this bit is ignored and the cycle counter counts every clock cycle.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 320 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Reset AArch64-PMCCNTR_EL0 to zero.
Note:
Resetting AArch64-PMCCNTR_EL0 does not change the cycle counter overflow bit.
The value of PMCR_EL0.LC is ignored, and bits [63:0] of all affected event counters are reset.
[1] P Event counter reset. The effects of writing to this bit are:
0b0
No action.
0b1
Reset all event counters accessible in the current Exception level, not including AArch64-
PMCCNTR_EL0, to zero.
In EL2 and EL3, a write of 1 to this bit resets all the event counters.
Note:
Resetting the event counters does not change the event counter overflow bits.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 321 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
All event counters in the range [0..(PMN-1)] and AArch64-PMCCNTR_EL0, are enabled by
AArch64-PMCNTENSET_EL0.
Note:
The effect of AArch64-MDCR_EL2.HPMN or AArch32-HDCR.HPMN on the operation of this bit always
applies if EL2 is implemented, at all Exception levels including EL2 and EL3, and regardless of whether
EL2 is enabled in the current Security state. For more information, see the description of AArch64-
MDCR_EL2.HPMN or AArch32-HDCR.HPMN.
Access
MRS <Xt>, PMCR_EL0
Accessibility
MRS <Xt>, PMCR_EL0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 322 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL2, 0x18);
elsif EL2Enabled() && MDCR_EL2.TPMCR == '1' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif MDCR_EL3.TPM == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
return PMCR_EL0;
elsif PSTATE.EL == EL2 then
if MDCR_EL3.TPM == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
return PMCR_EL0;
elsif PSTATE.EL == EL3 then
return PMCR_EL0;
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 323 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
When the value of a bit in the register is 1 the corresponding common event is implemented
and counted. Arm recommends that, if a common event is never counted, the value of the
corresponding register bit is 0. For more information about the common events and the use of the
PMCEID<n>_EL0 registers see 'The PMU event number space and common events'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
performance-monitors
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 324 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-97: AArch64_pmceid0_el0 bit assignments
63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32
IDhi31 IDhi0
IDhi30 IDhi1
IDhi29 IDhi2
IDhi28 IDhi3
IDhi27 IDhi4
IDhi26 IDhi5
IDhi25 IDhi6
IDhi24 IDhi7
IDhi23 IDhi8
IDhi22 IDhi9
IDhi21 IDhi10
IDhi20 IDhi11
IDhi19 IDhi12
IDhi18 IDhi13
IDhi17 IDhi14
IDhi16 IDhi15
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
ID31 ID0
ID30 ID1
ID29 ID2
ID28 ID3
ID27 ID4
ID26 ID5
ID25 ID6
ID24 ID7
ID23 ID8
ID22 ID9
ID21 ID10
ID20 ID11
ID19 ID12
ID18 ID13
ID17 ID14
ID16 ID15
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 325 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 326 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 327 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 328 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 329 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, PMCEID0_EL0
Accessibility
MRS <Xt>, PMCEID0_EL0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 330 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
When the value of a bit in the register is 1 the corresponding common event is implemented
and counted. Arm recommends that, if a common event is never counted, the value of the
corresponding register bit is 0. For more information about the common events and the use of the
PMCEID<n>_EL0 registers see 'The PMU event number space and common events'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
performance-monitors
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 331 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-98: AArch64_pmceid1_el0 bit assignments
63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32
IDhi31 IDhi0
IDhi30 IDhi1
IDhi29 IDhi2
IDhi28 IDhi3
IDhi27 IDhi4
IDhi26 IDhi5
IDhi25 IDhi6
IDhi24 IDhi7
IDhi23 IDhi8
IDhi22 IDhi9
IDhi21 IDhi10
IDhi20 IDhi11
IDhi19 IDhi12
IDhi18 IDhi13
IDhi17 IDhi14
IDhi16 IDhi15
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
ID31 ID0
ID30 ID1
ID29 ID2
ID28 ID3
ID27 ID4
ID26 ID5
ID25 ID6
ID24 ID7
ID23 ID8
ID22 ID9
ID21 ID10
ID20 ID11
ID19 ID12
ID18 ID13
ID17 ID14
ID16 ID15
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 332 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 333 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 334 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 335 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 336 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, PMCEID1_EL0
Accessibility
MRS <Xt>, PMCEID1_EL0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 337 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
gic
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 338 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-99: AArch64_icc_ctlr_el1 bit assignments
63 32
RES0
31 20 19 18 17 16 15 14 13 11 10 8 7 6 5 2 1 0
ExtRange CBPR
RSS EOImode
A3V PMHE
SEIS PRIbits
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 339 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
An implementation that supports two Security states must implement at least 32 levels of physical priority (5
priority bits).
An implementation that supports only a single Security state must implement at least 16 levels of physical
priority (4 priority bits).
Note:
This field always returns the number of priority bits implemented, regardless of the Security state of the
access or the value of ext-GICD_CTLR.DS.
For physical accesses, this field determines the minimum value of AArch64-ICC_BPR0_EL1.
0b1
Enables use of AArch64-ICC_PMR_EL1 as a hint for interrupt distribution.
If EL3 is implemented, this bit is an alias of AArch64-ICC_CTLR_EL3.PMHE. Whether this bit can be written
as part of an access to this register depends on the value of ext-GICD_CTLR.DS:
• If ext-GICD_CTLR.DS == 0, this bit is read-only.
• If ext-GICD_CTLR.DS == 1, this bit is read/write.
[5:2] RES0 Reserved 0b0000
[1] EOImode EOI mode for the current Security state. Controls whether a write to an End of Interrupt register also
deactivates the interrupt:
0b0
AArch64-ICC_EOIR0_EL1 and AArch64-ICC_EOIR1_EL1 provide both priority drop and interrupt
deactivation functionality. Accesses to AArch64-ICC_DIR_EL1 are UNPREDICTABLE.
0b1
AArch64-ICC_EOIR0_EL1 and AArch64-ICC_EOIR1_EL1 provide priority drop functionality only.
AArch64-ICC_DIR_EL1 provides interrupt deactivation functionality.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 340 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
AArch64-ICC_BPR0_EL1 determines the preemption group for both Group 0 and Group 1 interrupts.
If EL3 is implemented:
• This bit is an alias of AArch64-ICC_CTLR_EL3.CBPR_EL1{S,NS} where S or NS corresponds to the
current Security state.
• If ext-GICD_CTLR.DS == 0, this bit is read-only.
• If ext-GICD_CTLR.DS == 1, this bit is read/write.
Access
MRS <Xt>, ICC_CTLR_EL1
Accessibility
MRS <Xt>, ICC_CTLR_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
gic
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 342 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-100: AArch64_icv_ctlr_el1 bit assignments
63 32
RES0
31 20 19 18 17 16 15 14 13 11 10 8 7 2 1 0
Note:
This field always returns the number of priority bits implemented.
The division between group priority and subpriority is defined in the binary point registers AArch64-
ICV_BPR0_EL1 and AArch64-ICV_BPR1_EL1.
0b100
5 bits of priority are implemented
[7:2] RES0 Reserved 0b000000
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 343 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
AArch64-ICV_EOIR0_EL1 and AArch64-ICV_EOIR1_EL1 provide priority drop functionality only.
AArch64-ICV_DIR_EL1 provides interrupt deactivation functionality.
[0] CBPR Common Binary Point Register. Controls whether the same register is used for interrupt preemption of
both virtual Group 0 and virtual Group 1 interrupts:
0b0
AArch64-ICV_BPR1_EL1 determines the preemption group for virtual Group 1 interrupts.
0b1
Reads of AArch64-ICV_BPR1_EL1 return AArch64-ICV_BPR0_EL1 plus one, saturated to 0b111.
Writes to AArch64-ICV_BPR1_EL1 are ignored.
Access
MRS <Xt>, ICC_CTLR_EL1
Accessibility
MRS <Xt>, ICC_CTLR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 344 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
return ICC_CTLR_EL1_S;
else
return ICC_CTLR_EL1_NS;
elsif PSTATE.EL == EL3 then
if SCR_EL3.NS == '0' then
return ICC_CTLR_EL1_S;
else
return ICC_CTLR_EL1_NS;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
gic
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 345 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-101: AArch64_icc_ap0r0_el1 bit assignments
63 32
RES0
31 0
P<x>
0b1
There is a Group 0 interrupt active with this priority level which has not undergone priority drop.
There are 32 preemption levels, and the active state of these preemption levels are held in the bits corresponding
to Priority[7:3].
The contents of these registers are IMPLEMENTATION DEFINED with the one architectural
requirement that the value 0x00000000 is consistent with no interrupts being active.
Access
MRS <Xt>, ICC_AP0R0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 346 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
gic
Reset value
See individual bit resets.
Bit descriptions
Figure B-102: AArch64_icv_ap0r0_el1 bit assignments
63 32
RES0
31 0
P<x>
0b1
There is a Group 0 interrupt active with this priority level which has not undergone priority drop.
There are 32 preemption levels, and the active state of these preemption levels are held in the bits corresponding
to Priority[7:3].
The contents of these registers are IMPLEMENTATION DEFINED with the one architectural
requirement that the value 0x00000000 is consistent with no interrupts being active.
Access
MRS <Xt>, ICC_AP0R0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 347 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
gic
Reset value
See individual bit resets.
Bit descriptions
Figure B-103: AArch64_icc_ap1r0_el1 bit assignments
63 32
RES0
31 0
P<x>
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 348 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
There is a Group 1 interrupt active with this priority level which has not undergone priority drop.
There are 32 preemption levels, and the active state of these preemption levels are held in the bits corresponding
to Priority[7:3].
When accessed from non-secure EL2 or EL1, only the 16 lowest-priority interrupts are visible in bits [15:0] of this
register.
The contents of these registers are IMPLEMENTATION DEFINED with the one architectural
requirement that the value 0x00000000 is consistent with no interrupts being active.
Access
MRS <Xt>, ICC_AP1R0_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
gic
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 349 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Reset value
See individual bit resets.
Bit descriptions
Figure B-104: AArch64_icv_ap1r0_el1 bit assignments
63 32
RES0
31 0
P<x>
0b1
There is a Group 1 interrupt active with this priority level which has not undergone priority drop.
There are 32 preemption levels, and the active state of these preemption levels are held in the bits corresponding
to Priority[7:3].
The contents of these registers are IMPLEMENTATION DEFINED with the one architectural
requirement that the value 0x00000000 is consistent with no interrupts being active.
Access
MRS <Xt>, ICC_AP1R0_EL1
Configurations
If EL2 is not implemented, all bits in this register are RES0 from EL3, except for nV4, which is RES1
from EL3.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 350 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
gic
Reset value
See individual bit resets.
Bit descriptions
Figure B-105: AArch64_ich_vtr_el2 bit assignments
63 32
RES0
31 29 28 26 25 23 22 21 20 19 18 5 4 0
PRIbits TDS
PREbits nV4
SEIS A3V
An implementation must implement at least 32 levels of virtual preemption priority (5 preemption bits).
The value of this field must be less than or equal to the value of ICH_VTR_EL2.PRIbits.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 351 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ICH_VTR_EL2
Accessibility
MRS <Xt>, ICH_VTR_EL2
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 352 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
gic
Reset value
See individual bit resets.
Bit descriptions
Figure B-106: AArch64_icc_ctlr_el3 bit assignments
63 32
RES0
31 20 19 18 17 16 15 14 13 11 10 8 7 6 5 4 3 2 1 0
RES0 0 IDbits 0 0
ExtRange CBPR_EL1S
RSS CBPR_EL1NS
nDS EOImode_EL3
A3V EOImode_EL1S
SEIS EOImode_EL1NS
PRIbits PMHE
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 353 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
An implementation that supports two Security states must implement at least 32 levels of physical
priority (5 priority bits).
An implementation that supports only a single Security state must implement at least 16 levels of
physical priority (4 priority bits).
Note:
This field always returns the number of priority bits implemented, regardless of the value of
SCR_EL3.NS or the value of ext-GICD_CTLR.DS.
The division between group priority and subpriority is defined in the binary point registers AArch64-
ICC_BPR0_EL1 and AArch64-ICC_BPR1_EL1.
0b1
Enables use of the priority mask register as a hint for interrupt distribution.
0b1
AArch64-ICC_EOIR0_EL1 and AArch64-ICC_EOIR1_EL1 provide priority drop functionality
only. AArch64-ICC_DIR_EL1 provides interrupt deactivation functionality.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 354 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
AArch64-ICC_EOIR0_EL1 and AArch64-ICC_EOIR1_EL1 provide priority drop functionality
only. AArch64-ICC_DIR_EL1 provides interrupt deactivation functionality.
0b1
AArch64-ICC_EOIR0_EL1 and AArch64-ICC_EOIR1_EL1 provide priority drop functionality
only. AArch64-ICC_DIR_EL1 provides interrupt deactivation functionality.
[1] CBPR_EL1NS Common Binary Point Register, EL1 Non-secure. Controls whether the same register is used for
interrupt preemption of both Group 0 and Group 1 Non-secure interrupts at EL1 and EL2.
0b0
AArch64-ICC_BPR0_EL1 determines the preemption group for Group 0 interrupts only.
0b1
AArch64-ICC_BPR0_EL1 determines the preemption group for Group 0 interrupts and Non-
secure Group 1 interrupts. Non-secure accesses to ext-GICC_BPR and AArch64-ICC_BPR1_EL1
access the state of AArch64-ICC_BPR0_EL1.
0b1
AArch64-ICC_BPR0_EL1 determines the preemption group for Group 0 interrupts and Secure
Group 1 interrupts. Secure EL1 accesses to AArch64-ICC_BPR1_EL1 access the state of
AArch64-ICC_BPR0_EL1.
Access
MRS <Xt>, ICC_CTLR_EL3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 355 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ICC_CTLR_EL3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 356 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Bit descriptions
Figure B-107: AArch64_amevtyper10_el0 bit assignments
63 32
RES0
31 16 15 0
RES0 evtCount
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 357 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
If software writes a value to this field which is not supported by the corresponding counter AArch64-
AMEVCNTR1<n>_EL0, then:
• It is UNPREDICTABLE which event will be counted.
• The value read back is UNKNOWN.
The event counted by AArch64-AMEVCNTR1<n>_EL0 might be fixed at implementation. In this case, the field
is read-only and writes are UNDEFINED.
Access
MRS <Xt>, AMEVTYPER10_EL0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 358 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-108: AArch64_amevtyper11_el0 bit assignments
63 32
RES0
31 16 15 0
RES0 evtCount
If software writes a value to this field which is not supported by the corresponding counter AArch64-
AMEVCNTR1<n>_EL0, then:
• It is UNPREDICTABLE which event will be counted.
• The value read back is UNKNOWN.
The event counted by AArch64-AMEVCNTR1<n>_EL0 might be fixed at implementation. In this case, the field
is read-only and writes are UNDEFINED.
Access
MRS <Xt>, AMEVTYPER11_EL0
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 359 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Bit descriptions
Figure B-109: AArch64_amevtyper12_el0 bit assignments
63 32
RES0
31 16 15 0
RES0 evtCount
If software writes a value to this field which is not supported by the corresponding counter AArch64-
AMEVCNTR1<n>_EL0, then:
• It is UNPREDICTABLE which event will be counted.
• The value read back is UNKNOWN.
The event counted by AArch64-AMEVCNTR1<n>_EL0 might be fixed at implementation. In this case, the field
is read-only and writes are UNDEFINED.
Access
MRS <Xt>, AMEVTYPER12_EL0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 360 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Provides information on supported features, the number of counter groups implemented, the
total number of activity monitor event counters implemented, and the size of the counters.
AMCFGR_EL0 is applicable to both the architected and the auxiliary counter groups.
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Bit descriptions
Figure B-110: AArch64_amcfgr_el0 bit assignments
63 32
RES0
31 28 27 25 24 23 14 13 8 7 0
HDBG
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 361 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
The size of the activity monitor event counters implemented by the activity monitors Extension is defined as
[AMCFGR_EL0.SIZE + 1].
From Armv8, the counters are 64-bit, and so this field is 111111.
Note:
Software also uses this field to determine the spacing of counters in the memory-map. From Armv8, the
counters are at doubleword-aligned addresses.
0b111111
64 bits.
[7:0] N Defines the number of activity monitor event counters.
The total number of counters implemented in all groups by the Activity Monitors Extension is defined as
[AMCFGR_EL0.N + 1].
0b00000110
Seven activity monitor event counters
Access
MRS <Xt>, AMCFGR_EL0
Accessibility
MRS <Xt>, AMCFGR_EL0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 362 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Bit descriptions
Figure B-111: AArch64_amcgcr_el0 bit assignments
63 32
RES0
31 16 15 8 7 0
Access
MRS <Xt>, AMCGCR_EL0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 363 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, AMCGCR_EL0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 364 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-112: AArch64_amevtyper00_el0 bit assignments
63 32
RES0
31 16 15 0
RES0 evtCount
Access
MRS <Xt>, AMEVTYPER00_EL0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 365 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-113: AArch64_amevtyper01_el0 bit assignments
63 32
RES0
31 16 15 0
RES0 evtCount
Access
MRS <Xt>, AMEVTYPER01_EL0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 366 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-114: AArch64_amevtyper02_el0 bit assignments
63 32
RES0
31 16 15 0
RES0 evtCount
Access
MRS <Xt>, AMEVTYPER02_EL0
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
activity-monitors
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 367 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-115: AArch64_amevtyper03_el0 bit assignments
63 32
RES0
31 16 15 0
RES0 evtCount
Access
MRS <Xt>, AMEVTYPER03_EL0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 368 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Bit descriptions
Figure B-116: AArch64_trcidr8 bit assignments
63 32
RES0
31 0
MAXSPEC
Access
MRS <Xt>, TRCIDR8
Accessibility
MRS <Xt>, TRCIDR8
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 369 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Bit descriptions
Figure B-117: AArch64_trcimspec0 bit assignments
63 32
RES0
31 4 3 0
RES0 SUPPORT
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 370 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, TRCIMSPEC0
Accessibility
MRS <Xt>, TRCIMSPEC0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 371 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL3, 0x18);
else
TRCIMSPEC0 = X[t];
elsif PSTATE.EL == EL2 then
if CPTR_EL2.TTA == '1' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif CPTR_EL3.TTA == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
TRCIMSPEC0 = X[t];
elsif PSTATE.EL == EL3 then
if CPTR_EL3.TTA == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
TRCIMSPEC0 = X[t];
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Bit descriptions
Figure B-118: AArch64_trcidr2 bit assignments
63 32
RES0
31 30 29 28 25 24 15 14 10 9 5 4 0
WFXMODE VMIDOPT
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 372 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, TRCIDR2
Accessibility
MRS <Xt>, TRCIDR2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 373 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Bit descriptions
Figure B-119: AArch64_trcidr3 bit assignments
63 32
RES0
31 30 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 0
0 RES0 CCITMIN
NOOVERFLOW NUMPROC[4:3]
NUMPROC[2:0] EXLEVEL_S_EL0
SYSSTALL EXLEVEL_S_EL1
STALLCTL EXLEVEL_S_EL2
SYNCPR EXLEVEL_S_EL3
TRCERR EXLEVEL_NS_EL0
EXLEVEL_NS_EL2 EXLEVEL_NS_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 374 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, TRCIDR3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 375 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, TRCIDR3
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 376 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-120: AArch64_trcidr4 bit assignments
63 32
RES0
31 28 27 24 23 20 19 16 15 12 11 9 8 7 4 3 0
Access
MRS <Xt>, TRCIDR4
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 377 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, TRCIDR4
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 378 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-121: AArch64_trcidr5 bit assignments
63 32
RES0
31 30 28 27 25 24 23 22 21 16 15 12 11 9 8 0
Access
MRS <Xt>, TRCIDR5
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 379 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, TRCIDR5
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 380 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-122: AArch64_trcidr10 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, TRCIDR10
Accessibility
MRS <Xt>, TRCIDR10
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 381 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
0x0
Bit descriptions
Figure B-123: AArch64_trcidr11 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, TRCIDR11
Accessibility
MRS <Xt>, TRCIDR11
else
return TRCIDR11;
elsif PSTATE.EL == EL2 then
if CPTR_EL2.TTA == '1' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif CPTR_EL3.TTA == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
return TRCIDR11;
elsif PSTATE.EL == EL3 then
if CPTR_EL3.TTA == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
return TRCIDR11;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
0x0
Bit descriptions
Figure B-124: AArch64_trcidr12 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, TRCIDR12
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 383 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, TRCIDR12
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 384 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-125: AArch64_trcidr13 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, TRCIDR13
Accessibility
MRS <Xt>, TRCIDR13
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 385 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Bit descriptions
Figure B-126: AArch64_trcidr0 bit assignments
63 32
RES0
31 30 29 28 24 23 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 386 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, TRCIDR0
Accessibility
MRS <Xt>, TRCIDR0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 387 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL1, 0x18);
elsif EL2Enabled() && CPTR_EL2.TTA == '1' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif EL2Enabled() && SCR_EL3.FGTEn == '1' && HDFGRTR_EL2.TRCID == '1' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif CPTR_EL3.TTA == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
return TRCIDR0;
elsif PSTATE.EL == EL2 then
if CPTR_EL2.TTA == '1' then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif CPTR_EL3.TTA == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
return TRCIDR0;
elsif PSTATE.EL == EL3 then
if CPTR_EL3.TTA == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
return TRCIDR0;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Bit descriptions
Figure B-127: AArch64_trcidr1 bit assignments
63 32
RES0
31 24 23 16 15 12 11 8 7 4 3 0
TRCARCHMAJ TRCARCHMIN
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 388 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, TRCIDR1
Accessibility
MRS <Xt>, TRCIDR1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 389 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
trace
Reset value
See individual bit resets.
Bit descriptions
Figure B-128: AArch64_trccidcvr0 bit assignments
63 32
VALUE
31 0
VALUE
Access
MRS <Xt>, TRCCIDCVR0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 390 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
mpam
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 391 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-129: AArch64_mpamvpmv_el2 bit assignments
63 32
RES0
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
VPM_V31 VPM_V0
VPM_V30 VPM_V1
VPM_V29 VPM_V2
VPM_V28 VPM_V3
VPM_V27 VPM_V4
VPM_V26 VPM_V5
VPM_V25 VPM_V6
VPM_V24 VPM_V7
VPM_V23 VPM_V8
VPM_V22 VPM_V9
VPM_V21 VPM_V10
VPM_V20 VPM_V11
VPM_V19 VPM_V12
VPM_V18 VPM_V13
VPM_V17 VPM_V14
VPM_V16 VPM_V15
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 392 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, MPAMVPMV_EL2
Accessibility
MRS <Xt>, MPAMVPMV_EL2
NVMem[0x938] = X[t];
elsif EL2Enabled() && HCR_EL2.NV == '1' then
if MPAM3_EL3.TRAPLOWER == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
AArch64.SystemAccessTrap(EL2, 0x18);
else
UNDEFINED;
elsif PSTATE.EL == EL2 then
if MPAM3_EL3.TRAPLOWER == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
MPAMVPMV_EL2 = X[t];
elsif PSTATE.EL == EL3 then
MPAMVPMV_EL2 = X[t];
Configurations
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
mpam
Reset value
See individual bit resets.
Bit descriptions
Figure B-130: AArch64_mpamvpm0_el2 bit assignments
63 48 47 32
PhyPARTID3 PhyPARTID2
31 16 15 0
PhyPARTID1 PhyPARTID0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 394 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, MPAMVPM0_EL2
Accessibility
MRS <Xt>, MPAMVPM0_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 395 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL3, 0x18);
else
AArch64.SystemAccessTrap(EL2, 0x18);
else
UNDEFINED;
elsif PSTATE.EL == EL2 then
if MPAM3_EL3.TRAPLOWER == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
MPAMVPM0_EL2 = X[t];
elsif PSTATE.EL == EL3 then
MPAMVPM0_EL2 = X[t];
Configurations
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
mpam
Reset value
See individual bit resets.
Bit descriptions
Figure B-131: AArch64_mpamvpm1_el2 bit assignments
63 48 47 32
PhyPARTID7 PhyPARTID6
31 16 15 0
PhyPARTID5 PhyPARTID4
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 396 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, MPAMVPM1_EL2
Accessibility
MRS <Xt>, MPAMVPM1_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 397 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL3, 0x18);
else
AArch64.SystemAccessTrap(EL2, 0x18);
else
UNDEFINED;
elsif PSTATE.EL == EL2 then
if MPAM3_EL3.TRAPLOWER == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
MPAMVPM1_EL2 = X[t];
elsif PSTATE.EL == EL3 then
MPAMVPM1_EL2 = X[t];
Configurations
This register has no effect if EL2 is not enabled in the current Security state.
Attributes
Width
64
Functional group
mpam
Reset value
See individual bit resets.
Bit descriptions
Figure B-132: AArch64_mpamvpm7_el2 bit assignments
63 48 47 32
PhyPARTID31 PhyPARTID30
31 16 15 0
PhyPARTID29 PhyPARTID28
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 398 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, MPAMVPM7_EL2
Accessibility
MRS <Xt>, MPAMVPM7_EL2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 399 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL3, 0x18);
else
AArch64.SystemAccessTrap(EL2, 0x18);
else
UNDEFINED;
elsif PSTATE.EL == EL2 then
if MPAM3_EL3.TRAPLOWER == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
MPAMVPM7_EL2 = X[t];
elsif PSTATE.EL == EL3 then
MPAMVPM7_EL2 = X[t];
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 400 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Functional group
ras
Reset value
See individual bit resets.
Bit descriptions
Figure B-133: AArch64_erridr_el1 bit assignments
63 32
RES0
31 16 15 0
RES0 NUM
Each implemented record is owned by a node. A node might own multiple records.
0b0000000000000010
Two Records Present.
Access
MRS <Xt>, ERRIDR_EL1
Accessibility
MRS <Xt>, ERRIDR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 401 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
If AArch64-ERRIDR_EL1 indicates that zero error records are implemented, then it is
IMPLEMENTATION DEFINED whether ERRSELR_EL1 is UNDEFINED or RES0.
Attributes
Width
64
Functional group
ras
Reset value
See individual bit resets.
Bit descriptions
Figure B-134: AArch64_errselr_el1 bit assignments
63 32
RES0
31 16 15 1 0
RES0 RES0
SEL
0b1
Selects record 1, containing errors from Core RAMs
Access
MRS <Xt>, ERRSELR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 402 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ERRSELR_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 403 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Functional group
ras
Reset value
See individual bit resets.
Bit descriptions
Figure B-135: AArch64_erxfr_el1 bit assignments
63 32
RES0
31 26 25 24 23 22 21 20 19 18 17 16 15 14 12 11 10 9 8 7 6 5 4 3 2 1 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 404 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ERXFR_EL1
Accessibility
MRS <Xt>, ERXFR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 405 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
return ERXFR_EL1;
elsif PSTATE.EL == EL2 then
if SCR_EL3.TERR == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
return ERXFR_EL1;
elsif PSTATE.EL == EL3 then
return ERXFR_EL1;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
ras
Reset value
0x0
Bit descriptions
Figure B-136: AArch64_erxctlr_el1 bit assignments
63 32
RES0
31 9 8 7 4 3 2 1 0
RES0 RES0 FI UI 0 ED
CFI
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 406 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
This control applies to errors arising from both reads and writes.
The fault handling interrupt is generated when one of the standard CE counters on ERXMISC0_EL1 overflows
and the overflow bit is set. The possible values are:
0b0
Fault handling interrupt not generated for Corrected errors.
0b1
Fault handling interrupt generated for Corrected errors.
The interrupt is generated even if the error syndrome is discarded because the error record already records a
higher priority error.
This control applies to errors arising from both reads and writes.
The fault handling interrupt is generated for all detected Deferred errors and Uncorrected errors. The possible
values are:
0b0
Fault handling interrupt disabled.
0b1
Fault handling interrupt enabled.
The interrupt is generated even if the error syndrome is discarded because the error record already records a
higher priority error.
This control applies to errors arising from both reads and writes.
When enabled, the error recovery interrupt is generated for all detected Uncorrected errors that are not
deferred.
0b0
Error recovery interrupt disabled.
0b1
Error recovery interrupt enabled.
The interrupt is generated even if the error syndrome is discarded because the error record already records a
higher priority error.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 407 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Error detection and correction enabled.
Access
MRS <Xt>, ERXCTLR_EL1
Accessibility
MRS <Xt>, ERXCTLR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 408 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
ERXCTLR_EL1 = X[t];
elsif PSTATE.EL == EL2 then
if SCR_EL3.TERR == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
ERXCTLR_EL1 = X[t];
elsif PSTATE.EL == EL3 then
ERXCTLR_EL1 = X[t];
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
ras
Reset value
0x0
Bit descriptions
Figure B-137: AArch64_erxstatus_el1 bit assignments
63 32
RES0
31 30 29 28 27 26 25 24 23 22 21 20 19 5 4 0
0b1
ERXADDR_EL1 contains an address associated with the highest priority error recorded by this record.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 409 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
ERXSTATUS_EL1 valid. At least one error has been recorded.
0b1
At least one detected error was not corrected and not deferred.
When clearing ERXSTATUS_EL1.V to 0, if this bit is nonzero, then Arm recommends that software write 1 to this
bit to clear this bit to zero.
0b1
An External Abort was signaled by the node to the master making the access or other transaction.
Note:
An External Abort signaled by the node might be masked and not generate any exception.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 410 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
If UE == 0 and DE == 1, then no error status for a Deferred error has been discarded.
If UE == 0, DE == 0, and CE !== 0b00, then the corrected error counter has not overflowed.
0b1
More than one error has occurred and so details of the other error have been discarded.
When clearing ERXSTATUS_EL1.V to 0, if this bit is nonzero, then Arm recommends that software write 1 to this
bit to clear this bit to zero.
0b1
This bit indicates that the ERXMISC<m>_EL1 registers contain additional information for an error recorded
by this record.
Note:
If the ERXMISC<m>_EL1 registers can contain additional information for a previously recorded error, then the
contents must be self-describing to software or a user. For example, certain fields might relate only to Corrected
errors, and other fields only to the most recent error that was not discarded.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 411 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b01
At least one transient error was corrected.
0b10
At least one error was corrected.
0b11
At least one persistent error was corrected.
When clearing ERXSTATUS_EL1.V to 0, if this field is nonzero, then Arm recommends that software write ones to
this field to clear this field to zero.
This field is read/write-ones-to-clear. Writing a value other than all-zeros or all-ones sets this field to an
UNKNOWN value.
0b1
At least one error was not corrected and deferred.
When clearing ERXSTATUS_EL1.V to 0, if this bit is nonzero, then Arm recommends that software write 1 to this
bit to clear this bit to zero.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 412 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
When clearing ERXSTATUS_EL1.V to 0, if this bit is nonzero, then Arm recommends that software write 1 to this
bit to clear this bit to zero.
This bit is not valid and reads UNKNOWN if any of the following are true:
• ERXSTATUS_EL1.V == 0.
• ERXSTATUS_EL1.{DE,UE} == {0,0}.
The primary error code might be used by a fault handling agent to triage an error without requiring device-specific
code. For example, to count and threshold corrected errors in software, or generate a short log entry.
0b00010
ECC error from internal data buffer.
0b00110
ECC error on cache data RAM.
0b00111
ECC error on cache tag or dirty RAM.
0b01000
Parity error on TLB data RAM.
0b10010
Error response for a cache copyback.
0b10101
Deferred error from slave not supported at the consumer. For example, poisoned data received from a
slave by a master that cannot defer the error further.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 413 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ERXSTATUS_EL1
Accessibility
MRS <Xt>, ERXSTATUS_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 414 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
ras
Reset value
See individual bit resets.
Bit descriptions
Figure B-138: AArch64_erxaddr_el1 bit assignments
63 62 52 51 32
NS RES0 PADDR
31 0
PADDR
0b1
The address is Non-secure.
Access
MRS <Xt>, ERXADDR_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 415 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ERXADDR_EL1
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 416 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
ras
Reset value
See individual bit resets.
Bit descriptions
Figure B-139: AArch64_erxpfgf_el1 bit assignments
63 32
RES0
31 30 29 28 13 12 11 10 9 8 7 6 5 4 3 2 1 0
R RES0 MV AV PN ER CI CE DE UC OF
Additional syndrome injection. Defines whether software can control all or part of the syndrome recorded in the
ERXMISC<m>_EL1 registers when an injected error is recorded.
It is IMPLEMENTATION DEFINED which syndrome fields in ERXMISC<m>_EL1 this refers to, as some fields might
always be recorded by an error. For example, a Corrected Error counter.
0b0
When an injected error is recorded, the node might record IMPLEMENTATION DEFINED
additional syndrome in ERXMISC<m>_EL1. If any syndrome is recorded in ERXMISC<m>_EL1, then
ERXSTATUS_EL1.MV is set to 0b1.
Note:
If ERR<n>PFGF.MV == 1, software can write specific values into the ERR<n>MISC<m> registers when setting up
a fault injection event. The values that can be written to these registers are IMPLEMENTATION DEFINED.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 417 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
This behavior replaces the architecture-defined rules for setting the CI bit.
[7:6] CE Corrected Error generation. The value is:
0b01
The fault generation feature of the node allows generation of a non-specific Corrected Error, that is, a
Corrected Error that is recorded as ERXSTATUS_EL1.CE == 0b10.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 418 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Access
MRS <Xt>, ERXPFGF_EL1
Accessibility
MRS <Xt>, ERXPFGF_EL1
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
ras
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 419 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-140: AArch64_erxpfgctl_el1 bit assignments
63 32
RES0
31 30 29 8 7 6 5 4 2 1 0
R RES0 CE DE RES0 UC 0
CDNEN
0b1
The Error Generation Counter is enabled. On a write of 0b1 to this bit, the Error Generation Counter is
set to ERXPFGCDN_EL1.CDN.
0b1
On reaching 0, the Error Generation Counter is set to ERXPFGCDN_EL1.CDN.
0b01
A non-specific Corrected Error, that is, a Corrected Error that is recorded as ERXSTATUS_EL1.CE ==
0b10, might be generated when the Error Generation Counter decrements to zero.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 420 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
An error of this type might be generated when the Error Generation Counter decrements to zero.
0b1
An error of this type might be generated when the Error Generation Counter decrements to zero.
Access
MRS <Xt>, ERXPFGCTL_EL1
Accessibility
MRS <Xt>, ERXPFGCTL_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 421 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
return ERXPFGCTL_EL1;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
ras
Reset value
See individual bit resets.
Bit descriptions
Figure B-141: AArch64_erxpfgcdn_el1 bit assignments
63 32
RES0
31 0
CDN
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 422 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Note:
The current Error Generation Counter value is not visible to software.
Access
MRS <Xt>, ERXPFGCDN_EL1
Accessibility
MRS <Xt>, ERXPFGCDN_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 423 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
ras
Reset value
See individual bit resets.
Bit descriptions
Figure B-142: AArch64_erxmisc0_el1 bit assignments
63 48 47 46 40 39 38 32
OFO OFR
31 28 27 26 25 24 23 22 19 18 6 5 4 3 0
SUBBANK ARRAY
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 424 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
0b1
Other counter has overflowed.
A direct write that modifies this bit might indirectly set ERXSTATUS_EL1.OF to an UNKNOWN value and a
direct write to ERXSTATUS_EL1.OF that clears it to zero might indirectly set this bit to an UNKNOWN value.
0b1
Repeat counter has overflowed.
A direct write that modifies this bit might indirectly set ERXSTATUS_EL1.OF to an UNKNOWN value and a
direct write to ERXSTATUS_EL1.OF that clears it to zero might indirectly set this bit to an UNKNOWN value.
This field resets to an IMPLEMENTATION DEFINED which might be UNKNOWN on a Cold reset. If the reset
value is UNKNOWN, then the value of this field remains UNKNOWN until software initializes it.
[L2 TLB]
• Indicates which RAM detected an error. The possible values are 0 (RAM 1) to 9 (RAM 10).
[L2 Cache]
• Indicates which way detected the error. Upper 1 bit unused.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 425 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
[L2 Cache]
• Indicates which L2 bank detected the error. Upper 1 bit is unused.
[L2 Cache]
• Indicates which L2 data doubleword detected the error. Upper 1 bit is unused.
[L2 Cache]
• Indicates which index detected the error. Upper bits of the index are unused depending on the cache
size.
[L2 TLB]
• Index of TLB RAM. Upper 4 bits are unused.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 426 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
[L2 Cache]
Indicates which array detected the error. The possible values are:
• 00 L2 Tag RAM.
• 01 L2 Data RAM.
• 11 CHI Error.
Indicates which array detected the error. The possible values are:
• 00 LS Tag RAM 0.
• 01 LS Tag RAM 1.
• 10 LS Data RAM.
• 11 LS Tag RAM 2.
Indicates which array that detected the error, Data Array has higher priority. The possible values are:
• 00 Tag.
• 01 Data.
• 10 Macro-OP cache.
0b0010
L2 TLB.
0b0100
L1 Data Cache.
0b1000
L2 Cache.
Access
MRS <Xt>, ERXMISC0_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 427 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ERXMISC0_EL1
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 428 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Attributes
Width
64
Functional group
ras
Reset value
0x0
Bit descriptions
Figure B-143: AArch64_erxmisc1_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, ERXMISC1_EL1
Accessibility
MRS <Xt>, ERXMISC1_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 429 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL3, 0x18);
else
return ERXMISC1_EL1;
elsif PSTATE.EL == EL3 then
return ERXMISC1_EL1;
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
ras
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 430 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Bit descriptions
Figure B-144: AArch64_erxmisc2_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, ERXMISC2_EL1
Accessibility
MRS <Xt>, ERXMISC2_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 431 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
AArch64.SystemAccessTrap(EL2, 0x18);
elsif EL2Enabled() && SCR_EL3.FGTEn == '1' && HFGWTR_EL2.ERXMISCn_EL1 == '1'
then
AArch64.SystemAccessTrap(EL2, 0x18);
elsif SCR_EL3.TERR == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
ERXMISC2_EL1 = X[t];
elsif PSTATE.EL == EL2 then
if SCR_EL3.TERR == '1' then
AArch64.SystemAccessTrap(EL3, 0x18);
else
ERXMISC2_EL1 = X[t];
elsif PSTATE.EL == EL3 then
ERXMISC2_EL1 = X[t];
Configurations
This register is available in all configurations.
Attributes
Width
64
Functional group
ras
Reset value
0x0
Bit descriptions
Figure B-145: AArch64_erxmisc3_el1 bit assignments
63 32
RES0
31 0
RES0
Access
MRS <Xt>, ERXMISC3_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 432 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
AArch64 registers
Accessibility
MRS <Xt>, ERXMISC3_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 433 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 434 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Register offset
0x000
Reset value
See individual bit resets.
Bit descriptions
Figure C-1: ext_corerom_romentry0 bit assignments
31 12 11 3 2 1 0
OFFSET RES0
POWERIDVALID PRESENT
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0x004
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 435 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
See individual bit resets.
Bit descriptions
Figure C-2: ext_corerom_romentry1 bit assignments
31 12 11 3 2 1 0
OFFSET RES0
POWERIDVALID PRESENT
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0x008
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 436 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-3: ext_corerom_romentry2 bit assignments
31 12 11 3 2 1 0
OFFSET RES0
POWERIDVALID PRESENT
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0x00C
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 437 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-4: ext_corerom_romentry3 bit assignments
31 12 11 3 2 1 0
OFFSET RES0
POWERIDVALID PRESENT
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFB8
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 438 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-5: ext_corerom_authstatus bit assignments
31 8 7 6 5 4 3 2 1 0
NSNID
ExternalSecureNoninvasiveDebugEnabled() == ExternalSecureInvasiveDebugEnabled().
0b11
Secure invasive debug enabled. ExternalSecureInvasiveDebugEnabled() == TRUE.
[3:2] NSNID Non-secure Non-invasive Debug.
0b00
Debug level is not supported.
[1:0] NSID Non-secure Invasive Debug.
0b00
Debug level is not supported.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFBC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 439 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
See individual bit resets.
Bit descriptions
Figure C-6: ext_corerom_devarch bit assignments
31 21 20 19 16 15 0
PRESENT
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFCC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 440 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
See individual bit resets.
Bit descriptions
Figure C-7: ext_corerom_devtype bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFD0
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 441 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-8: ext_corerom_pidr4 bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFE0
Reset value
See individual bit resets.
Bit descriptions
Figure C-9: ext_corerom_pidr0 bit assignments
31 8 7 0
RES0 PART_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 442 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFE4
Reset value
See individual bit resets.
Bit descriptions
Figure C-10: ext_corerom_pidr1 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 443 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFE8
Reset value
See individual bit resets.
Bit descriptions
Figure C-11: ext_corerom_pidr2 bit assignments
31 8 7 4 3 2 0
JEDEC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 444 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFEC
Reset value
See individual bit resets.
Bit descriptions
Figure C-12: ext_corerom_pidr3 bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 445 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
CoreROM
Register offset
0xFF0
Reset value
See individual bit resets.
Bit descriptions
Figure C-13: ext_corerom_cidr0 bit assignments
31 8 7 0
RES0 PRMBL_0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFF4
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 446 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
See individual bit resets.
Bit descriptions
Figure C-14: ext_corerom_cidr1 bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFF8
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 447 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-15: ext_corerom_cidr2 bit assignments
31 8 7 0
RES0 PRMBL_2
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
CoreROM
Register offset
0xFFC
Reset value
See individual bit resets.
Bit descriptions
Figure C-16: ext_corerom_cidr3 bit assignments
31 8 7 0
RES0 PRMBL_3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 448 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PPM
Register offset
0x000
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 449 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-17: ext_cpuppmcr bit assignments
63 32
Reserved
31 0
Reserved
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PPM
Register offset
0x010
Reset value
See individual bit resets.
Bit descriptions
Figure C-18: ext_cpuppmcr2 bit assignments
63 32
Reserved
31 0
Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 450 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PPM
Register offset
0x020
Reset value
See individual bit resets.
Bit descriptions
Figure C-19: ext_cpuppmcr3 bit assignments
63 32
Reserved
31 0
Reserved
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 451 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
PPM
Register offset
0x080
Reset value
See individual bit resets.
Bit descriptions
Figure C-20: ext_cpuppmcr4 bit assignments
63 32
Reserved
31 0
Reserved
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PPM
Register offset
0x088
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 452 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-21: ext_cpuppmcr5 bit assignments
63 32
Reserved
31 0
Reserved
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PPM
Register offset
0x090
Reset value
See individual bit resets.
Bit descriptions
Figure C-22: ext_cpuppmcr6 bit assignments
63 32
Reserved
31 0
Reserved
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 453 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 454 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x600
Reset value
See individual bit resets.
Bit descriptions
Figure C-23: ext_pmpcssr bit assignments
63 62 61 60 56 55 32
NS EL RES0 PC
31 0
PC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 455 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
0b1
The captured instruction was executed in Non-secure state.
[62:61] EL Exception level sample. The Exception level the captured instruction was executed at.
[60:56] RES0 Reserved 0b00000
[55:0] PC Sampled PC.
The instruction address for the sampled instruction. The sampled instruction must be an instruction recently
executed by the PE.
The architecture does not require that all instructions are eligible for sampling. However, it must be possible
to reference instructions at branch targets. The branch target for a conditional branch instruction that fails its
Condition code check is the instruction following the conditional branch target.
The sampled instruction must be architecturally executed. However, in exceptional circumstances, such as
a change in security state or other boundary condition, it is permissible to sample an instruction that was
speculatively executed and not architecturally executed.
Note:
The Arm architecture does not define recently executed.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
PMU
Register offset
0x608
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 456 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-24: ext_pmcidssr bit assignments
31 0
PMCCIDSSR
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
PMU
Register offset
0x60C
Reset value
See individual bit resets.
Bit descriptions
Figure C-25: ext_pmcid2ssr bit assignments
31 0
PMCCID2SSR
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 457 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
PMU
Register offset
0x610
Reset value
0x1
Bit descriptions
Figure C-26: ext_pmsssr bit assignments
31 1 0
RES0 NC
0b1
PMU counters not captured.
The event counters are only not captured by the PE in the event of a security violation. The external Monitor is
responsible for keeping track of whether it managed to capture the snapshot registers from the PE.
PMSSR.NC does not reflect the status of the captured Program Counter Sample registers.
PMSSR.NC is reset to 1 by PE Warm reset, but is overwritten at the first capture. Tools need to be aware that
capturing over reset or power-down might lose data, as they are reliant on software saving and restoring the PMU
state (including PMSSCR). There is no sampled sticky reset bit.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 458 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x618
Reset value
See individual bit resets.
Bit descriptions
Figure C-27: ext_pmccntsr bit assignments
63 32
PMCCNTSR
31 0
PMCCNTSR
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 459 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
PMU
Register offset
0x620
Reset value
See individual bit resets.
Bit descriptions
Figure C-28: ext_pmevcntsr0 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x628
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 460 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-29: ext_pmevcntsr1 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x630
Reset value
See individual bit resets.
Bit descriptions
Figure C-30: ext_pmevcntsr2 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 461 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x638
Reset value
See individual bit resets.
Bit descriptions
Figure C-31: ext_pmevcntsr3 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 462 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
PMU
Register offset
0x640
Reset value
See individual bit resets.
Bit descriptions
Figure C-32: ext_pmevcntsr4 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x648
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 463 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-33: ext_pmevcntsr5 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x650
Reset value
See individual bit resets.
Bit descriptions
Figure C-34: ext_pmevcntsr6 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 464 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x658
Reset value
See individual bit resets.
Bit descriptions
Figure C-35: ext_pmevcntsr7 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 465 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
PMU
Register offset
0x660
Reset value
See individual bit resets.
Bit descriptions
Figure C-36: ext_pmevcntsr8 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x668
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 466 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-37: ext_pmevcntsr9 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x670
Reset value
See individual bit resets.
Bit descriptions
Figure C-38: ext_pmevcntsr10 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 467 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x678
Reset value
See individual bit resets.
Bit descriptions
Figure C-39: ext_pmevcntsr11 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 468 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
PMU
Register offset
0x680
Reset value
See individual bit resets.
Bit descriptions
Figure C-40: ext_pmevcntsr12 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x688
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 469 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-41: ext_pmevcntsr13 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x690
Reset value
See individual bit resets.
Bit descriptions
Figure C-42: ext_pmevcntsr14 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 470 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x698
Reset value
See individual bit resets.
Bit descriptions
Figure C-43: ext_pmevcntsr15 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 471 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
PMU
Register offset
0x6A0
Reset value
See individual bit resets.
Bit descriptions
Figure C-44: ext_pmevcntsr16 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x6A8
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 472 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-45: ext_pmevcntsr17 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x6B0
Reset value
See individual bit resets.
Bit descriptions
Figure C-46: ext_pmevcntsr18 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 473 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
PMU
Register offset
0x6B8
Reset value
See individual bit resets.
Bit descriptions
Figure C-47: ext_pmevcntsr19 bit assignments
63 32
PMEVCNTSR<n>
31 0
PMEVCNTSR<n>
Configurations
This register is available in all configurations.
Attributes
Width
32
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 474 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
PMU
Register offset
0x6F0
Reset value
See individual bit resets.
Bit descriptions
Figure C-48: ext_pmsscr bit assignments
31 1 0
RES0 SS
0b1
Initiate a capture immediately.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
PMU
Register offset
0xE00
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 475 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-49: ext_pmcfgr bit assignments
31 28 27 20 19 18 17 16 15 14 13 8 7 0
UEN CCD
From Armv8, the largest counter is 64-bits, so the value of this field is 111111.
This field is used by software to determine the spacing of the counters in the memory-map. From Armv8,
the counters are a doubleword-aligned addresses.
[7:0] N Number of counters implemented in addition to the cycle counter, ext-PMCCNTR_EL0. The maximum
number of event counters is 31.
0b00000000
Only ext-PMCCNTR_EL0 implemented.
0b00000001
ext-PMCCNTR_EL0 plus one event counter implemented.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 476 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is only partially mapped to the internal AArch32-PMCR System register. An external
agent must use other means to discover the information held in AArch32-PMCR[31:11], such as
accessing ext-PMCFGR and the ID registers.
Attributes
Width
32
Component
PMU
Register offset
0xE04
Reset value
See individual bit resets.
Bit descriptions
Figure C-50: ext_pmcr_el0 bit assignments
31 11 10 8 7 6 5 4 3 2 1 0
RAZ/WI RES0 LP LC DP X D C P E
0b1
Cycle counter overflow on increment that causes unsigned overflow of ext-PMCCNTR_EL0[63:0].
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 477 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
0b1
When event counting for counters in the range [0..(AArch64-MDCR_EL2.HPMN-1)] is prohibited, cycle
counting by ext-PMCCNTR_EL0 is disabled.
[4] X Enable export of events in an IMPLEMENTATION DEFINED PMU event export bus.
0b0
Do not export events.
0b1
Export events where not prohibited.
This field enables the exporting of events over an IMPLEMENTATION DEFINED PMU event export bus to another
device, for example to an OPTIONAL PE trace unit.
This field does not affect the generation of Performance Monitors overflow interrupt requests or signaling to a
cross-trigger interface (CTI) that can be implemented as signals exported from the PE.
[3] D Clock divider.
0b0
When enabled, ext-PMCCNTR_EL0 counts every clock cycle.
0b1
When enabled, ext-PMCCNTR_EL0 counts once every 64 clock cycles.
[2] C Cycle counter reset. The effects of writing to this bit are:
0b1
Reset ext-PMCCNTR_EL0 to zero.
[1] P Event counter reset. The effects of writing to this bit are:
0b1
Reset all event counters, not including ext-PMCCNTR_EL0, to zero.
[0] E Enable
0b1
All event counters in the range [0..(PMN-1)] and ext-PMCCNTR_EL0, are enabled by ext-
PMCNTENSET_EL0.
When the value of a bit in the register is 1 the corresponding common event is implemented and
counted. For more information about the common events and the use of the PMCEIDn registers,
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 478 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
see 'The PMU event number space and common events'. - Arm recommends that, if a common
event is never counted, the value of the corresponding register bit is 0. - This view of the register
was previously called PMCEID0_EL0.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
PMU
Register offset
0xE20
Reset value
See individual bit resets.
Bit descriptions
Figure C-51: ext_pmceid0 bit assignments
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
ID31 ID0
ID30 ID1
ID29 ID2
ID28 ID3
ID27 ID4
ID26 ID5
ID25 ID6
ID24 ID7
ID23 ID8
ID22 ID9
ID21 ID10
ID20 ID11
ID19 ID12
ID18 ID13
ID17 ID14
ID16 ID15
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 479 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 480 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 481 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
When the value of a bit in the register is 1 the corresponding common event is implemented and
counted. For more information about the common events and the use of the PMCEIDn registers,
see 'The PMU event number space and common events'. - Arm recommends that, if a common
event is never counted, the value of the corresponding register bit is 0. - This view of the register
was previously called PMCEID1_EL0.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
PMU
Register offset
0xE24
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 482 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-52: ext_pmceid1 bit assignments
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
ID31 ID0
ID30 ID1
ID29 ID2
ID28 ID3
ID27 ID4
ID26 ID5
ID25 ID6
ID24 ID7
ID23 ID8
ID22 ID9
ID21 ID10
ID20 ID11
ID19 ID12
ID18 ID13
ID17 ID14
ID16 ID15
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 483 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 484 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
When the value of a bit in the register is 1 the corresponding common event is implemented
and counted. Arm recommends that, if a common event is never counted, the value of the
corresponding register bit is 0. For more information about the common events and the use of the
PMCEIDn registers, see 'The PMU event number space and common events'.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 485 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
PMU
Register offset
0xE28
Reset value
See individual bit resets.
Bit descriptions
Figure C-53: ext_pmceid2 bit assignments
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
IDhi31 IDhi0
IDhi30 IDhi1
IDhi29 IDhi2
IDhi28 IDhi3
IDhi27 IDhi4
IDhi26 IDhi5
IDhi25 IDhi6
IDhi24 IDhi7
IDhi23 IDhi8
IDhi22 IDhi9
IDhi21 IDhi10
IDhi20 IDhi11
IDhi19 IDhi12
IDhi18 IDhi13
IDhi17 IDhi14
IDhi16 IDhi15
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 486 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 487 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 488 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
When the value of a bit in the register is 1 the corresponding common event is implemented
and counted. Arm recommends that, if a common event is never counted, the value of the
corresponding register bit is 0. For more information about the common events and the use of the
PMCEIDn registers, see 'The PMU event number space and common events'.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
PMU
Register offset
0xE2C
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 489 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-54: ext_pmceid3 bit assignments
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
IDhi31 IDhi0
IDhi30 IDhi1
IDhi29 IDhi2
IDhi28 IDhi3
IDhi27 IDhi4
IDhi26 IDhi5
IDhi25 IDhi6
IDhi24 IDhi7
IDhi23 IDhi8
IDhi22 IDhi9
IDhi21 IDhi10
IDhi20 IDhi11
IDhi19 IDhi12
IDhi18 IDhi13
IDhi17 IDhi14
IDhi16 IDhi15
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 490 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 491 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 492 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
PMU
Register offset
0xE40
Reset value
See individual bit resets.
Bit descriptions
Figure C-55: ext_pmmir bit assignments
31 8 7 0
RES0 SLOTS
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFBC
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 493 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-56: ext_pmdevarch bit assignments
31 21 20 19 16 15 0
PRESENT
Note:
The PMUv3 memory-mapped programmers' model can be used by devices other than Armv8 processors.
Software must determine whether the PMU is attached to an Armv8 processor by using the ext-
PMDEVAFF0 and ext-PMDEVAFF1 registers to discover the affinity of the PMU to any Armv8 processors.
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
This register is required from Armv8.2 and in any implementation that includes ARMv8.2-
PCSample. Otherwise, its location is RES0.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 494 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
PMU
Register offset
0xFC8
Reset value
See individual bit resets.
Bit descriptions
Figure C-57: ext_pmdevid bit assignments
31 4 3 0
RES0 PCSample
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 495 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Register offset
0xFCC
Reset value
See individual bit resets.
Bit descriptions
Figure C-58: ext_pmdevtype bit assignments
31 8 7 4 3 0
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFD0
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 496 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-59: ext_pmpidr4 bit assignments
31 8 7 4 3 0
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFE0
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 497 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-60: ext_pmpidr0 bit assignments
31 8 7 0
RES0 PART_0
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFE4
Reset value
See individual bit resets.
Bit descriptions
Figure C-61: ext_pmpidr1 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 498 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFE8
Reset value
See individual bit resets.
Bit descriptions
Figure C-62: ext_pmpidr2 bit assignments
31 8 7 4 3 2 0
JEDEC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 499 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFEC
Reset value
See individual bit resets.
Bit descriptions
Figure C-63: ext_pmpidr3 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 500 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFF0
Reset value
See individual bit resets.
Bit descriptions
Figure C-64: ext_pmcidr0 bit assignments
31 8 7 0
RES0 PRMBL_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 501 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFF4
Reset value
See individual bit resets.
Bit descriptions
Figure C-65: ext_pmcidr1 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 502 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFF8
Reset value
See individual bit resets.
Bit descriptions
Figure C-66: ext_pmcidr2 bit assignments
31 8 7 0
RES0 PRMBL_2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 503 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
PMU
Register offset
0xFFC
Reset value
See individual bit resets.
Bit descriptions
Figure C-67: ext_pmcidr3 bit assignments
31 8 7 0
RES0 PRMBL_3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 504 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
Debug
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 505 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Register offset
0x090
Reset value
See individual bit resets.
Bit descriptions
Figure C-68: ext_edrcr bit assignments
31 5 4 3 2 1 0
RES0 RES0
CBRRQ CSE
CSPA
0b1
Allow imprecise entry to Debug state, for example by canceling pending bus accesses.
[3] CSPA Clear Sticky Pipeline Advance. This bit is used to clear the ext-EDSCR.PipeAdv bit to 0.
0b0
No action.
0b1
Clear the ext-EDSCR.PipeAdv bit to 0.
[2] CSE Clear Sticky Error. Used to clear the ext-EDSCR cumulative error bits to 0.
0b0
No action.
0b1
Clear the ext-EDSCR.{TXU, RXO, ERR} bits, and, if the PE is in Debug state, the ext-EDSCR.ITO bit, to 0.
[1:0] RES0 Reserved 0b00
Configurations
Changing this register from its reset value causes IMPLEMENTATION DEFINED behavior, including
possible deviation from the architecturally-defined behavior.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 506 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
If the EDACR contains any control bits that must be preserved over power down, then these
bits must be accessible by the external debug interface when the OS Lock is locked, AArch64-
OSLSR_EL1.OSLK == 1, and when the Core is powered off.
Attributes
Width
32
Component
Debug
Register offset
0x094
Reset value
0x0
Bit descriptions
Figure C-69: ext_edacr bit assignments
31 0
RES0
Configurations
If ARMv8.3-DoPD is implemented then all fields in this register are in the Core power domain.
CORENPDRQ is the only field that is mapped between the EDPRCR and DBGPRCR and
DBGPRCR_EL1.
Attributes
Width
32
Component
Debug
Register offset
0x310
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 507 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
See individual bit resets.
Bit descriptions
Figure C-70: ext_edprcr bit assignments
31 2 1 0
RES0
CWRR CORENPDRQ
0b1
Request Warm reset.
[0] CORENPDRQ This field is in the Core power domain, and permitted accesses to this field map to the AArch32-
DBGPRCR.CORENPDRQ and AArch64-DBGPRCR_EL1.CORENPDRQ fields.
0b0
If the system responds to a powerdown request, it powers down Core power domain.
0b1
If the system responds to a powerdown request, it does not powerdown the Core power domain,
but instead emulates a powerdown of that domain.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
Debug
Register offset
0xD00
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 508 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
See individual bit resets.
Bit descriptions
Figure C-71: ext_midr_el1 bit assignments
31 24 23 20 19 16 15 4 3 0
Architecture
On processors implemented by Arm, if the top four bits of the primary part number are 0x0 or 0x7, the
variant and architecture are encoded differently.
0b110101000111
Cortex®‑A710
[3:0] Revision An IMPLEMENTATION DEFINED revision number for the device.
0b0000
r2p0
For general information about the interpretation of the ID registers, see 'Principles of the ID
scheme for fields in ID registers'.
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 509 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
64
Component
Debug
Register offset
0xD20
Reset value
See individual bit resets.
Bit descriptions
Figure C-72: ext_edpfr bit assignments
63 56 55 52 51 48 47 44 43 40 39 36 35 32
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 510 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Debuggers must use ext-EDDEVARCH to determine the Debug architecture version.For general
information about the interpretation of the ID registers, see 'Principles of the ID scheme for fields
in ID registers'.
Configurations
This register is available in all configurations.
Attributes
Width
64
Component
Debug
Register offset
0xD28
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 511 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-73: ext_eddfr bit assignments
63 44 43 40 39 32
RES0 UNKNOWN
TraceFilt
31 28 27 24 23 20 19 16 15 12 11 8 7 4 3 0
In an Armv8-A implementation that supports AArch64 state in at least one Exception level, this field
returns the value of AArch64-ID_AA64DFR0_EL1.CTX_CMPs.
[27:24] RES0 Reserved 0b0000
[23:20] WRPs Number of watchpoints, minus 1. The value of 0000 is reserved.
In an Armv8-A implementation that supports AArch64 state in at least one Exception level, this field
returns the value of AArch64-ID_AA64DFR0_EL1.WRPs.
[19:16] RES0 Reserved 0b0000
[15:12] BRPs Number of breakpoints, minus 1. The value of 0000 is reserved.
In an Armv8-A implementation that supports AArch64 state in at least one Exception level, this field
returns the value of AArch64-ID_AA64DFR0_EL1.BRPs.
[11:8] PMUVer Performance Monitors Extension version.
[7:4] TraceVer Trace support. Indicates whether System register interface to a PE trace unit is implemented.
0b0001
PE trace unit System registers implemented.
[3:0] UNKNOWN Reserved
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 512 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
Debug
Register offset
0xFBC
Reset value
See individual bit resets.
Bit descriptions
Figure C-74: ext_eddevarch bit assignments
31 21 20 19 16 15 12 11 0
PRESENT
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 513 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFC0
Reset value
0x0
Bit descriptions
Figure C-75: ext_eddevid2 bit assignments
31 0
RES0
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 514 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Register offset
0xFC4
Reset value
See individual bit resets.
Bit descriptions
Figure C-76: ext_eddevid1 bit assignments
31 4 3 0
RES0
PCSROffset
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFC8
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 515 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-77: ext_eddevid bit assignments
31 28 27 24 23 8 7 4 3 0
DebugPower
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFCC
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 516 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-78: ext_eddevtype bit assignments
31 8 7 4 3 0
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFD0
Reset value
See individual bit resets.
Bit descriptions
Figure C-79: ext_edpidr4 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 517 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFE0
Reset value
See individual bit resets.
Bit descriptions
Figure C-80: ext_edpidr0 bit assignments
31 8 7 0
RES0 PART_0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 518 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFE4
Reset value
See individual bit resets.
Bit descriptions
Figure C-81: ext_edpidr1 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 519 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFE8
Reset value
See individual bit resets.
Bit descriptions
Figure C-82: ext_edpidr2 bit assignments
31 8 7 4 3 2 0
JEDEC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 520 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFEC
Reset value
See individual bit resets.
Bit descriptions
Figure C-83: ext_edpidr3 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 521 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFF0
Reset value
See individual bit resets.
Bit descriptions
Figure C-84: ext_edcidr0 bit assignments
31 8 7 0
RES0 101'
PRMBL_0
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 522 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
Debug
Register offset
0xFF4
Reset value
See individual bit resets.
Bit descriptions
Figure C-85: ext_edcidr1 bit assignments
31 8 7 4 3 0
CLASS PRMBL_1
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 523 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Register offset
0xFF8
Reset value
See individual bit resets.
Bit descriptions
Figure C-86: ext_edcidr2 bit assignments
31 8 7 0
RES0 01'
PRMBL_2
Configurations
If ARMv8.3-DoPD is implemented, this register is in the Core power domain. If ARMv8.3-DoPD is
not implemented, this register is in the Debug power domain.
Attributes
Width
32
Component
Debug
Register offset
0xFFC
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 524 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-87: ext_edcidr3 bit assignments
31 8 7 0
RES0 0110001'
PRMBL_3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 525 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0x400
Reset value
See individual bit resets.
Bit descriptions
Figure C-88: ext_amevtyper00 bit assignments
31 25 24 16 15 0
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 526 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
AMU
Register offset
0x404
Reset value
See individual bit resets.
Bit descriptions
Figure C-89: ext_amevtyper01 bit assignments
31 25 24 16 15 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0x408
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 527 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
See individual bit resets.
Bit descriptions
Figure C-90: ext_amevtyper02 bit assignments
31 25 24 16 15 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0x40C
Reset value
See individual bit resets.
Bit descriptions
Figure C-91: ext_amevtyper03 bit assignments
31 25 24 16 15 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 528 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0x480
Reset value
See individual bit resets.
Bit descriptions
Figure C-92: ext_amevtyper10 bit assignments
31 25 24 16 15 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 529 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
If software writes a value to this field which is not supported by the corresponding counter ext-
AMEVCNTR1<n>, then:
• It is UNPREDICTABLE which event will be counted.
• The value read back is UNKNOWN.
Note:
The event counted by ext-AMEVCNTR1<n> might be fixed at implementation. In this case, the field
is read-only and writes are UNDEFINED.
If the corresponding counter ext-AMEVCNTR1<n> is enabled, writes to this register have
UNPREDICTABLE results.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0x484
Reset value
See individual bit resets.
Bit descriptions
Figure C-93: ext_amevtyper11 bit assignments
31 25 24 16 15 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 530 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
If software writes a value to this field which is not supported by the corresponding counter ext-
AMEVCNTR1<n>, then:
• It is UNPREDICTABLE which event will be counted.
• The value read back is UNKNOWN.
Note:
The event counted by ext-AMEVCNTR1<n> might be fixed at implementation. In this case, the field
is read-only and writes are UNDEFINED.
If the corresponding counter ext-AMEVCNTR1<n> is enabled, writes to this register have
UNPREDICTABLE results.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0x488
Reset value
See individual bit resets.
Bit descriptions
Figure C-94: ext_amevtyper12 bit assignments
31 25 24 16 15 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 531 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
If software writes a value to this field which is not supported by the corresponding counter ext-
AMEVCNTR1<n>, then:
• It is UNPREDICTABLE which event will be counted.
• The value read back is UNKNOWN.
Note:
The event counted by ext-AMEVCNTR1<n> might be fixed at implementation. In this case, the field
is read-only and writes are UNDEFINED.
If the corresponding counter ext-AMEVCNTR1<n> is enabled, writes to this register have
UNPREDICTABLE results.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0x48C
Reset value
See individual bit resets.
Bit descriptions
Figure C-95: ext_amevtyper13 bit assignments
31 25 24 16 15 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 532 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
If software writes a value to this field which is not supported by the corresponding counter ext-
AMEVCNTR1<n>, then:
• It is UNPREDICTABLE which event will be counted.
• The value read back is UNKNOWN.
Note:
The event counted by ext-AMEVCNTR1<n> might be fixed at implementation. In this case, the field
is read-only and writes are UNDEFINED.
If the corresponding counter ext-AMEVCNTR1<n> is enabled, writes to this register have
UNPREDICTABLE results.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xCE0
Reset value
See individual bit resets.
Bit descriptions
Figure C-96: ext_amcgcr bit assignments
31 16 15 8 7 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 533 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Provides information on supported features, the number of counter groups implemented, the total
number of activity monitor event counters implemented, and the size of the counters. AMCFGR is
applicable to both the architected and the auxiliary counter groups.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xE00
Reset value
See individual bit resets.
Bit descriptions
Figure C-97: ext_amcfgr bit assignments
31 28 27 25 24 23 14 13 8 7 0
HDBG
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 534 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
The size of the activity monitor event counters implemented by the Activity Monitors Extension is defined as
[AMCFGR.SIZE + 1].
From Armv8, the counters are 64-bit, and so this field is 111111.
Note:
Software also uses this field to determine the spacing of counters in the memory-map. From Armv8, the
counters are at doubleword-aligned addresses.
0b111111
64 bits.
[7:0] N Defines the number of activity monitor event counters.
The total number of counters implemented in all groups by the Activity Monitors Extension is defined as
[AMCFGR.N + 1].
0b00000110
Seven activity monitor event counters
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 535 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Register offset
0xE08
Reset value
See individual bit resets.
Bit descriptions
Figure C-98: ext_amiidr bit assignments
31 20 19 16 15 12 11 0
Bit 7 is RES0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 536 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFBC
Reset value
See individual bit resets.
Bit descriptions
Figure C-99: ext_amdevarch bit assignments
31 21 20 19 16 15 0
PRESENT
For AMU:
• Bits [15:12] are the architecture version, 0x0.
• Bits [11:0] are the architecture part number, 0xA66.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 537 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFCC
Reset value
See individual bit resets.
Bit descriptions
Figure C-100: ext_amdevtype bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 538 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
AMU
Register offset
0xFD0
Reset value
See individual bit resets.
Bit descriptions
Figure C-101: ext_ampidr4 bit assignments
31 8 7 4 3 0
The value of this field is IMPLEMENTATION DEFINED. For Arm Limited, this field is 0100.
0b0100
Arm Limited. This is bits[3:0] of the JEP106 continuation code.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFE0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 539 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
See individual bit resets.
Bit descriptions
Figure C-102: ext_ampidr0 bit assignments
31 8 7 0
RES0 PART_0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFE4
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 540 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-103: ext_ampidr1 bit assignments
31 8 7 4 3 0
The value of this field is IMPLEMENTATION DEFINED. For Arm Limited, this field is 1011.
0b1011
Designer, least significant nibble of JEP106 ID code.
[3:0] PART_1 Part number, most significant nibble.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFE8
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 541 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-104: ext_ampidr2 bit assignments
31 8 7 4 3 2 0
JEDEC
The value of this field is IMPLEMENTATION DEFINED. For Arm Limited, this field is 011.
0b011
Arm Limited. This is bits[6:4] of the JEP106 ID code.
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFEC
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 542 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-105: ext_ampidr3 bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFF0
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 543 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-106: ext_amcidr0 bit assignments
31 8 7 0
RES0 PRMBL_0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFF4
Reset value
See individual bit resets.
Bit descriptions
Figure C-107: ext_amcidr1 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 544 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFF8
Reset value
See individual bit resets.
Bit descriptions
Figure C-108: ext_amcidr2 bit assignments
31 8 7 0
RES0 PRMBL_2
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 545 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
AMU
Register offset
0xFFC
Reset value
See individual bit resets.
Bit descriptions
Figure C-109: ext_amcidr3 bit assignments
31 8 7 0
RES0 PRMBL_3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 546 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 547 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x018
Reset value
0x0
Bit descriptions
Figure C-110: ext_trcauxctlr bit assignments
31 0
RES0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 548 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Register offset
0x180
Reset value
See individual bit resets.
Bit descriptions
Figure C-111: ext_trcidr8 bit assignments
31 0
MAXSPEC
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x184
Reset value
See individual bit resets.
Bit descriptions
Figure C-112: ext_trcidr9 bit assignments
31 0
NUMP0KEY
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 549 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x188
Reset value
See individual bit resets.
Bit descriptions
Figure C-113: ext_trcidr10 bit assignments
31 0
NUMP1KEY
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 550 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
ETE
Register offset
0x18C
Reset value
See individual bit resets.
Bit descriptions
Figure C-114: ext_trcidr11 bit assignments
31 0
NUMP1SPC
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x190
Reset value
0x0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 551 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-115: ext_trcidr12 bit assignments
31 0
RES0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x194
Reset value
0x0
Bit descriptions
Figure C-116: ext_trcidr13 bit assignments
31 0
RES0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 552 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x1C0
Reset value
See individual bit resets.
Bit descriptions
Figure C-117: ext_trcimspec0 bit assignments
31 8 7 4 3 0
RES0 EN SUPPORT
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 553 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
ETE
Register offset
0x1E0
Reset value
See individual bit resets.
Bit descriptions
Figure C-118: ext_trcidr0 bit assignments
31 30 29 28 24 23 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
0b1
Tracing of data transfers for exceptions and exception returns implemented.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 554 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 555 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
ETE
Register offset
0x1E4
Reset value
See individual bit resets.
Bit descriptions
Figure C-119: ext_trcidr1 bit assignments
31 24 23 16 15 12 11 8 7 4 3 0
TRCARCHMAJ TRCARCHMIN
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 556 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
ETE
Register offset
0x1E8
Reset value
See individual bit resets.
Bit descriptions
Figure C-120: ext_trcidr2 bit assignments
31 30 29 28 25 24 20 19 15 14 10 9 5 4 0
WFXMODE VMIDOPT
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 557 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x1EC
Reset value
See individual bit resets.
Bit descriptions
Figure C-121: ext_trcidr3 bit assignments
31 30 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 0
0 RES0 CCITMIN
NOOVERFLOW NUMPROC[4:3]
NUMPROC[2:0] EXLEVEL_S_EL0
SYSSTALL EXLEVEL_S_EL1
STALLCTL EXLEVEL_S_EL2
SYNCPR EXLEVEL_S_EL3
TRCERR EXLEVEL_NS_EL0
EXLEVEL_NS_EL2 EXLEVEL_NS_EL1
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 558 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 559 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x1F0
Reset value
See individual bit resets.
Bit descriptions
Figure C-122: ext_trcidr4 bit assignments
31 28 27 24 23 20 19 16 15 12 11 9 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 560 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x1F4
Reset value
See individual bit resets.
Bit descriptions
Figure C-123: ext_trcidr5 bit assignments
31 30 28 27 25 24 23 22 21 16 15 12 11 9 8 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 561 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x1F8
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 562 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Reset value
0x0
Bit descriptions
Figure C-124: ext_trcidr6 bit assignments
31 0
RES0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0x1FC
Reset value
0x0
Bit descriptions
Figure C-125: ext_trcidr7 bit assignments
31 0
RES0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 563 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xF00
Reset value
See individual bit resets.
Bit descriptions
Figure C-126: ext_trcitctrl bit assignments
31 1 0
RES0
IME
0b1
The component must enter integration mode, and enable support for topology detection and integration
testing.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 564 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
The number of claim tag bits implemented is IMPLEMENTATION DEFINED. Arm recommends that
implementations support a minimum of four claim tag bits, that is, SET[3:0] reads as 0b1111.
Attributes
Width
32
Component
ETE
Register offset
0xFA0
Reset value
See individual bit resets.
Bit descriptions
Figure C-127: ext_trcclaimset bit assignments
31 0
SET<m>
On a write: Ignored.
0b1
On a read: Claim Tag bit m is implemented.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 565 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFA4
Reset value
See individual bit resets.
Bit descriptions
Figure C-128: ext_trcclaimclr bit assignments
31 0
CLR<m>
On a write: Ignored.
0b1
On a read: Claim Tag bit m is set.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 566 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFBC
Reset value
See individual bit resets.
Bit descriptions
Figure C-129: ext_trcdevarch bit assignments
31 21 20 19 16 15 12 11 0
PRESENT
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 567 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
ARCHVER and ARCHPART are also defined as a single field, ARCHID, so that ARCHVER is ARCHID[15:12].
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFC0
Reset value
0x0
Bit descriptions
Figure C-130: ext_trcdevid2 bit assignments
31 0
RES0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 568 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFC4
Reset value
0x0
Bit descriptions
Figure C-131: ext_trcdevid1 bit assignments
31 0
RES0
Configurations
This register is available in all configurations.
Attributes
Width
32
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 569 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Component
ETE
Register offset
0xFC8
Reset value
0x0
Bit descriptions
Figure C-132: ext_trcdevid bit assignments
31 0
RES0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFCC
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 570 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-133: ext_trcdevtype bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFD0
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 571 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-134: ext_trcpidr4 bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFD4
Reset value
0x0
Bit descriptions
Figure C-135: ext_trcpidr5 bit assignments
31 0
RES0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 572 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFD8
Reset value
0x0
Bit descriptions
Figure C-136: ext_trcpidr6 bit assignments
31 0
RES0
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 573 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
ETE
Register offset
0xFDC
Reset value
0x0
Bit descriptions
Figure C-137: ext_trcpidr7 bit assignments
31 0
RES0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFE0
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 574 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-138: ext_trcpidr0 bit assignments
31 8 7 0
RES0 PART_0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFE4
Reset value
See individual bit resets.
Bit descriptions
Figure C-139: ext_trcpidr1 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 575 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFE8
Reset value
See individual bit resets.
Bit descriptions
Figure C-140: ext_trcpidr2 bit assignments
31 8 7 4 3 2 0
JEDEC
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 576 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFEC
Reset value
See individual bit resets.
Bit descriptions
Figure C-141: ext_trcpidr3 bit assignments
31 8 7 4 3 0
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 577 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFF0
Reset value
See individual bit resets.
Bit descriptions
Figure C-142: ext_trccidr0 bit assignments
31 8 7 0
RES0 PRMBL_0
Configurations
This register is available in all configurations.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 578 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Attributes
Width
32
Component
ETE
Register offset
0xFF4
Reset value
See individual bit resets.
Bit descriptions
Figure C-143: ext_trccidr1 bit assignments
31 8 7 4 3 0
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 579 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Register offset
0xFF8
Reset value
See individual bit resets.
Bit descriptions
Figure C-144: ext_trccidr2 bit assignments
31 8 7 0
RES0 PRMBL_2
Configurations
This register is available in all configurations.
Attributes
Width
32
Component
ETE
Register offset
0xFFC
Reset value
See individual bit resets.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 580 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
External registers
Bit descriptions
Figure C-145: ext_trccidr3 bit assignments
31 8 7 0
RES0 PRMBL_3
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 581 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Cortex®‑A710 AArch32 UNPREDICTABLE behaviors
The Cortex®‑A710 core does not implement a Read 0 or Ignore Write policy on UNPREDICTABLE use
of R15 by instruction. Instead, the Cortex®‑A710 core takes an UNDEFINED exception trap.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 582 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Cortex®‑A710 AArch32 UNPREDICTABLE behaviors
This manual does not describe the behavior when a topic only has a single option
and the core implements the preferred behavior.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 583 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Cortex®‑A710 AArch32 UNPREDICTABLE behaviors
Scenario Behavior
Execute instruction at a given EL when the corresponding The core behaves as follows:
EDECCR bit is 1 and Halting is allowed • Generates debug event and Halt no later than the instruction following
the next Context Synchronization operation (CSO) excluding ISB instruction.
H > N or H = 0 at Non-secure EL1 and EL0, including The core implements:
value read from PMCR_EL0.N A simple implementation where all of HPMN[4:0] are implemented, and
•
In Non-secure EL1 and EL0:
◦ If H > N then M = N.
◦ If H = 0 then M = 0.
H > N or H = 0: value read back in MDCR_EL2.HPMN The core implements:
• A simple implementation where all of HPMN[4:0] are implemented and
for reads of MDCR_EL2.HPMN, return H.
P ≥ M and P ≠ 31: reads and writes of PM The core implements:
XEVTYPER_EL0 and PMXEVCNTR_EL0 A simple implementation where all of SEL[4:0] are implemented, and if P
•
≥ M and P ≠ 31 then the register is RES0.
P ≥ M and P ≠ 31: value read in PMSELR_EL0.SEL The core implements:
• A simple implementation where all of SEL[4:0] are implemented, and if P
≥ M and P ≠ 31 then the register is RES0.
P = 31: reads and writes of PMXEVCNTR_EL0 The core implements:
• RES0.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 584 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Cortex®‑A710 AArch32 UNPREDICTABLE behaviors
Scenario Behavior
Accessing reserved debug registers The core deviates from preferred behavior because the hardware cost to de-
code some of these addresses in debug power domain is significantly high.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 585 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Cortex®‑A710 AArch32 UNPREDICTABLE behaviors
Scenario Description
HDCR.HPMN is set to 0, or to a value If HDCR.HPMN is set to 0, or to a value larger than PMCR.N, then the behavior in Non-secure
larger than PMCR.N. EL0 and EL1 is CONSTRAINED UNPREDICTABLE, and one of the following must happen:
• The number of counters accessible is an UNKNOWN non-zero value less than PMCR.N.
• There is no access to any counters.
For reads of HDCR.HPMN by EL2 or higher, if this field is set to 0 or to a value larger than
PMCR.N, the core must return a CONSTRAINED UNPREDICTABLE value that is one of:
• PMCR.N.
• The value that was written to HDCR.HPMN.
• (The value that was written to HDCR.HPMN) modulo 2h, where h is the smallest number of
bits required for a value in the range 0 to PMCR.N.
CRC32 or CRC32C instruction with On read of the instruction, the behavior is CONSTRAINED UNPREDICTABLE, and the instruction exe-
size==64. cutes with the additional decode: size==32.
CRC32 or CRC32C instruction with The core implements the following option:
cond!=1110 in the A1 encoding. Executed unconditionally.
•
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 586 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Document revisions
E.1 Revisions
Changes between released issues of this book are summarized in tables.
The first table is for the first release. Then, each table compares the new issue of the book with the
last released issue of the book. Release numbers match the revision history in Release Information
on page 2.
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 587 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Document revisions
Change Location
Updated number of instruction TLB and L1 data TLB entries 6.1 Memory Management Unit components on page 46
Added more details on external aborts 6.6 Responses on page 50
Updated MOP cache operations 7 L1 instruction memory system on page 54
• Added BIM RAM returned data section 10 Direct access to internal memory on page 65
• Updated L1 data TLB returned data tables
Added victim location encoding 10.2 L2 cache encodings on page 77
Updated system register descriptions A AArch32 registers on page 130 B AArch64 registers on page 134
Updated memory-mapped registers C External registers on page 434
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 588 of 589
Arm® Cortex®‑A710 Core Technical Reference Manual Document ID: 101800_0200_06_en
Issue: 06
Document revisions
Change Location
Core interfaces additional description added to ELA registers 16.2.1 Core interfaces on page 97
Breakpoints and watchpoints topic updated 16.2.4 Breakpoints and watchpoints on page 99
Updated system register and register descriptions A AArch32 registers on page 130 B AArch64 registers on page
134
• Reset value corrected for PF_MODE B.1.16 IMP_CPUECTLR2_EL1, CPU Extended Control Register
Descriptions corrected for TXREQ_LIMIT_DEC and 2 on page 172
•
TXREQ_LIMIT_INC
Updated memory-mapped registers C External registers on page 434
Copyright © 2019–2021 Arm Limited (or its affiliates). All rights reserved.
Non-Confidential
Page 589 of 589