Unit 5-1
Unit 5-1
Introduction:
ASIC - Application Specific Integrated Circuit is an Integrated Circuit (IC) designed to perform
a specific function for a specific application.
Levels of integration:
The levels of integration are:
SSI - Small scale integration
MSI - Medium scale integration
LSI - Large scale integration
VLSI - Very large scale integration
USLI - Ultra large scale integration
Implementation technology
The implementation technologies used in ASIC are:
TTL – Transistor Transistor Logic
ECL – Emitter Coupled Logic
MOS – Metal Oxide Semiconductor (NMOS, CMOS)
5.1: Types of ASICs
Explain about different types of ASICs with neat diagram. (April 2016, 2017, 2018)
Write brief notes on: (a) Full custom ASIC (b) Semi custom ASIC (May 2010, May 2016)
Compare the different types of ASICs. (Nov 2007, Nov 2008)
5.1.1:Full-Custom ASICs
In full custom ASIC, engineer can design full logic cells in IC. So, this technique is known as
Full custom ASIC technique.
1
UNIT-V EC3552-VLSI AND CHIP DESIGN
Engineer uses mixed analog and digital technique to manufacture IC. All the logic cells are
specifically designed for one ASIC.
Uses of bipolar technology:
The characteristics of bipolar components in the same IC are matched very well.
But the characteristic of components in different IC are not matched well
Uses of CMOS:
This is widely used technology to manufacture IC.
Mixing of analog and digital function are integrated in the same IC for which CMOS technology
suits well.
Designers give importance to performance.
When large volume is manufactured, overall cost will be reduced.
In super computer, quality is important so this design is implemented.
All mask layers are customized in a full-custom ASIC
Generally, the designer lays out all cells by hand
Some automatic placement and routing may be done
Critical (timing) paths are usually laid out completely by hand
Full-custom design offers the highest performance and lowest part cost (smallest die size) for a
given design.
The disadvantages of full-custom design include increased design time, complexity, design
expense, and highest risk.
Microprocessors (strategic silicon) were exclusively full-custom, but designers are increasingly
turning to semicustom ASIC techniques in this area as well.
Briefly explain the semi-custom Asics with its classification. (May 2016, NOV 2016)
It allows mega cells (SRAM, MPEG, decoder etc) to be placed in the same IC with standard cells
(adder, gates etc).
Mega cells are supplied by ASIC Company.
Data path logic means the logic that operates on multiple signals across a data bus.
Some of the ASIC library companies provide data path compiler which automatically generate data
path logic.
Data path library contains cells like adders, multiplexer, simple ALUs.
ASIC Library Company provide data book which has functional description.
Features:
It is a cell-based ASIC ( CBIC —“sea-bick”)
It has Standard cells. Standard cell is logic elements used CMOS technology.
Possibly megacells , megafunctions , full-custom blocks , system-level macros (SLMs), fixed
blocks , cores , or Functional Standard Blocks ( FSBs )
All mask layers are customized - transistors and interconnect
Automated buffer sizing, placement and routing. And custom blocks can be embedded.
4
UNIT-V EC3552-VLSI AND CHIP DESIGN
************************************************************************************
5.2: ASIC Design Flow / Cycle
Explain the ASIC design flow with a neat diagram. (Nov 2007, April 2008, Nov 2008)
Draw the flowchart of digital circuit design techniques. (NOV 2018)
*********************************************************************************
5.3: ASIC Cell Libraries
A library vendor normally develops a cell library using information about a process supplied by an
ASIC foundry.
An ASIC foundry only provides manufacturing, with no design help. If the cell library meets the
foundry specifications, we call this a qualified cell library.
These cell libraries are normally expensive, but if a library is qualified at several foundries.
The third choice is to develop a cell library in-house. Many large computer and electronics
companies make this choice.
However, created each cell in an ASIC cell library must contain the following:
A physical layout
A behavioral model
A Verilog/VHDL model
Detailed timing models.
A test strategy
A circuit schematic
A cell icon
A wire-load model
A routing models.
The ASIC designer needs a high-level behavioral model for each cell.
Because simulation at the detailed timing level takes too long for a complete ASIC design.
The designer may require Verilog and VHDL models in addition to the models for a particular logic
simulator.
************************************************************************************
5.4: Library-Cell Design
Design rules for each ASIC vendor are slightly different even for the same generation of technology.
For example, two companies may have very similar 0.35 nm CMOS process technologies, but the
third-level metal spacing might be slightly different.
A library constructed in this fashion may not be competitive with one that is constructed specifically
for each process.
ASIC vendors prize their design rules as secret, it turns out that they are similar except for a few
details.
We would like all vendors to agree on a common set of design rules.
The reason that most vendors have similar rules is because most vendors use the same
manufacturing equipment and a similar process.
Layout of library cells is either hand-crafted or uses some form of symbolic layout.
Symbolic layout is usually performed in one of two ways: using either interactive graphics or text
layout language.
Shapes are represented by simple lines or rectangles, known as sticks, in a symbolic layout.
The actual dimensions of the sticks are determined after layout is completed in a Post processing
step.
Graphical symbolic layout uses a text layout language, like a programming language such as C that
directs a program to assemble layout.
************************************************************************************
7
UNIT-V EC3552-VLSI AND CHIP DESIGN
CMOS Testing
Explain about Microchip design process.
Microchip design process:
The microchip design process involves several stages from conceptualization to production. Here is an
overview of the typical steps involved:
1. Specification: In this stage, the requirements and functionality of the microchip are defined.
Designers work closely with stakeholders to understand the application and performance targets.
2. Architecture Design: The chip's high-level architecture is developed, including the selection of
components, interconnections, and overall system design. This stage focuses on defining the chip's
functionality and how different components will interact.
3. RTL Design: The Register Transfer Level (RTL) design is created, describing the chip's behavior
using hardware description languages like Verilog or VHDL. RTL design forms the basis for later
stages.
4. Functional Verification: The RTL design is extensively tested to ensure it behaves as intended.
Various verification techniques, such as simulation, formal verification, and hardware emulation, are
employed to catch design bugs and issues.
5. Synthesis and Physical Design: The RTL code is synthesized into a gate-level netlist, which
represents the chip's physical implementation. The physical design phase involves floor planning,
placement, routing, and optimization to meet timing and area constraints.
6. Design for Testability (DFT): Techniques like scan chains, built-in self-test (BIST) structures, and
boundary scan are added to make the chip more testable during manufacturing and in the field.
7. Manufacturing: The final design is sent to a semiconductor foundry for fabrication. This process
involves photolithography and other steps to create the actual silicon chip.
8. Testing and Quality Assurance: After manufacturing, the chips undergo various testing
methodologies to ensure they meet the desired specifications and are free from defects.
****************************************************************
Explain the Issues in Test and Verification of Complex Chips, Embedded Cores, and SoCs:
Issues in Test and Verification of Complex Chips, Embedded Cores, and SoCs:
1. Complexity: As chips and systems-on-chip (SoCs) become more complex, the verification effort
increases exponentially. Ensuring all possible scenarios and corner cases are covered in testing becomes
challenging.
2. Verification Time and Cost: With the growing complexity, the time and cost required for functional
verification can become substantial.
8
UNIT-V EC3552-VLSI AND CHIP DESIGN
3. Integration Testing: Integrating various IP cores and subsystems onto a single chip or SoC
introduces new challenges in testing the interactions between these components.
4. Power and Clock Domains: Handling multiple power domains and clock domains in a chip requires
careful verification to ensure proper functionality and minimize power consumption.
5. Performance Verification: Ensuring that the chip operates at the desired performance levels under
all conditions and workloads is crucial, especially for high-performance chips.
6. Test Generation: Generating effective and efficient test patterns to cover various fault models is a
non-trivial task, especially for complex designs.
7. Debugging: Identifying and debugging issues in large and complex designs can be time-consuming
and requires advanced debugging techniques.
Fault Models:
Fault models are representations of potential defects that can occur in a chip or design. Common fault
models include:
1. Stuck-at Faults: These faults assume that a particular node in the circuit is stuck at either '0' or '1'.
2. Transition Delay Faults: These faults model timing-related issues, where a signal changes too
slowly or too fast.
3. Path Delay Faults: These faults model delays along specific paths in the circuit.
4. Bridge Faults: These faults represent a short circuit between two nets or nodes.
5. Cell-Aware Faults: These are specific to certain types of cells and are critical for nanometer-scale
technologies.
Test Coding:
Test coding involves writing test patterns to test the functionality and detect faults in a chip. Various
methods and languages can be used for test coding, such as:
1. ATPG (Automatic Test Pattern Generation): ATPG tools automatically generate test patterns
based on fault models.
2. BIST (Built-In Self-Test): BIST structures are embedded within the chip to facilitate self-testing.
3. Scan Chains: These enable efficient testing by serially scanning in test data and capturing results.
4. Testbenches: Testbenches are used for simulation-based verification, where test stimuli are applied to
the design, and responses are analyzed.
9
UNIT-V EC3552-VLSI AND CHIP DESIGN
5. High-Level Test Languages: Some specialized languages and tools are used for high-level test
descriptions, which can be automatically converted to lower-level test patterns.
In conclusion, designing and testing complex chips, embedded cores, and SoCs require a
thorough understanding of various verification techniques, fault models, and test coding
methods.
As technology continues to advance, the challenges in test and verification continue to evolve,
demanding innovative solutions and methodologies.
*********************************************************************
Explain about the test benches.
Introduction to test benches:
Test benches are an essential part of digital hardware and software development, especially in
the field of electronic design automation (EDA). They play a crucial role in verifying and
validating the functionality of digital circuits, integrated circuits (ICs), and other electronic
systems.
A test bench serves as a virtual environment in which designers can simulate the behavior of
their design, apply test stimuli, and observe the responses to ensure the correctness and
functionality of the design before it is physically implemented or manufactured.
1. Purpose: The primary purpose of a test bench is to thoroughly test the functionality of a digital
design or electronic system before its physical implementation. It allows designers to catch and fix
design errors and functional bugs in a controlled, simulated environment, reducing the risk of costly and
time-consuming errors in the final product.
2. Simulation Environment: A test bench is created as a separate entity from the actual design being
tested. It provides an environment that emulates the behavior of the design under test (DUT) and
contains the necessary stimuli to drive inputs and monitor outputs.
3. Simulation Types: Test benches are used in various types of simulations, such as functional
simulation, timing simulation, and power analysis. Each type of simulation focuses on different aspects
of the design and provides valuable insights into its behavior.
4. Test Stimuli: In a test bench, test stimuli are applied to the inputs of the DUT to simulate different
scenarios and conditions. These stimuli can be pre-defined patterns, random data, or specific corner
cases to test the design's robustness.
5. Output Monitoring: The test bench also includes monitors that observe and record the DUT's
outputs during the simulation. This allows designers to compare the expected outputs with the actual
outputs to check for correctness.
6. Debugging and Analysis: Test benches facilitate debugging by providing detailed information about
the DUT's behavior during simulation. Designers can analyze the waveform results to pinpoint errors
and verify that the design meets the required specifications.
7. Languages and Tools: Test benches are typically written using hardware description languages
(HDLs) like Verilog or VHDL. There are also higher-level verification languages, like SystemVerilog,
10
UNIT-V EC3552-VLSI AND CHIP DESIGN
which provide more advanced features for test bench creation. EDA tools such as simulation tools (e.g.,
ModelSim, VCS) and hardware description and verification languages make the process of test bench
creation more efficient and manageable.
8. Coverage Analysis: Test benches are instrumental in evaluating the functional coverage, code
coverage, and other metrics to assess the effectiveness and completeness of the tests.
9. Regression Testing: As designs evolve, test benches can be used for regression testing, ensuring that
any new changes or optimizations do not introduce new errors or regressions in the design.
In summary, test benches are an integral part of the hardware and software development process,
enabling designers to validate and verify digital designs through simulation.
They are crucial for achieving high-quality, bug-free, and robust designs, leading to reduced
development time and costs while ensuring the functionality and reliability of the final product.
**********************************************************
2. Explain the manufacturing test principles in detail. (NOV 2011, NOV 2012, NOV 2013)
Explain the chip level test techniques. (NOV 2007, MAY 2008, NOV 2021)
(a)Fault models
To deal with the existence of good and bad parts, it is necessary to propose a fault model, i.e., a
model of how faults occur and their impact on circuits.
(i) Stuck at faults.
In the Stuck-At model, a faulty gate input is modeled as a stuck at zero (Stuck-At-0, S-A-0) or
stuck at one (Stuck-At-l, S-A-l).
These faults most frequently occur due to gate oxide shorts (the nMOS gate to GND or the
pMOS gate to VDD) or metal-to-metal shorts.
12
UNIT-V EC3552-VLSI AND CHIP DESIGN
(c) Controllability
The controllability of an internal circuit node within a chip is a measure of the ease of setting the
node to a 1 or 0 state.
This metric is of importance when assessing the degree of difficulty of testing a particular signal
within a circuit.
An easily controllable node would be directly settable via an input pad.
(d) Repeatability
The repeatability of system is the ability to produce the same outputs given the same inputs.
(e) Survivability
The survivability of a system is the ability to continue function after a fault. For example, error-
correcting codes provide survivability in the event of soft errors.
(f) Fault coverage
A measure of goodness of a test program depend the amount of fault coverage by the test
program.
The fault coverage of a set of test vectors is the percentage of the total nodes that can be detected
as faulty when the vectors are applied.
Each circuit node is taken in the sequence and held to S_a_0, and then simulation started. The
chip’s outputs are compared with outputs of good machine.
13
UNIT-V EC3552-VLSI AND CHIP DESIGN
If the outputs of IC are not matched with the outputs of good, and then fault is marked and the
simulation is stopped.
The same procedure is repeated to set the node to logic 1. This method is known as sequential
fault grading.
Fault coverage is defined as ratio of the number of nodes detected as faults and total number of
nodes in the circuit.
(g) Automatic Test Pattern Generation (ATGP)
If want to test the gate which is embedded in large logic circuit, use existing circuit to create a
specific path from the location of gate which is going to be checked finding fault.
This technique is known as path sensitization. This process of creating the path is known as
propagation.
*****************************************************
3. Explain with diagram the design strategies for testing the CMOS devices. (NOV 2008, NOV
2009)
Write briefly about different test strategies of testing digital circuits. (MAY 2009)
Explain any two approaches of DFT (Design for Testability) in brief with example. (MAY 2010,
NOV 2009, MAY 2013)[Apr/May 2022]
Explain the three main approaches commonly used for design for testability (DFT). [May 2021]
4. Describe the adhoc testing to design for testability in detail. (NOV 2011) [Nov/Dec 2022]
A technique classified in this category is the use of the bus in a bus-oriented system for test
purposes.
Each register has been made loadable from the bus and capable of being driven onto the bus. The
internal logic values that exist on a data bus are enabled onto the bus for testing purposes.
The tester can access all the subsystems which are connected by the buses. The tester can
disconnect any functional unit from the bus by setting its output into high impedance state.
Test pattern for each subsystem can be applied separately.
14
UNIT-V EC3552-VLSI AND CHIP DESIGN
Multiplexers can be used to provide alternative signal paths during testing. In CMOS,
transmission gate multiplexers provide low area and delay overhead.
Any design should always have a method of resetting the internal state of the chip within a single
cycle or at most a few cycles.
Apart from making testing easier, this also makes simulation faster as a few cycles are required
to initialize the chip.
15
UNIT-V EC3552-VLSI AND CHIP DESIGN
16
UNIT-V EC3552-VLSI AND CHIP DESIGN
The Level Sensitive Scan Design (LSSD) methodology developed at IBM uses flip-flops with
two-phase non-overlapping clocks.
During scan mode, a scan clock φs is toggled in place of φ2.
The non-overlapping clocks also prevent hold time problems in normal operation, but increase
the sequencing overhead of the flip-flop.
17
UNIT-V EC3552-VLSI AND CHIP DESIGN
(Sub)-Circuit
Test Controller
A signature analyzer receives successive outputs of a combinational logic block and produces a
syndrome that is a function of these outputs.
The syndrome is reset to 0, and then XORed with the output on each cycle.
The syndrome is swizzled each cycle so that a fault in one bit is unlikely to cancel itself out.
At the end of a test sequence, the LFSR contains the syndrome that is a function of all previous
outputs.
This can be compared with the correct syndrome to determine whether the circuit is good or bad.
(ii) Build in- Self –Test (BIST) or Built –In Logic Block Observation (BILBO)
The combination of signature analysis and the scan technique creates a structure known as
BIST—for Built-In Self-Test or BILBO—for Built-In Logic Block Observation.
The 3-bit BIST register shown in Figure is a scannable, resettable register that also can serve as a
pattern generator and signature analyzer.
8. Explain the system level test techniques. (NOV 2007, MAY 2008, NOV 2008)
Explain in detail boundary – scan test. (MAY 2008, MAY 2013, NOV 2013, MAY 2014)
System defects occur at the board level, including open or shorted printed circuit board traces
and incomplete solder joints.
At the board level, “bed-of-nails” testers used to test boards.
In this type of a tester, the board-under-test is lowered onto a set of test points (nails) that probe
points of interest on the board.
These can be sensed (the observable points) and driven (the controllable points) to test the
complete board.
At the chassis level, software programs are frequently used to test a complete board set.
System designers agreeing on a unified scan-based methodology called boundary scan for testing
chips at the board (and system) level.
Boundary scan was originally developed by the Joint Test Access Group (JTAG)
Boundary scan has become a popular standard interface for controlling BIST features as well.
The IEEE 1149 boundary scan architecture is shown in Figure.
All of the I/O pins of each IC on the board are connected serially in a standardized scan chain
accessed through the Test Access Port (TAP)
19
UNIT-V EC3552-VLSI AND CHIP DESIGN
So that every pin can be observed and controlled remotely through the scan chain.
At the board level, ICs obeying the standard can be connected in series to form a scan chain
spanning the entire board.
Connections between ICs are tested by scanning values into the outputs of each chip and
checking that those values are received at the inputs of the chips they drive.
Moreover, chips with internal scan chains and BIST can access those features through boundary
scan to provide a unified testing framework.
20
UNIT-V EC3552-VLSI AND CHIP DESIGN
Boundary scan testing typically begins with the SAMPLE/PRELOAD instruction. Then, a data
value is preloaded into the boundary scan registers.
Next, the EXTEST or INTEST instruction is applied to activate the loaded value. Subsequent
data values are shifted into the boundary scan registers and the results of the tests are shifted out.
The TAP controller is initially reset. At this point, the core logic operates normally with an input
pattern of 0000 and an output pattern of 0001. Then the IR is loaded with 101
(SAMPLE/PRELOAD).
The data pattern 0111 is shifted in. The IR is loaded with 1000 (INTEST).
This sends the 0111 pattern to the core logic, producing an output pattern of 0110.
Finally, the data pattern 1111 is shifted in and the old output 0110 is shifted out.
Because the INTEST is still active, the 1111 is applied to the core, producing a new output of
1100.
It provides a uniform interface to single- and multiple-chip testing and circuit-board testing.
The specification requires at least two test-data registers are the boundary scan register and the
bypass register.
The boundary scan register is associated with all the inputs and outputs on the chip so that
boundary scan can observe and control the chip I/Os.
The bypass register is a single flip-flop used to accelerate testing by avoiding shifting data into
the boundary scan registers of idle chips.
When only a single chip on the board is being tested. Internal scan chain, BIST, or configuration
registers can be treated as optional additional data registers controlled by boundary scan.
The TAP Controller:
The TAP controller is a 16-state FSM that proceeds from state to state based on the TCK and
TMS signals.
It provides signals that control the test-data registers and the instruction register. These include
serial shift clocks and update clocks.
The state transition diagram is shown in Figure. The TAP controller is initialized to Test-Logic-
Reset on power-up by TRST* or an internal power-up detection circuit.
It moves from one state to the next on the rising edge of TCK based on the value of TMS.
22
UNIT-V EC3552-VLSI AND CHIP DESIGN
1. Module Declaration: Begin by declaring the test bench module, including the module name and any
ports that need to be connected to the DUT.
```verilog
module tb_example;
// Declare the DUT inputs and outputs
// (e.g., input ports and output ports)
// ...
endmodule
```
2. Instantiate the Design Under Test (DUT): In the test bench, instantiate the module representing the
DUT. Connect the DUT's input and output ports to the corresponding signals or wires in the test bench.
```verilog
module tb_example;
// Declare the DUT inputs and outputs
// ...
endmodule
```
24
UNIT-V EC3552-VLSI AND CHIP DESIGN
3. Test Stimuli: Within the test bench, apply test stimuli to the DUT's inputs. This can be done using
initial blocks or always blocks.
```verilog
module tb_example;
// Declare the DUT inputs and outputs
// ...
// End the simulation after all test cases have been executed
$finish;
end
endmodule
```
4. Output Monitoring: Use `initial` or `always` blocks to monitor and check the DUT's outputs during
simulation. You can use `$display`, `$monitor`, or assertion-based methods for this purpose.
```verilog
module tb_example;
// Declare the DUT inputs and outputs
// ...
25
UNIT-V EC3552-VLSI AND CHIP DESIGN
endmodule
```
5. Simulating the Test Bench: To simulate the test bench, use a Verilog simulator such as ModelSim,
VCS, or Questa. The simulation will execute the test cases defined in the test bench and display the
results and any assertion failures.
That's a basic outline of writing a test bench in Verilog HDL. Keep in mind that test benches can
become more complex, depending on the complexity of the DUT and the desired test scenarios.
Advanced test benches may include random stimulus generation, coverage analysis, and other
verification methodologies to thoroughly validate the DUT's functionality.
*******************************************
1. Fault Modeling: The first step in ATPG is to create a fault model that represents the potential defects
or faults in the DUT. Common fault models include stuck-at faults, transition faults, path delay faults,
and bridging faults. Each fault model describes a specific type of fault that can occur in the DUT.
2. Design Representation: The DUT's design is represented at the gate level, typically in the form of a
gate-level netlist. The netlist contains information about the gates, their connections, and the logical
behavior of the design.
3. Test Cube: A test cube represents the inputs and outputs of the DUT that are relevant to testing a
specific fault. It specifies the input patterns needed to activate the fault and the expected output
responses. The ATPG tool generates test patterns based on these test cubes.
26
UNIT-V EC3552-VLSI AND CHIP DESIGN
6. Simulation and Verification: The generated test patterns are then applied to the DUT in a simulation
environment or during manufacturing testing. The DUT's responses are compared with the expected
outputs to detect any faults. If the DUT fails the test, the specific fault(s) that caused the failure can be
identified for further diagnosis and debugging.
ATPG is a powerful technique that significantly improves the efficiency and coverage of semiconductor
testing. It helps ensure the quality and reliability of integrated circuits, enabling the detection of
manufacturing defects and design errors, thereby enhancing the overall product yield and performance.
*******************************************************
27
UNIT-V EC3552-VLSI AND CHIP DESIGN
1. Wafer Ingot Growth: The process begins with the growth of a silicon ingot. The silicon ingot is
sliced into thin, circular wafers using a diamond-tipped saw. These wafers serve as the base material for
manufacturing chips.
2. Wafer Cleaning: The wafers undergo rigorous cleaning processes to remove any contaminants or
particles that might have accumulated during handling or previous steps. Cleanliness is crucial to ensure
defect-free manufacturing.
3. Oxidation: The wafers are exposed to high-temperature oxygen or steam to create a thin layer of
silicon dioxide (SiO2) on their surface. This layer serves as an insulating material and also provides a
base for subsequent processes.
4. Photolithography: In this step, a photoresist material is applied to the wafer's surface. Light is then
shone through a photomask that contains the pattern of the desired circuit. The photoresist is exposed to
this patterned light, creating a mask on the wafer. This process defines the circuit pattern for the
subsequent steps.
5. Etching: The exposed parts of the wafer's surface are either removed or modified using chemical or
physical etching processes. This step transfers the pattern from the photomask onto the wafer, defining
the circuit layout.
6. Doping: Dopants (impurity atoms) are selectively introduced into specific areas of the wafer to
modify its electrical properties. This process creates regions with either excess or deficient electrons,
forming the various components of transistors (source, drain, gate, etc.).
7. Thin Film Deposition: Thin films of various materials, such as metal, polysilicon, or insulators, are
deposited onto the wafer surface using techniques like chemical vapor deposition (CVD) or physical
vapor deposition (PVD). These films serve as conductors or insulators in the circuit.
8. Chemical Mechanical Polishing (CMP): CMP is used to planarize the wafer's surface, making it
smooth and even. This is essential for accurate layering and subsequent processing steps.
9. Annealing: The wafer is heated in a controlled environment to activate dopants, repair crystal
damage, and improve the electrical properties of the fabricated components.
10. Chemical Mechanical Polishing (CMP): CMP is used to planarize the wafer's surface, making it
smooth and even. This is essential for accurate layering and subsequent processing steps.
11. Annealing: The wafer is heated in a controlled environment to activate dopants, repair crystal
damage, and improve the electrical properties of the fabricated components.
12. Testing: Throughout the process, various tests are conducted to ensure the quality of the chips being
manufactured. These tests help identify defects and ensure that the chips meet the required
specifications.
28
UNIT-V EC3552-VLSI AND CHIP DESIGN
13. Packaging: Once all the chips on the wafer are deemed functional, they are separated and assembled
into their respective packages. The packages provide protection and electrical connections to the chips,
enabling them to be mounted on printed circuit boards (PCBs).
14. Final Testing: After packaging, the chips undergo final testing to verify their functionality and
performance. Defective chips are discarded, and only fully functional chips are sent for distribution and
integration into electronic devices.
It's important to note that the above process is a simplified overview, and the actual fabrication
process can be much more complex, involving multiple iterations of the steps to create multiple
layers and intricate circuitry on a single chip.
Semiconductor manufacturing is a continuously evolving field, with advancements in technology
and miniaturization constantly pushing the boundaries of what is possible.
29
UNIT-V EC3552-VLSI AND CHIP DESIGN
I. Full-Custom ASICs
II. Semi-custom ASICs
a. Standard-Cell–Based ASICs (CBIC)
b. Gate-Array–Based ASICs (MPGA)
Channeled Gate Array
Channel less Gate Array
Structured Gate Array
III. Programmable ASICs
a. Complex Programmable Logic Devices (CPLD)
b. Field-Programmable Gate Arrays (FPGA)
30
UNIT-V EC3552-VLSI AND CHIP DESIGN
31
UNIT-V EC3552-VLSI AND CHIP DESIGN
32
UNIT-V EC3552-VLSI AND CHIP DESIGN
33
UNIT-V EC3552-VLSI AND CHIP DESIGN
1.Logic blocks
Based on memories (LUT – Lookup Table) Xilinx
Based on multiplexers (Multiplexers) Actel
Based on PAL/PLA (PAL - Programmable Array Logic, PLA – Programmable Logic Array)
Altera
Transistor Pairs
2. Interconnection Resources
Symmetrical FPGA-s
Row-based FPGA-s
Sea-of-gates type of FPGA-s
Hierarchical FPGA-s (CPLD)
3. Input-output cells (I/O Cell)
32. Name the elements in a Configuration Logic Block. (April 2017)
The I/O Block (IOB) interfaces between the internal logic and the device user I/O pins.
Each IOB includes an input buffer, output driver, output enable selection multiplexer, and
user programmable ground control.
37. What is feed through cells? State their uses. (May 2016)
A Feed through is a connection that needs to cross over a row of standard cells.
Feed through cells needed for vertical routing for routing using the same metal layer(s) as within
cells.
39. What are the types of programmable logic device (Programmable ASIC)?
PLA
PAL
FPGA
40. What is meant by ASIC?
Application Specific Integrated Circuit is an Integrated Circuit (IC) designed to perform a
specific function for a specific application.
41. What is an antifuse? State its merits and demerits. (Nov 2016)
Antifuse is nothing high resistance (>100 MΩ) is changed into low resistance(200-500Ω) by
applying programming voltage.
35
UNIT-V EC3552-VLSI AND CHIP DESIGN
Merit: Antifuses separate interconnect wires on the FPGA chip and the programmer blows
an antifuse to make a permanent connection.
Demerit: Once an antifuse is programmed, the process can’t be reversed.
51. State all the test vectors to test 3 input NAND gate. [May/June-2009]
Three inputs test vector are 000, 001, 010, 011, 100, 101, 110 and 111.
52. What are the test fixtures required to test a chips? [Nov/Dec-2011]
To test a chip, various types of test fixtures may be required. These are
Probe card: It is used to test at the wafer level or unpackaged die level with a chip tester.
Load board: It is used to test a packaged part with a chip tester.
Printed circuit board (PCB): It is used for bench-level testing (with or without a tester).
PCB with the chip in situ: It is used for demonstrating the system application for which the
chip is used.
53. What is meant by test program?
The tester requires a test program. This program is written in a high-level language that supports
a library of primitives for a particular tester.
Functionality test is to check whether logic block works with correct logic. It leads to
imperfection of logic function. It is done before fabrication process.
37
UNIT-V EC3552-VLSI AND CHIP DESIGN
67. What is meant by silicon debugging principles and name some probes used for it?
Silicon debugging principles are those technique which can directly access the silicon for testing.
LVP-Laser Voltage Probing, PICA-Picasecond Imaging Circuit Analysis.
38
UNIT-V EC3552-VLSI AND CHIP DESIGN
75. List any two faults that occur during manufacturing. [Nov/DEC-2008]
Struck at faults and struck open fault.
81. What are the 3 approaches in design for testability? (or) List out design required for
testing in CMOS chip design. [Apr/May-2008]
Three approaches in design for testability are
Adhoc testing
Scan based testing
BIST- Built In Self Test
84. List the common techniques for ad hoc testing. (NOV 2021)
1. Buddy testing 2. Pair testing 3. Monkey testing
85. What is signature analyzer?
Signature analyzer is a block which observes the output signal.
(Sub)-Circuit
Test Controller
42
UNIT-V EC3552-VLSI AND CHIP DESIGN
107. What are logic verification principles? [May 2013, Nov 2013]
Verifying the logical principles of the circuit by the following ways test benches &
Harness, regression testing, version control and bug tracking.
43
UNIT-V EC3552-VLSI AND CHIP DESIGN
107. Identify the ways to optimize the manufacturability, to increase yield. [May 2021]
Examine workflow
Invest in employee training.
Modernize your business process.
Invest in smart machining equipment.
Develop realistic expectations.
Stay organized.
Create a culture of collaboration.
Invest in preventative maintenance.
108. What are the advantages and disadvantages of BIST? [Nov/Dec 2022]
Advantages of implementing BIST include:
1) Lower cost of test, since the need for external electrical testing using an ATE will be
reduced, if not eliminated
2) Better fault coverage, since special test structures can be incorporated onto the chips
3) Shorter test times if the BIST can be designed to test more structures in parallel
4) Easier customer support
5) Capability to perform tests outside the production electrical testing environment. The last
advantage mentioned can actually allow the consumers themselves to test the chips prior to
mounting or even after these are in the application boards.
44
UNIT-V EC3552-VLSI AND CHIP DESIGN
UNIT V
ASIC DESIGN AND TESTING
Question bank
45