Program Book Semester-Term D5
Program Book Semester-Term D5
Program Book Semester-Term D5
BACHELOR OF TECHNOLOGY
In
CH.HARI CHANDRIKA(20A81A04D5)
Department of ECE
(2020 – 2024)
PROGRAM BOOK FOR
SEMESTER INTERNSHIP
20A81A04D5
01/12/23 31/4/24
JNTUK University
4th
An Internship Report on
Submitted by:
1
Official Certification
This is to certify that CH HARI CHANDRIKA Reg. No. 20A81A04D5 has
completed his/her Internship in BIST TECHNOLOGIES PVT.LTD. on DESIGN
FOR TESTABILITY (DFT) under my supervision as a part of partial fulfillment of
the requirement for the Degree of B.Tech in the department of ELECTRONICS AND
COMMUNICATON ENGINEERING in SRI VASAVI ENGINEERING
COLLEGE.
Endorsements
Faculty Guide
Principal
2
Certificate from Intern Organization
3
Acknowledgements
We take this opportunity to express our profound sense of gratitude in all its
humbleness to our beloved Mr. K. PASIPALANA RAO., M.Tech, Assistant Professor
in Electronics and Communication Engineering, Sri Vasavi Engineering College,
Tadepalligudem. For his excellent guidance, meticulous care and enthusiastic
encouragement in motivating us to take up this challenging task and helped us in the
completion of the Internship.
We are indebted to Dr. E. KUSUMA KUMARI, Ph.D., Professor & Head of the
Department of Electronics and Communication Engineering, for her constant
encouragement during execution of the Internship.
4
Contents
5
CHAPTER 1: EXECUTIVE SUMMARY
The internship program embarked on an immersive journey into the intricate world of
Application-Specific Integrated Circuit (ASIC) design and testing methodologies. Spanning
across 14 weeks, the program aimed to equip participants with advanced knowledge and
practical skills essential for navigating the complexities of ASIC development. Through a
structured curriculum and hands-on activities, participants delved deep into various aspects of
ASIC design optimization, fault diagnosis, testing efficiency enhancement, and automation.
The first module laid the groundwork by introducing participants to fundamental concepts
such as clock gating, X-propagation, and fault aliasing. These concepts formed the cornerstone
of ASIC testing, providing participants with a solid understanding of the challenges inherent in
ensuring the reliability and functionality of ASIC designs. Practical exercises and case studies
enabled participants to grasp the real-world implications of these concepts, setting the stage for
further exploration.
Subsequent modules delved into advanced ASIC testing techniques, including scan
compression, adaptive scan, and at-speed testing. Participants gained insights into the latest
methodologies and tools used to optimize testing efficiency and maximize fault coverage.
Hands-on sessions with industry-standard tools allowed participants to apply theoretical
knowledge to practical scenarios, reinforcing their understanding and skillset.
A significant focus was placed on scripting languages such as Tool Command Language
(TCL) for automation. Participants learned how to leverage TCL scripting to streamline ASIC
design and testing processes, automate repetitive tasks, and enhance productivity.
Through practical exercises, participants honed their scripting abilities, empowering them to
create efficient and scalable solutions for ASIC development projects.
6
The internship culminated in an exploration of advanced hierarchical scan design
methodologies and top-down scan insertion approaches. Participants learned how to efficiently
manage large-scale ASIC designs, optimize scan chain architectures, and integrate scan testing
seamlessly into the design flow. Practical exercises and project work provided participants with
hands-on experience in applying these methodologies to the real-world ASIC projects.
7
CHAPTER 2: OVERVIEW OF THE ORGANIZATION
We are at the forefront of innovation in the world of software and hardware technologies.
As a prominent systems integrator and technology provider, we have established ourselves with
the core objective of delivering cutting-edge and intelligent technical solutions along with top-
tier consulting services. Our mission is to empower businesses, organizations, and government
entities with efficient, secure, and intelligent access to valuable information resources.
OUR COMMITMENT
We take pride in our exceptional team, comprising some of the most talented technical and
non-technical professionals. Continual investment in skills and know-how development is at the
heart of our operations. This commitment ensures that our clients benefit from world-class
solutions and services delivered in the most cost-effective manner.
System Integration:
8
Our expertise lies in seamlessly connecting various systems and technologies to create
holistic solutions that cater to the unique needs of our clients. We understand that different
technologies and platforms can coexist, and our team excels at making them work together
seamlessly.
VISION
we are driven by a clear vision: to be the foremost technology company that transcends the
boundaries of the IT and non-IT sectors. We are dedicated to advancing beyond our current
position in the market and becoming a pioneering force in the realm of technology.
MISSION
we are a professional firm specializing in web development, mobile applications, robotics,
communication, machine learning, and digital marketing. Our mission is to provide our valued clients
with customer-centric, results-driven, and cost-competitive IT & non-IT solutions that are marked by
innovation.
9
CHAPTER 3: INTERNSHIP PART
Description of Activities/Responsibilities:
Working Conditions: The working conditions at BIST Technologies were conducive
to learning and growth. The office environment was vibrant and inclusive, fostering
collaboration and creativity among interns and employees alike. The organization prioritized
employee well-being and provided necessary amenities to ensure a comfortable and productive
workspace.
project deliverables.
Conducting research on emerging technologies and industry trends to stay updated with the
latest developments.
Developing technical documentation, reports, and presentations for internal and external
stakeholders.
10
Skills Acquired:
The internship at BIST Technologies provided me with a valuable learning experience and
helped me acquire a range of skills, including:
Technical proficiency in software tools and technologies relevant to my field of study.
Project management skills, including task prioritization, time management, and teamwork.
stakeholders.
Problem-solving and critical thinking abilities, honed through hands-on projects and real-
world challenges.
Adaptability and resilience in navigating complex and fast-paced work environments.
11
ACTIVITY LOG FOR THE FIRST WEEK
Identify various
Different Blocks in SOC components/modules
Day – 3 within a SOC.
Recognize common
defects in silicon
Defects in Silicon
Day –6 manufacturing.
12
WEEKLY REPORT
WEEK – 1 (From Dt 01/12/2023 to Dt 06/12/2023)
13
ACTIVITY LOG FOR THE SECOND WEEK
14
WEEKLY REPORT
WEEK – 2 (From Dt 08/12/2023 to Dt 13/12/2023)
15
ACTIVITY LOG FOR THE THIRD WEEK
Understand
Day –6 Controllability, Observability & controllability,
Testability observability, and
testability concepts.
16
WEEKLY REPORT
WEEK – 3 (From Dt 15/12/2023 to Dt 20/12/2023)
Moreover, attendees explored the utilization of libraries in ASIC design, including link libraries,
target libraries, and search paths, optimizing design efficiency and accuracy. The week also covered
stuck-at fault models and concepts of controllability, observability, and testability, enhancing
participants' understanding of fault detection and testability enhancement in ASIC designs.
17
ACTIVITY LOG FOR THE FORTH WEEK
Understand techniques
Day – 4 Optimization of Clock Pulses for optimizing clock
pulses in scan designs.
Day – 5 Scan Benefits & Scan Models Explore the benefits and
different models of scan
testing.
18
WEEKLY REPORT
WEEK – 4 (From Dt 22/12/2023 to Dt 27/12/2023)
During the fourth week, participants delved deeper into scan testing methodologies, which
are widely used for fault detection in ASICs. They began by understanding the principles and
implementation of scan testing, including its operation and integration into ASIC designs.
Additionally, attendees learned about clock pulse optimization techniques to improve the
efficiency and accuracy of scan testing.
Furthermore, participants explored different scan models and designs, assessing their benefits
and limitations for optimized ASIC testing. The week concluded with a comparison of various
scan designs, enabling participants to evaluate their effectiveness in fault detection and make
informed decisions about scan implementation strategies.
19
ACTIVITY LOG FOR THE FIFTH WEEK
Understand advanced
Day – 4 Scan Golden Rules (10,11) rules for scan design
optimization.
20
WEEKLY REPORT
WEEK – 5 (From Dt 29/12/2023 to Dt 03/01/2024)
The fifth week focused on advancing participants' knowledge and skills in scan testing
methodologies. Participants learned fundamental and advanced rules for effective scan design,
ensuring adherence to best practices for successful scan implementation. They also explored
different scan types and their applications, gaining insights into the benefits and limitations of
each type.
21
ACTIVITY LOG FOR THE SIXTH WEEK
22
WEEKLY REPORT
WEEK – 6 (From Dt 05/01/2024 to Dt 10/01/2024)
During this week, participants delved into advanced scan testing techniques, including partial
and partition scan implementation. They learned how to efficiently detect faults in ASICs with
reduced overhead through partial scan techniques. Furthermore, participants explored strategies
for resolving various design rule check (DRC) issues, ensuring ASIC compliance with
manufacturing standards.
Additionally, participants gained insights into lock-up latch functionality and applications in
ASIC design, understanding how lock-up latches contribute to improved reliability and
performance. Overall, this week provided participants with the knowledge and skills needed to
navigate the complexities of ASIC testing and contribute effectively to ASIC projects.
23
ACTIVITY LOG FOR THE SEVEN WEEK
Day Person
Brief description of the daily In-
& Learning Outcome
activity Charge
Date Signature
Understand the
Clock Gating concept of clock
1 gating and its
significance.
Explore the purpose
2 Why Lock Up Latches and benefits of lock-
up latches.
Learn about the
Purpose of Lock Up Latches in industrial
3 Industries applications of lock-
up latches.
Familiarize with EDA
4 Introduction to EDA Tools tools like Micro
wind, Tanner, and
Cadence.
Differentiate
5 Schematic vs. Layout between schematic
and layout diagrams.
Practice creating
6 Schematic Diagrams Using EDA Tools schematic diagrams
for different gates.
24
WEEKLY REPORT
WEEK – 7 (From Dt 12/01/2024 to Dt 17/01/2024)
25
ACTIVITY LOG FOR THE EIGTH WEEK
26
WEEKLY REPORT
WEEK – 8 (From Dt 19/01/2024 to Dt 24/01/2024)
During the eighth week, participants focused on honing their skills in schematic and layout
design for ASICs. They began by creating schematic diagrams for various logic gates and CMOS
circuits, gaining a deeper understanding of circuit design principles and interconnections. This
was complemented by an introduction to layout diagrams, where participants learned about their
importance in translating schematic designs into physical layouts.
Moreover, participants practiced creating layout diagrams for different logic gates and CMOS
circuits, further reinforcing their understanding of physical implementation and interconnections.
The week concluded with hands-on practice sessions on both schematics and layouts, providing
participants with the opportunity to apply their knowledge and skills in a practical setting.
27
ACTIVITY LOG FOR THE NINETH WEEK
Day - 2 TCL Scripting for Scan Insertion Practice TCL scripting for
scan insertion in ASIC
designs.
28
WEEKLY REPORT
WEEK – 9 (From Dt 26/01/2024 to Dt 31/01/2024)
The nineth week focused on advancing participants' automation and optimization capabilities
in ASIC design and testing. They started by learning TCL scripting for scan insertion, enabling
them to automate the process and improve efficiency. This was followed by sessions on checking
Design Rule Check (DRC) issues and creating test protocols using TCL scripting, streamlining
the design and testing processes.
29
ACTIVITY LOG FOR THE TENTH WEEK
Day - 2 Test Time & Test Data Learn about test time and
test data optimization
techniques in ASIC
testing.
Understand the
Day – 4 Scan Compression Blocks implementation and
benefits of scan
compression blocks.
30
WEEKLY REPORT
WEEK – 10 (From Dt 02/02/2024 to Dt 07/02/2024)
During this week, participants delved into advanced ASIC testing techniques, focusing on
compression and scan chain bridging. They learned about compression and decompression techniques
to reduce test data volume and improve efficiency, as well as scan chain bridging for testing ASICs
with multiple scan chains. Participants also learned to calculate compression ratios, enabling them to
evaluate the effectiveness of compression techniques accurately.
Overall, the week equipped participants with advanced knowledge and skills in ASIC testing, enabling
them to optimize test time, test data, and overall testing efficiency in ASIC designs.
31
ACTIVITY LOG FOR THE ELEVENTH WEEK
Learn about X-
Day - 2 X-Propagation propagation issues and
their impact on ASIC
designs.
Explore advanced
Day –6 Advanced Compression Techniques compression techniques
for improving test
efficiency.
32
WEEKLY REPORT
WEEK – 11 (From Dt 09/02/2024 to Dt 14/02/2024)
Throughout Week 11, participants delved into advanced concepts related to ASIC testing and
optimization. They started by exploring X-tolerance, understanding its significance in ensuring the
robustness of ASIC designs in the presence of unknown states ('X'). This was followed by an
examination of X-propagation issues, wherein participants learned about the challenges associated
with propagating unknown states through digital circuits and explored techniques to mitigate their
impact.
Moreover, participants delved into fault aliasing, understanding how faults can be misinterpreted
or masked during testing, potentially leading to inaccurate diagnosis or undetected faults. This
segment emphasized the importance of thorough fault analysis techniques to ensure reliable ASIC
designs. Furthermore, participants explored scan compression techniques, including the use of bypass
mechanisms, to optimize test data volume and improve testing efficiency.
Overall, Week 11 provided participants with a deeper understanding of advanced ASIC testing
concepts, equipping them with the knowledge and skills needed to tackle complex challenges in ASIC
design and testing.
33
ACTIVITY LOG FOR THE TWELVETH WEEK
Understand the
Day – 4 TCL Scripting on Compression & implementation and
Decompression benefits of scan
compression blocks.
34
WEEKLY REPORT
WEEK – 12 (From Dt 16/02/2024 to Dt 21/02/2024)
During Week 12, participants focused on advancing their expertise in ASIC testing techniques and
automation. They began by exploring adaptive scan techniques, which dynamically adjust scan chain
lengths to optimize test application time and data volume. This was followed by an examination of
serializer and deserializer circuits, essential components for transmitting data serially across ASICs.
Furthermore, participants delved into at-speed testing methodologies, learning how to test ASICs
under operational clock frequencies to detect timing-related faults. Additionally, participants honed
their scripting skills through TCL scripting exercises focused on compression and decompression
algorithms, essential for optimizing test data volume and test time in ASIC testing.
Overall, Week 12 enhanced participants' proficiency in advanced ASIC testing techniques and
scripting, preparing them to tackle complex challenges in ASIC design and testing automation.
35
ACTIVITY LOG FOR THE THIRTEENTH WEEK
Understand the
Day –6 Requirements for OCC requirements and
specifications for on-chip
clock controllers.
36
WEEKLY REPORT
WEEK – 13 (From Dt 23/02/2024 to Dt 28/02/2024)
Week 13 focused on refining participants' skills in creating comprehensive test protocols and
verifying ASIC designs for compliance. Participants learned techniques for creating detailed test
protocols that ensure thorough testing coverage and adherence to design specifications. They also
explored methods for verifying Design Rule Check (DRC) reports to identify and rectify potential
design rule violations.
Moreover, participants learned about optimizing scan chain balance to improve testing efficiency
and reduce test application time. Additionally, they gained insights into the requirements and
specifications for on-chip clock controllers, essential components for synchronizing clock signals
within ASICs.
Overall, Week 13 provided participants with the necessary skills and knowledge to create robust test
protocols, verify ASIC designs for compliance, and optimize testing efficiency through scan chain
balancing and on-chip clock controller implementation.
37
ACTIVITY LOG FOR THE FOURTEENTH WEEK
38
WEEKLY REPORT
WEEK – 14 (From Dt 01/03/2024 to Dt 06/03/2024)
During the final week, participants focused on advanced hierarchical scan design methodologies
and top-down scan insertion approaches. They learned about hierarchical scan design steps, including
partitioning the design hierarchy and inserting scan chains at various levels of abstraction.
Furthermore, participants practiced TCL scripting for implementing on-chip clock controllers,
essential components for managing clock signals within ASICs efficiently. This segment emphasized
automation techniques to streamline the design and testing process, improving productivity and
reliability.
Overall, Week 14 enhanced participants' proficiency in advanced hierarchical scan design
methodologies, top-down scan insertion approaches, and TCL scripting for on-chip clock controller
implementation, preparing them to tackle complex ASIC design and testing challenges with
confidence.
39
CHAPTER 4: OUTCOMES DESCRIPTION
During my internship at BIST Technologies Pvt. Ltd., I experienced a positive and
collaborative work environment that facilitated learning and growth. The interactions with
colleagues, supervisors, and mentors were characterized by professionalism, mutual respect,
and a willingness to support one another. Team members were approachable and accessible,
fostering open communication and collaboration.
Facilities at BIST Technologies were well-maintained and conducive to productivity.
The office space was equipped with modern amenities, including ergonomic workstations,
high-speed internet, and access to industry-standard software tools. The organization
prioritized employee comfort and provided a clean and organized workspace, promoting a
sense of professionalism and focus.
Protocols, procedures, and processes were well-defined and communicated effectively
to interns. From onboarding procedures to project management workflows, there was a
structured approach to how tasks were assigned, executed, and reviewed. This clarity
promoted efficiency and accountability, allowing interns to navigate their responsibilities
with confidence.
Discipline and time management were integral aspects of the work culture at BIST
Technologies. Interns were expected to adhere to deadlines, prioritize tasks effectively, and
manage their time efficiently to meet project milestones. Supervisors provided guidance on
time management techniques and encouraged interns to maintain a disciplined approach to
their work.
Harmonious relationships and socialization were encouraged within the organization. Team-
building activities, informal gatherings, and collaborative projects provided opportunities for
interns to socialize with colleagues and build rapport. Mutual support and teamwork were
evident, with colleagues readily offering assistance, sharing knowledge, and collaborating on
projects to achieve common goals.
Motivation was fostered through a combination of intrinsic and extrinsic factors. Interns
were motivated by the opportunity to learn new skills, contribute to meaningful projects, and
receive recognition for their efforts. Additionally, the organization provided incentives such
as mentorship opportunities, professional development resources, and potential career
advancement pathways, further motivating interns to perform at their best.
40
Space and ventilation in the office environment were adequate, promoting a comfortable and
productive work atmosphere. The layout of the workspace allowed for collaboration and
interaction among team members while also providing areas for focused individual work.
Ventilation systems ensured good air quality, contributing to the overall well-being and
productivity of employees
During my internship at BIST Technologies Pvt. Ltd., I gained specialized knowledge and
hands-on experience in VLSI Design for Testability (DFT), which is essential for ensuring the
efficient testing and diagnosis of integrated circuits (ICs) during the manufacturing process.
Through focused training sessions and practical projects in the field of DFT, I acquired the
following technical skills:
1. Scan Design Techniques:
I learned about scan design methodologies, including scan chain implementation, scan flip-
flops insertion, and scan path generation. I gained practical experience in designing and
optimizing scan chains to facilitate thorough testing of ICs for manufacturing defects and faults.
I learned about DFT guidelines and principles for designing ICs with testability in mind. I
gained insights into techniques for enhancing controllability, observability, and fault coverage
in IC designs, ensuring comprehensive testability during the manufacturing process.
41
I gained proficiency in ATPG algorithms and techniques for generating high-quality test
patterns to detect and diagnose faults in IC designs. I learned how to optimize ATPG flows to
minimize test application time and maximize fault coverage.
I learned about design verification and validation techniques for ensuring the correctness
and robustness of DFT implementations. I gained experience in verifying DFT structures using
simulation, formal verification, and emulation techniques.
I acquired knowledge of DFT insertion flows and methodologies for integrating DFT
structures into IC designs. I learned how to analyse design constraints, perform DFT insertion,
and verify DFT functionality to meet design requirements.
42
Describe the real time technical skills you have acquired (in terms of the job-
related skills and hands on experience)
A DFT internship equips you with valuable hands-on experience and job-related technical skills.
Here's a breakdown of what you might learn:
Scan Flow Automation: Gain experience using industry-standard DFT tools for automating the
Scan Chain Insertion: Learn how to insert scan chains into circuits to improve
controllability and observability for testing.
Boundary Scan (BScan): Gain experience implementing Boundary Scan (BScan)
techniques for testing integrated circuits (ICs).
Test Point Insertion: Practice identifying and inserting test points within a design to
facilitate easier testing.
DFT Analysis & Optimization:
DFT Coverage Analysis: Learn how to analyze DFT coverage and identify areas of the
design that may not be thoroughly tested.
Power Optimization for Test: Gain experience with techniques to optimize power
consumption during the testing process.
43
Hardware/Software Co-design for Test (if applicable): In some internships, you might
get involved with exploring hardware-software co-design methodologies for DFT
implementation.
Real-World Application:
Case Studies: Analyze real-world DFT case studies to understand how these techniques
are applied in practical scenarios.
Project Experience: Work on practical DFT projects, applying the learned techniques to
actual circuit designs. This hands-on experience is invaluable for showcasing your skills to
potential employers.
Beyond Technical Skills:
Remember, a good internship also exposes you to the broader DFT workflow. You might gain
insights into:
DFT Methodology: Understand the different stages of the DFT flow and how they
contribute to overall design quality.
DFT Standards: Learn about industry-standard practices and guidelines for DFT
implementation.
Communication & Collaboration: Collaborate with colleagues and supervisors on DFT
projects, fostering communication and teamwork skills.
44
Describe the managerial skills you have acquired (in terms of planning, leadership, team
work, behaviour, workmanship, productive use of time, weekly improvement in competencies,
goal setting, decision making, performance analysis, etc.
Your DFT internship provides a valuable platform to develop and refine your managerial skills.
Here's how you can leverage your experience:
Planning & Organization:
Initiative Taking: Volunteer for challenging tasks and demonstrate proactive problem-
solving.
Team Player: Actively participate in teamwork, listen to others' ideas, and be willing to
compromise.
Delegation (if applicable): If managing a small team, learn to delegate tasks effectively
based on strengths and expertise.
Time Management: Track your time efficiently and meet deadlines consistently.
Workmanship: Deliver high-quality work, pay attention to detail, and strive for continuous
improvement.
Communication: Communicate clearly, concisely, and professionally with colleagues,
supervisors, and any clients you interact with.
Goal Setting & Performance Analysis:
SMART Goals: Set Specific, Measurable, Attainable, Relevant, and Time-bound goals
for yourself during the internship.
45
Track Progress: Regularly monitor your progress towards your goals and adjust strategies
as needed.
Self-Evaluation: Reflect on your performance weekly. Identify areas for improvement and
discuss them with your supervisor.
Decision Making & Problem Solving:
46
Describe how you could improve your communication skills (in terms of improvement
in oral communication, written communication, conversational abilities, confidence levels while
communicating, anxiety management, understanding others, getting understood by others,
extempore speech, ability to articulate the key points, closing the conversation, maintaining
niceties and protocols, greeting, thanking and appreciating others, etc.,)
Communication Skills Developed During my DFT Internship
Volunteer for Writing Tasks: Offer to draft reports, emails, or summaries to hone your
writing style.
Proofread Drafts: Proofread your work and that of colleagues to build an eye for detail
and clarity.
Use Active Voice: Strive for clear, concise writing using the active voice whenever
possible.
Tailor Your Writing: Adapt your writing style to the audience (formal for reports,
informal for emails).
47
Conversational Skills & Understanding:
Active Listening: Pay close attention to others, ask questions, and summarize key points
to show engagement.
Non-verbal Cues: Maintain eye contact, use open body language, and smile appropriately
to build rapport.
Empathy: Try to understand the other person's perspective. Ask clarifying questions if
needed.
Networking: Mingle with colleagues and supervisors during breaks or informal gatherings.
Brainstorm Key Points: Before discussions or presentations, jot down key points you want
to convey.
Practice Impromptu Speaking: Participate in brainstorming sessions where you can speak
without extensive preparation.
Summarize Key Points: Briefly summarize the conversation's main points to ensure
understanding.
Next Steps: If applicable, suggest next steps or action items.
Maintain Formalities: Use greetings, thanks, and acknowledgements appropriately.
Professionalism: Maintain a professional demeanor throughout your communication.
Anxiety Management:
Preparation is Key: The more prepared you are, the less anxious you'll feel. Practice
presentations and rehearse conversations beforehand.
Deep Breathing: Utilize deep breathing exercises to calm yourself before presentations or
challenging conversations.
Positive Self-Talk: Focus on positive affirmations. Replace "I can't" with "I can do this."
Getting Understood:
48
Clarity is King: Speak clearly and concisely, avoiding jargon or overly technical language.
Examples & Analogies: Use examples and analogies to illustrate complex points.
Tailor Your Message: Consider your audience's knowledge level and tailor your
communication accordingly.
49
Describe how could you could enhance your abilities in group discussions, participation
in teams, contribution as a team member, leading a team/activity.
Enhancing My Abilities in Group Dynamics and Teamwork in DFT
Internship
Here's how I can leverage DFT training to enhance my abilities in group discussions, team
participation, contribution, and leadership:
Group Discussions:
Technical Expertise: The knowledge gained from DFT training strengthens your
understanding of the subject matter. This allows you to contribute valuable insights and
clarifications during discussions, fostering a more informed conversation.
Active Listening: Pay close attention to the ideas presented by others in the DFT training.
This demonstrates respect and allows you to identify areas where your expertise can
complement their points or ask relevant questions to gain a deeper understanding.
Team Participation:
Collaboration: DFT projects often involve collaborating with engineers from different
disciplines. Use the training to share your DFT knowledge and actively seek out
opportunities to learn from others' expertise. This collaborative spirit strengthens the team.
Problem-solving: DFT training equips you with analytical skills for test design and fault
diagnosis. Apply these skills to identify and troubleshoot challenges faced by the team
during the training. This proactive approach demonstrates your problem-solving abilities.
Initiative: DFT training might involve practical exercises or projects. Take initiative by
proposing approaches, suggesting solutions, or volunteering for specific tasks. This
demonstrates your willingness to go the extra mile.
Reliability: When assigned tasks during the DFT training, ensure you deliver high-quality
work on time. This builds trust and establishes you as a reliable team member.
50
Leading a Team/Activity:
Communication Skills: DFT training can involve presenting your findings or leading
discussions. Use this as an opportunity to hone your communication skills by clearly
explaining technical concepts and actively engaging the team.
Leadership by Example: During DFT training exercises, strive to be a role model by
demonstrating effective collaboration, problem-solving, and adherence to deadlines. This
inspires and motivates others.
Additional Tips:
Seek Feedback: Actively seek constructive feedback from your trainers or peers during the
DFT training. This allows you to identify areas for improvement in your group work and
leadership style.
Practice Makes Perfect: DFT training might involve role-playing or group presentations.
Use these opportunities to practice your communication and collaboration skills in a safe
environment.
By actively participating and applying the learnings from your DFT training, you can significantly
enhance your abilities in group discussions, team participation, contribution, and leadership.
51
Describe the technological developments you have observed and relevant to the subject
area of training (focus on digital technologies relevant to your job role)
Recent Technological Advancements in DFT Internship
Here are some recent technological developments relevant to Design for Testability (DFT) what I
learnt in area of training:
As chip densities increase, power consumption becomes a critical concern. New DFT techniques are
being developed to ensure proper testing while minimizing power consumption during the testing
process. This might involve using specialized clock gating techniques or low-power scan chains.
Machine learning is being explored to automate various aspects of DFT, such as test pattern generation
and fault diagnosis. This can improve the efficiency and effectiveness of the testing process, especially
for complex circuits.
3. Hardware-assisted DFT:
There's a growing trend of incorporating DFT hardware directly into the chip design. This can include
embedded test engines or Built-In Self-Test (BIST) circuits that can perform self-testing without external
equipment.
4. Security-aware DFT:
With growing security concerns, DFT techniques are being developed to consider potential security
vulnerabilities during testing. This might involve ensuring that test data doesn't leak sensitive
information or leave the chip vulnerable to attacks.
Modern design automation tools are increasingly incorporating DFT capabilities. This allows
designers to easily implement DFT techniques during the design process itself, leading to a more holistic
and efficient approach.
52
Student Self Evaluation of the Short-Term Internship
Date of Evaluation:
1 Oral communication 1 2 3 4 5
2 Written communication 1 2 3 4 5
3 Proactiveness 1 2 3 4 5
4 Interaction ability with community 1 2 3 4 5
5 Positive Attitude 1 2 3 4 5
6 Self-confidence 1 2 3 4 5
7 Ability to learn 1 2 3 4 5
8 Work Plan and organization 1 2 3 4 5
9 Professionalism 1 2 3 4 5
10 Creativity 1 2 3 4 5
11 Quality of work done 1 2 3 4 5
12 Time Management 1 2 3 4 5
13 Understanding the Community 1 2 3 4 5
14 Achievement of Desired Outcomes 1 2 3 4 5
15 OVERALL PERFORMANCE 1 2 3 4 5
53
Evaluation by the Supervisor of the Intern Organization
Date of Evaluation:
Please note that your evaluation shall be done independent of the Student’s self-
evaluation
Rating Scale: 1 is lowest and 5 is highest rank
1 Oral communication 1 2 3 4 5
2 Written communication 1 2 3 4 5
3 Proactiveness 1 2 3 4 5
4 Interaction ability with community 1 2 3 4 5
5 Positive Attitude 1 2 3 4 5
6 Self-confidence 1 2 3 4 5
7 Ability to learn 1 2 3 4 5
8 Work Plan and organization 1 2 3 4 5
9 Professionalism 1 2 3 4 5
10 Creativity 1 2 3 4 5
11 Quality of work done 1 2 3 4 5
12 Time Management 1 2 3 4 5
13 Understanding the Community 1 2 3 4 5
14 Achievement of Desired Outcomes 1 2 3 4 5
15 OVERALL PERFORMANCE 1 2 3 4 5
54
PHOTOS & VIDEO LINKS
55
Figure 3 Scan Operation
During my DFT internship at BIST Technologies, I'm diving deep into the
concept of scan operations. Through classroom guidance, I'm gaining a solid
theoretical understanding of how they function within the DFT framework. To solidify
this knowledge, I'm actively practicing with industry-standard tools. This hands-on
experience allows me to not only grasp the "what" of scan operations but also master
the "how" of implementing them effectively. This combined learning approach ensures
I can confidently apply scan operations in various practical applications.
56