Ug Qps TP Simulation
Ug Qps TP Simulation
Contents
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
2
Contents
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
3
UG-20180 | 2018.09.24
Send Feedback
Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus
and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other
countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in ISO
accordance with Intel's standard warranty, but reserves the right to make changes to any products and services 9001:2015
at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any Registered
information, product, or service described herein except as expressly agreed to in writing by Intel. Intel
customers are advised to obtain the latest version of device specifications before relying on any published
information and before placing orders for products or services.
*Other names and brands may be claimed as the property of others.
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
Note: Gate-level timing simulation of an entire design can be slow and should be avoided.
Gate-level timing simulation is supported only for the Arria II GX/GZ,Cyclone IV, MAX
II, MAX V, and Stratix IV device families.. Use Timing Analyzer static timing analysis
rather than gate-level timing simulation.
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
5
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
VHDL • For VHDL RTL simulation, compile design files directly in your simulator. You must also
compile simulation models from the Intel FPGA simulation libraries and simulation models
for the IP cores in your design. Use the Simulation Library Compiler to compile simulation
models.
• To use NativeLink automation, analyze and elaborate your design in the Intel Quartus Prime
software, and then use the NativeLink simulator scripts to compile the design files in your
simulator.
• For gate-level simulation, the EDA Netlist Writer generates a synthesized design netlist VHDL
Output File (.vho). Compile the .vho in your simulator. You may also need to compile
models from the Intel FPGA simulation libraries.
• IEEE 1364-2005 encrypted Verilog HDL simulation models are encrypted separately for each
simulation vendor that the Quartus Prime software supports. To simulate the model in a
VHDL design, you must have a simulator that is capable of VHDL/Verilog HDL co-simulation.
Verilog HDL • For RTL simulation in Verilog HDL or SystemVerilog, compile your design files in your
-SystemVerilog simulator. You must also compile simulation models from the Intel FPGA simulation libraries
and simulation models for the IP cores in your design. Use the Simulation Library Compiler
to compile simulation models.
• For gate-level simulation, the EDA Netlist Writer generates a synthesized design netlist
Verilog Output File (.vo). Compile the .vo in your simulator.
Mixed HDL • If your design is a mix of VHDL, Verilog HDL, and SystemVerilog files, you must use a mixed
language simulator. Choose the most convenient supported language for generation of Intel
FPGA IP cores in your design.
• Intel FPGA provides the entry-level ModelSim - Intel FPGA Edition software, along with
precompiled Intel FPGA simulation libraries, to simplify simulation of Intel FPGA designs.
Starting in version 15.0, the ModelSim - Intel FPGA Edition software supports native, mixed-
language (VHDL/Verilog HDL/SystemVerilog) co-simulation of plain text HDL.
If you have a VHDL-only simulator and need to simulate Verilog HDL modules and IP cores,
you can either acquire a mixed-language simulator license from the simulator vendor, or use
the ModelSim - Intel FPGA Edition software.
Schematic You must convert schematics to HDL format before simulation. You can use the converted VHDL
or Verilog HDL files for RTL simulation.
Scripted Simulation Flows Scripted simulation supports custom control of all aspects of simulation, such
as custom compilation commands, or multipass simulation flows. Use a
version-independent top-level simulation script that "sources" Intel Quartus
Prime-generated IP simulation setup scripts. The Intel Quartus Prime
software generates a combined simulator setup script for all IP cores, for
each supported simulator.
NativeLink Simulation Flow NativeLink automates Intel Quartus Prime integration with your EDA
simulator. Setup NativeLink to generate simulation scripts, compile simulation
libraries, and automatically launch your simulator following design
compilation. Specify your own compilation, elaboration, and simulation scripts
for testbench and simulation model files. Do not use NativeLink if you require
direct control over every aspect of simulation.
Note: The Intel Quartus Prime Pro Edition software does not support
NativeLink simulation.
Specialized Simulation Flows Supports specialized simulation flows for specific design variations, including
the following:
continued...
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
6
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
Related Information
• IP User Guide Documentation
• AN 351: Simulating Nios II Embedded Processors Designs
• Creating a System With Platform Designer (Standard)
Before running simulation, you must compile the appropriate simulation models from
the Intel Quartus Prime simulation libraries using any of the following methods:
• Use the NativeLink feature to automatically compile your design, Intel FPGA IP,
simulation model libraries, and testbench.
• To automatically compile all required simulation model libraries for your design in
your supported simulator, click Tools ➤ Launch Simulation Library Compiler.
Specify options for your simulation tool, language, target device family, and output
location, and then click OK.
• Compile Intel Quartus Prime simulation models manually with your simulator.
Use the compiled simulation model libraries to simulate your design. Refer to your
EDA simulator's documentation for information about running simulation.
Note: The specified timescale precision must be within 1ps when using Intel Quartus Prime
simulation models.
Related Information
Intel Quartus Prime Simulation Models
In Intel Quartus Prime Pro Edition Help
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
7
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
The Intel Quartus Prime software provides integration with many simulators and
supports multiple simulation flows, including your own scripted and custom simulation
flows. Whichever flow you choose, IP core simulation involves the following steps:
1. Generate simulation model, testbench (or example design), and simulator setup
script files.
2. Set up your simulator environment and any simulation scripts.
3. Compile simulation model libraries.
4. Run your simulator.
• To specify your supported simulator and options for IP simulation file generation,
click Assignment ➤ Settings ➤ EDA Tool Settings ➤ Simulation.
• To parameterize a new IP variation, enable generation of simulation files, and
generate the IP core synthesis and simulation files, click Tools ➤ IP Catalog.
• To edit parameters and regenerate synthesis or simulation files for an existing IP
core variation, click View ➤ Project Navigator ➤ IP Components.
• To edit parameters and regenerate synthesis or simulation files for an existing IP
core variation, click View ➤ Utility Windows ➤ Project Navigator ➤ IP
Components.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
8
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
Note: Intel FPGA IP cores support a variety of cycle-accurate simulation models, including
simulation-specific IP functional simulation models and encrypted RTL models, and
plain text RTL models. The models support fast functional simulation of your IP core
instance using industry-standard VHDL or Verilog HDL simulators. For some IP cores,
generation only produces the plain text RTL model, and you can simulate that model.
Use the simulation models only for simulation and not for synthesis or any other
purposes. Using these models for synthesis creates a nonfunctional design.
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
9
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
Related Information
AN 343: Intel FPGA IP Evaluation Mode of AMPP IP
Note: The Intel Quartus Prime Pro Edition does not support NativeLink simulation. If you use
NativeLink for Intel Arria 10 devices in the Intel Quartus Prime Standard Edition, you
must add the .qsys file generated for the IP or Platform Designer (Standard) system
to your Intel Quartus Prime project. If you use NativeLink for any other supported
device family, you must add the .qip and .sip files to your project.
To specify NativeLink settings in the Intel Quartus Prime Standard Edition software,
follow these steps:
1. Open an Intel Quartus Prime Standard Edition project.
2. Click Tools > Options and specify the location of your simulator executable file.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
10
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
Simulator Path
3. Click Assignments ➤ Settings and specify options on the Simulation page and
the More NativeLink Settings dialog box. Specify default options for simulation
library compilation, netlist and tool command script generation, and for launching
RTL or gate-level simulation automatically following compilation.
4. If your design includes a testbench, turn on Compile test bench. Click Test
Benches to specify options for each testbench. Alternatively, turn on Use script
to compile testbench and specify the script file.
5. To use a script to setup a simulation, turn on Use script to setup simulation.
NativeLink compiles simulation libraries and launches and runs your RTL simulator
automatically according to the NativeLink settings.
4. Review and analyze the simulation results in your simulator. Correct any functional
errors in your design. If necessary, re-simulate the design to verify correct
behavior.
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
11
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
Use these to compile libraries and generate simulation scripts for custom simulation
flows:
• NativeLink-generated scripts—use NativeLink only to generate simulation script
templates to develop your own custom scripts.
• Simulation Library Compiler—compile Intel FPGA simulation libraries for your
device, HDL, and simulator. Generate scripts to compile simulation libraries as part
of your custom simulation flow. This tool does not compile your design, IP, or
testbench files.
• IP and Platform Designer (Standard) simulation scripts—use the scripts generated
for Intel FPGA IP cores and Platform Designer (Standard) systems as templates to
create simulation scripts. If your design includes multiple IP cores or Platform
Designer (Standard) systems, you can combine the simulation scripts into a single
script, manually or by using the
ip-make-simscript utility.
Post-synthesis and post-fit gate-level simulations run significantly slower than RTL
simulation. Intel FPGA recommends that you verify your design using RTL simulation
for functionality and use the Timing Analyzer for timing. Timing simulation is not
supported for Arria V, Cyclone V, Stratix V, and newer families.
2017.11.06 17.1.0 • Added Simulation Library Compiler details to Quick Start Example
2017.05.08 17.0.0 • Gate-level timing simulation limited to Arria II GX/GZ,Cyclone IV, MAX II, MAX V, and
Stratix IV device families.
continued...
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
12
1. Simulating Intel FPGA Designs
UG-20180 | 2018.09.24
2016.10.31 16.1.0 • Updated simulator support table with latest version information.
• Clarified license requirements for mixed language simulation with VHDL.
• Gate-level timing simulation limited to Stratix IV and Cyclone IV devices.
May 2013 13.0.0 • Updated introductory section and system and IP file locations.
November 2012 12.1.0 • Revised chapter to reflect latest changes to other simulation documentation.
November 2011 11.1.0 • Added information about encrypted Altera simulation model files.
• Added information about IP simulation and NativeLink.
Related Information
Documentation Archive
For previous versions of the Intel Quartus Prime Handbook, search the
documentation archives.
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
13
UG-20180 | 2018.09.24
Send Feedback
Note: The latest version of theModelSim - Intel FPGA Edition software supports native,
mixed-language (VHDL/Verilog HDL/SystemVerilog) co-simulation of plain text HDL. If
you have a VHDL-only simulator, you can use the ModelSim-Intel FPGA Edition
software to simulate Verilog HDL modules and IP cores. Alternatively, you can
purchase separate co-simulation software.
Related Information
• Simulating Intel FPGA Designs on page 4
• Managing Intel Quartus Prime Projects
Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus
and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other
countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in ISO
accordance with Intel's standard warranty, but reserves the right to make changes to any products and services 9001:2015
at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any Registered
information, product, or service described herein except as expressly agreed to in writing by Intel. Intel
customers are advised to obtain the latest version of device specifications before relying on any published
information and before placing orders for products or services.
*Other names and brands may be claimed as the property of others.
2. ModelSim - Intel FPGA Edition, ModelSim, and QuestaSim
UG-20180 | 2018.09.24
Use the compiled simulation model libraries during simulatation of your design.
Refer to your EDA simulator's documentation for information about running
simulation.
3. Compile your design and testbench files:
vlog -work work <design or testbench name>.v
Note: Encrypted simulation model files shipped with the Intel Quartus Prime software
version 10.1 and later can only be read by ModelSim-Intel FPGA Edition software
version 6.6c and later. These encrypted simulation model files are located at the
<Intel Quartus Prime System directory>/quartus/eda/sim_lib/<mentor>
directory.
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
15
2. ModelSim - Intel FPGA Edition, ModelSim, and QuestaSim
UG-20180 | 2018.09.24
lpm_add_sub#(.lpm_width(12), .lpm_direction("Add"),
.lpm_type("LPM_ADD_SUB"),
.lpm_hint("ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO" ))
lpm_add_sub_component (
.dataa (dataa),
.datab (datab),
.result (sub_wire0)
);
Note: The sequence of the parameters depends on the sequence of the GENERIC in the
VHDL component declaration.
To run the ModelSim and QuestaSim software in speed-optimized mode, add the
following two vlog command-line switches. In this mode, module boundaries are
flattened and loops are optimized, which eliminates levels of debugging hierarchy and
may result in faster simulation. This switch is not supported in the ModelSim-Intel
FPGA Edition simulator.
Turning on the transport delay options in the ModelSim and QuestaSim software
prevents the simulator from filtering out these pulses. Intel Arria 10 devices do not
support timing simulation.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
16
2. ModelSim - Intel FPGA Edition, ModelSim, and QuestaSim
UG-20180 | 2018.09.24
+transport_path_delays Use when simulation pulses are shorter than the delay in a gate-level primitive. You must
include the +pulse_e/number and +pulse_r/number options.
+transport_int_delays Use when simulation pulses are shorter than the interconnect delay between gate-level
primitives. You must include the +pulse_int_e/number and +pulse_int_r/number
options.
The following ModelSim and QuestaSim software command shows the command line
syntax to perform a gate-level timing simulation with the device family library:
# ** Error: C:/altera_trn/DUALPORT_TRY/simulation/modelsim/
DUALPORT_TRY.vho(31):
(vcom-1136) Unknown identifier "stratixiv"
verror 1136
Note: If your design includes deep levels of hierarchy, and the Maintain hierarchy EDA
tools option is turned on, this may result in a large number of module instances in
post-fit or post-map netlist. This condition can exceed the ModelSim-Intel FPGA
Edition instance limitation.
To avoid exceeding any ModelSim-Intel FPGA Edition instance limit, turn off Maintain
hierarchy to reduce the number of modules instances to 1 in the post-fit or post-map
netlist. To acces this option, click Assignments ➤ Settings ➤ EDA Tool Settings ➤
More Settings.
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
17
2. ModelSim - Intel FPGA Edition, ModelSim, and QuestaSim
UG-20180 | 2018.09.24
To generate and use a .vcd for power analysis, follow these steps:
1. In the Intel Quartus Prime software, click Assignments ➤ Settings.
2. Under EDA Tool Settings, click Simulation.
3. Turn on Generate Value Change Dump file script, specify the type of output
signals to include, and specify the top-level design instance name in your
testbench. For example, if your top level design name is Top, and your testbench
wrapper calls Top as instance Top_inst, specify the top level design instance
name as Top_inst.
4. Click Processing ➤ Start Compilation. The Compiler creates the
<filename>_dump_all_vcd_nodes.tcl file, the ModelSim simulation
<filename>_run_msim_gate_vhdl/verilog.do file (including the .vcd
and .tcl execution lines). Use the <filename>_dump_all_vcd_nodes.tcl to
dump all of the signals that you expect for input back into the Power Analysis.
5. Elaborate and compile the design in your simulator.
6. Source the <filename>_run_msim_gate_vhdl/verilog.do file, and then run
the simulation. The simulator opens the .vcd file that contains the dumped signal
file transition information.
7. Stop the simulation if your testbench does not have a break point.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
18
2. ModelSim - Intel FPGA Edition, ModelSim, and QuestaSim
UG-20180 | 2018.09.24
Related Information
ModelSim Web Page
Alternatively, if you are using the simulator at the command line, you can type the
following command:
vsim -c -do msim_setup.tcl
In this example, the top-level simulation files are stored in the same directory as the
original IP core, so this variable is set to the IP-generated directory structure. The
QSYS_SIMDIR variable provides the relative hierarchy path for the generated IP
simulation files. The script calls the generated msim_setup.tcl script and uses the
alias commands from the script to compile and elaborate the IP files required for
simulation along with the top-level simulation testbench. You can specify additional
simulator elaboration command options when you run the elab command, for
example, elab +nowarnTFMPC. The last command run in the example starts the
simulation.
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
19
2. ModelSim - Intel FPGA Edition, ModelSim, and QuestaSim
UG-20180 | 2018.09.24
• Intel Quartus Prime does not support companion licensing for ModelSim.
• The USB software guard is not supported by versions earlier than ModelSim
software version 5.8d.
• For ModelSim software versions prior to 5.5b, use the PCLS utility included with
the software to set up the license.
• Some versions of ModelSim and QuestaSim support SystemVerilog, PSL
assertions, SystemC, and more. For more information about specific feature
support, refer to Mentor Graphics literature
Related Information
ModelSim-Intel FPGA Edition Software Web Page
Related Information
Documentation Archive
For previous versions of the Intel Quartus Prime Handbook, search the
documentation archives.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
20
UG-20180 | 2018.09.24
Send Feedback
Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus
and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other
countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in ISO
accordance with Intel's standard warranty, but reserves the right to make changes to any products and services 9001:2015
at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any Registered
information, product, or service described herein except as expressly agreed to in writing by Intel. Intel
customers are advised to obtain the latest version of device specifications before relying on any published
information and before placing orders for products or services.
*Other names and brands may be claimed as the property of others.
3. Synopsys VCS and VCS MX Support
UG-20180 | 2018.09.24
+transport_path_delays Use when simulation pulses are shorter than the delay in a gate-level primitive. You must
include the +pulse_e/number and +pulse_r/number options.
+transport_int_delays Use when simulation pulses are shorter than the interconnect delay between gate-level
primitives. You must include the +pulse_int_e/number and +pulse_int_r/number
options.
The following VCS and VCS MX software command runs a post-synthesis simulation:
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
22
3. Synopsys VCS and VCS MX Support
UG-20180 | 2018.09.24
To generate and use a .vcd for power analysis, follow these steps:
1. In the Intel Quartus Prime software, click Assignments ➤ Settings.
2. Under EDA Tool Settings, click Simulation.
3. Turn on Generate Value Change Dump file script, specify the type of output
signals to include, and specify the top-level design instance name in your
testbench.
4. Click Processing ➤ Start Compilation.
5. Use the following command to include the script in your testbench where the
design under test (DUT) is instantiated:
include <revision_name>_dump_all_vcd_nodes.v
Note: Include the script within the testbench module block. If you include the
script outside of the testbench module block, syntax errors occur during
compilation.
6. Run the simulation with the VCS command. Exit the VCS software when the
simulation is finished and the <revision_name>.vcd file is generated in the
simulation directory.
The scripts for VCS and VCS MX are vcs_setup.sh (for Verilog HDL or SystemVerilog)
and vcsmx_setup.sh (combined Verilog HDL and SystemVerilog with VHDL). Read
the generated .sh script to see the variables that are available for override when
sourcing the script or redefining directly if you edit the script. To set up the simulation
for a design, use the command-line to pass variable values to the shell script.
sh vcsmx_setup.sh\
USER_DEFINED_ELAB_OPTIONS=+rad\
USER_DEFINED_SIM_OPTIONS=+vcs+lic+wait
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
23
3. Synopsys VCS and VCS MX Support
UG-20180 | 2018.09.24
Related Information
Documentation Archive
For previous versions of the Intel Quartus Prime Handbook, search the
documentation archives.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
24
UG-20180 | 2018.09.24
Send Feedback
Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus
and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other
countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in ISO
accordance with Intel's standard warranty, but reserves the right to make changes to any products and services 9001:2015
at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any Registered
information, product, or service described herein except as expressly agreed to in writing by Intel. Intel
customers are advised to obtain the latest version of device specifications before relying on any published
information and before placing orders for products or services.
*Other names and brands may be claimed as the property of others.
4. Cadence Simulator Support
UG-20180 | 2018.09.24
ncvlog ncvlog compiles your Verilog HDL code and performs syntax and static semantics checks.
ncvhdl ncvhdl compiles your VHDL code and performs syntax and static semantics checks.
ncsim Runs mixed-language simulation. This program is the simulation kernel that performs
event scheduling and executes the simulation code.
VHDL netlist files do not contain system task calls to locate your .sdf file; therefore,
you must compile the standard .sdo file manually. Locate the .sdo file in the same
directory where you run elaboration or simulation. Otherwise, the $sdf_annotate
task cannot reference the .sdo file correctly. If you are starting an elaboration or
simulation from a different directory, you can either comment out the
$sdf_annotate and annotate the .sdo file with the GUI, or add the full path of
the .sdo file.
Note: If you use NC-Sim for post-fit VHDL functional simulation of a Stratix V design that
includes RAM, an elaboration error might occur if the component declaration
parameters are not in the same order as the architecture parameters. Use the -
namemap_mixgen option with the ncelab command to match the component
declaration parameter and architecture parameter names.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
26
4. Cadence Simulator Support
UG-20180 | 2018.09.24
Note: If you do not specify an output name, ncsdfc uses <project name>.sdo.X
2. Specify the compiled .sdf file for the project by adding the following command to
an ASCII SDF command file for the project:
COMPILED_SDF_FILE = "<project name>.sdf.X" SCOPE = <instance path>
3. After compiling the .sdf file, type the following command to elaborate the design:
ncelab worklib.<project name>:entity –SDF_CMD_FILE <SDF Command File>
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
27
4. Cadence Simulator Support
UG-20180 | 2018.09.24
-PULSE_R Use when simulation pulses are shorter than the delay in a gate-level primitive. The
argument is the percentage of delay for pulse reject limit for the path
-PULSE_INT_R Use when simulation pulses are shorter than the interconnect delay between gate-level
primitives. The argument is the percentage of delay for pulse reject limit for the path
To view a waveform from a .trn file through SimVision, follow these steps:
1. Type simvision at the command line. The Design Browser dialog box appears.
2. Click File ➤ Open Database and click the .trn file.
3. In the Design Browser dialog box, select the signals that you want to observe
from the Hierarchy.
4. Right-click the selected signals and click Send to Waveform Window.
You cannot view a waveform from a .vcd file in SimVision, and the .vcd file
cannot be converted to a .trn file.
Read the generated .sh script to see the variables that are available for you to
override when you source the script or that you can redefine directly in the
generated .sh script. For example, you can specify additional elaboration and
simulation options with the variables USER_DEFINED_ELAB_OPTIONS and
USER_DEFINED_SIM_OPTIONS.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
28
4. Cadence Simulator Support
UG-20180 | 2018.09.24
Related Information
Documentation Archive
For previous versions of the Intel Quartus Prime Handbook, search the
documentation archives.
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
29
UG-20180 | 2018.09.24
Send Feedback
Use the compiled simulation model libraries during simulatation of your design.
Refer to your EDA simulator's documentation for information about running
simulation.
3. Open the Active-HDL simulator.
4. Create and open the workspace:
createdesign <workspace name> <workspace path>
opendesign -a <workspace name>.adf
5. Create the work library and compile the netlist and testbench files:
vlib work
vcom -strict93 -dbg -work work <output netlist> <testbench file>
Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus
and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other
countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in ISO
accordance with Intel's standard warranty, but reserves the right to make changes to any products and services 9001:2015
at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any Registered
information, product, or service described herein except as expressly agreed to in writing by Intel. Intel
customers are advised to obtain the latest version of device specifications before relying on any published
information and before placing orders for products or services.
*Other names and brands may be claimed as the property of others.
5. Aldec Active-HDL and Riviera-PRO * Support
UG-20180 | 2018.09.24
+transport_path_delays Use when simulation pulses are shorter than the delay in a gate-level primitive. You must
include the +pulse_e/number and +pulse_r/number options.
+transport_int_delays Use when simulation pulses are shorter than the interconnect delay between gate-level
primitives. You must include the +pulse_int_e/number and +pulse_int_r/number
options.
To perform a gate-level timing simulation with the device family library, type the
Active-HDL command:
vsim -t 1ps -L stratixii -sdftyp /i1=filtref_vhd.sdo \
work.filtref_vhd_vec_tst +transport_int_delays +transport_path_delays
Send Feedback Intel Quartus Prime Standard Edition User Guide: Third-party Simulation
31
5. Aldec Active-HDL and Riviera-PRO * Support
UG-20180 | 2018.09.24
Related Information
Simulating IP Cores
Related Information
Documentation Archive
For previous versions of the Intel Quartus Prime Handbook, search the
documentation archives.
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
32
UG-20180 | 2018.09.24
Send Feedback
Related Information
• Intel Quartus Prime Standard Edition User Guide: Getting Started
Introduces the basic features, files, and design flow of the Intel Quartus Prime
Standard Edition software, including managing Intel Quartus Prime Standard
Edition projects and IP, initial design planning considerations, and project
migration from previous software versions.
• Intel Quartus Prime Standard Edition User Guide: Platform Designer
Describes creating and optimizing systems using Platform Designer (Standard),
a system integration tool that simplifies integrating customized IP cores in your
project. Platform Designer (Standard) automatically generates interconnect
logic to connect intellectual property (IP) functions and subsystems.
• Intel Quartus Prime Standard Edition User Guide: Design Recommendations
Describes best design practices for designing FPGAs with the Intel Quartus
Prime Standard Edition software. HDL coding styles and synchronous design
practices can significantly impact design performance. Following recommended
HDL coding styles ensures that Intel Quartus Prime Standard Edition synthesis
optimally implements your design in hardware.
• Intel Quartus Prime Standard Edition User Guide: Design Compilation
Describes set up, running, and optimization for all stages of the Intel Quartus
Prime Standard Edition Compiler. The Compiler synthesizes, places, and routes
your design before generating a device programming file.
• Intel Quartus Prime Standard Edition User Guide: Design Optimization
Describes Intel Quartus Prime Standard Edition settings, tools, and techniques
that you can use to achieve the highest design performance in Intel FPGAs.
Techniques include optimizing the design netlist, addressing critical chains that
limit retiming and timing closure, and optimization of device resource usage.
• Intel Quartus Prime Standard Edition User Guide: Programmer
Describes operation of the Intel Quartus Prime Standard Edition Programmer,
which allows you to configure Intel FPGA devices, and program CPLD and
configuration devices, via connection with an Intel FPGA download cable.
• Intel Quartus Prime Standard Edition User Guide: Partial Reconfiguration
Describes Partial Reconfiguration, an advanced design flow that allows you to
reconfigure a portion of the FPGA dynamically, while the remaining FPGA
design continues to function. Define multiple personas for a particular design
region, without impacting operation in other areas.
Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus
and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other
countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in ISO
accordance with Intel's standard warranty, but reserves the right to make changes to any products and services 9001:2015
at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any Registered
information, product, or service described herein except as expressly agreed to in writing by Intel. Intel
customers are advised to obtain the latest version of device specifications before relying on any published
information and before placing orders for products or services.
*Other names and brands may be claimed as the property of others.
A. Intel Quartus Prime Standard Edition User Guides
UG-20180 | 2018.09.24
Intel Quartus Prime Standard Edition User Guide: Third-party Simulation Send Feedback
34