IJTech EECE 798 VLSI Circuit Optimization For 8051 MCU
IJTech EECE 798 VLSI Circuit Optimization For 8051 MCU
Kim Ho Yeap1*, Kang Wei Thee1, Koon Chun Lai1, Humaira Nisar1, K. Chandrasekaran
Krishnan1
1
Faculty of Engineering and Green Technology, Universiti Tunku Abdul Rahman, Jalan Universiti,
Bandar Barat, 31900 Kampar, Perak. Malaysia
ABSTRACT
With the aid of Electronic Design Automation tools, we perform circuit optimization on the
8051 microcontroller. The original 8051 microcontroller operates at a clock frequency 12 MHz,
and it was designed based on 3.5-µm process technology. Hence, the device is slow and the
chip size is large. To enhance the performance of the device and to minimize the die size, we
used 90-nm technology in our design. We first performed optimization when mapping the RTL
codes with the 90 nm standard cell libraries. Once the gate level netlist was generated, we
developed the layout of the device by going through floor-planning, placement, and routing. We
show that our new design is capable of operating at 150 MHz (i.e., 12.5 times faster than the
original design), with a significant reduction in chip size (i.e., the total area is 77249.814850
µm2). The power consumption of the chip is 593.9899 µW, which is at least 32% lower than
that of other 8051 derivatives.
1. INTRODUCTION
Despite being introduced by Intel more than three decades ago in 1980, the MCS-51 or better
known as the 8051 microcontroller remains one of the most popular general purpose
microcontrollers in use until today. Numerous vendors have developed these microcontrollers
and are still releasing updates for their enhanced binary compatible 8051 derivatives. This
explains the continued popularity of the device. Applications of the 8051 microcontroller
encompass a wide range of technical areas, including embedded systems, robotics, and
telecommunications (Chang et al., 2013; Kanniga & Sundarajan, 2015; Jain et al., 2013; Pahuja
& Kumar, 2014; Arsalan, 2013).
The performance of the original Intel 8051 microcontroller, however, is relatively obsolete in
today’s technology. The device, for example, only operates at 8-bit with a low clock frequency
of 12 MHz. Since the technology process of this device is 3.5 µm, it also has a large chip size
and can therefore only be fabricated in a dual inline package (DIP). The current applications of
the original 8051 microcontroller are quite restricted. It is mainly used as a teaching material in
undergraduate engineering courses.
To enhance the performance of the original 8051 microcontroller, we perform Very Large Scale
Integration (VLSI) circuit optimization on the device. Here, we present modification on the
VLSI design of the 8051 microcontroller from its Register Transfer Language (RTL) code to its
*Corresponding author’s email: [email protected], Tel: +60-5-468 8888, Fax: +60-5-466 1313
Permalink/DOI: https://doi.org/10.14716/ijtech.v9i1.798
Yeap et al. 143
Graphic Database System II (GDSII) file. We demonstrate that by synthesizing the RTL codes
based on an updated transistor technology and by redesigning the layout of the chip, the
performance of the device (i.e., its clock frequency) can be significantly enhanced and the chip
size minimized. By carefully managing the power consumption when optimizing the device,
our new design requires considerably lower power in comparison with its other derivatives.
2. METHODOLOGGY
Designing a complete chip from its system specifications to its final layout is a laborious
process. At the front end, the design flow involves RTL design, design verification, logic
synthesis, and static timing analysis (STA), whereas at the back end, it involves floor-planning,
power network synthesis (PNS), clock tree synthesis (CTS), placement and routing, chip
finishing, and physical verification. Although the flow may appear routine, some of these
processes are actually iterative, and therefore, they may have to be repeated more than once.
This is particularly true when violations – such as timing, design rule checks (DRC), layout
versus schematic (LVS) violations – are found during verifications. For our VLSI design, we
implement Electronic Design Automation (EDA) tools, i.e. Design Compiler for synthesis and
IC Compiler for physical design (Synopsys, 2007). Here, we illustrate in detail the essential
processes applied in our circuit optimization.
2.1. Synthesis
To reduce the design turn-around-time, we obtained Intel 8051 equivalent RTL source code
from Oregano Systems (2013). After compiling the RTL code and performing libraries and
design checks, we synthesize the design with the 90-nm technology libraries. In the mapping
process, we set the clock frequency to operate at 150 MHz and the die area to be minimum.
Once the design passes all the analysis and meets all the design constraints, the gate level netlist
is generated. The netlist is required in the physical design stage in order to develop the layout of
the design.
2.2. Floor Planning
In the physical design, the libraries for floor planning are first set up. The core area and routing
area are then defined. The core utilization ratio is set to the appropriate value in order to provide
margins for routability. Once the floor plan is created, the standard cells are legally placed
without any optimization. This process is known as virtual flat placement. This is done in order
to analyze the impact of the floor plan on timing violations and routing congestions as well as
to plan the power structure. Routing congestions usually occur when a large amount of wire
nets are routed in a narrow area. The tool applies global routing methodology to check for
routing congestions. In the global routing process, the tool divides the chip into global-route
cells (GRCs). Each GRC consists of a finite number of routing wire. The tool then calculates
the ratio of the amount of routed nets to the number of available nets in each GRC. A ratio of
more than one indicates that routing congestion exists in that particular grid cell. If the
congestions are found to be unacceptable, modifications are performed on the top-level pads or
ports, core aspect ratio and size, as well as the power grid structure.
The last step in floor planning is power network synthesis (PNS). After the logical power and
ground connections are defined, the power rails along the standard cell placement rows are
created. The current and resistance or IR drop map is then used to analyze the power network.
2.3. Placement and Routing
Once the design passes the PNS test, it is ready for placement. At this stage, the EDA tool
performs area, power, and timing optimizations and also clock tree synthesis (CTS) on the
design. Timing and congestion are subsequently analyzed to check for violations. If violations
are found, the path grouping approach is typically applied at the affected areas. After those
144 VLSI Circuit Optimization for the 8051 MCU
paths are grouped based on the clocks, which control their endpoints, optimizations and CTS
are performed again. This process will be repeated until all violations are solved. The
incremental-timing-driven logic optimization, with placement legalization, is used to perform
final placement on the design.
Once placement is completed, the tool performs global routing for the initial routing. This is
followed by detailed routing and optimization. DRC violations are commonly detected at this
stage. Some of these violations could be fixed by running the Engineering Change Order (ECO)
route. Resolving others, such as those that involve spacing issues, may not be as
straightforward. Very often, we may have to revert back to floor planning again to resolve the
violations. Hence, these two processes – floor planning and placement and routing – would
have to be executed in an iterative manner.
2.4. Physical Verification
At the final design stage, LVS is performed to compare the physical layout with the optimized
gate level netlist. This is to ensure that the logical descriptions of the design are not altered
during the physical implementation process. Some final verifications, such as CTS and final
area analysis, are conducted before streaming out the GDSII file. The GDSII file is necessary
for fabricating the chip.
Figure 2 shows the virtual placement, which was created to check for routing congestions and
timing violations. As can be observed from Figure 3, the virtual placement report indicates that
Yeap et al. 145
the total number of cells violating the core area is zero. Thus, no violations are detected and all
cells can be placed into the core area, i.e., 80% of the total design area.
A portion of the congestion heat map is shown in Figure 4. The congestion heat map is used to
visualize the severity of congestion in the global route cells (GRCs). As mentioned in the
previous section, the EDA tool calculates the ratio of the amount of routed nets to the number
of available nets in each GRC. As can be seen from Figure 4, the ratios in the GRCs are less
than one. The result suggests that there is no congestion in that particular portion. A summary
of the congestion report is shown in Figure 5. From the report, we can see that the total number
of GRCs is 10100, and none of them experience overflows. In other words, there is no routing
congestion in the design.
The top hierarchical view of the final layout after routing and verifications and a close view of
the center of the layout are shown in Figures 6 and 7, respectively. The design is routed with
nine metal layers. The bottom level consists of the standard cells. The metal fillers and filler
cells are inserted into the design to resolve the density violations.
Figure 8 shows the final power report of the device. The total power consumption is 593.9899
µW. As compared to the power consumptions of the 8051 derivatives reported in the literature
(Saponara et al., 2004; Iozzi et al., 2005; Li et al., 2009; Sai & Mickle, 2013), the optimized
8051 microcontrolled we designed requires at least 1.46 times less power to operate. In other
words, power consumption has been reduced by at least 32%. The feature size of the transistors
implemented in our design is almost 40 times smaller than in the original design.
The significant reduction in the effective channel length allows for an increase in the switching
speed of the logic components (Sung et al., 1998) and less power required to turn on the
transistors. Further, the same number of transistors could be fabricated in a die with a smaller
size (Ahmad et al., 2006). By optimizing the microcontroller using the 90-nm technology
library, we show that our design can help save much energy, apart from operating at a faster
speed and having a smaller chip size. It is interesting to find that the power consumption in our
device using the 90-nm process technology is lower than in the device using the 45 nm process
technology (Sai & Mickle, 2013). We attribute this phenomenon to the careful planning
performed during floor planning and placement and routing. By carefully placing the cells and
optimizing the routing process, power consumption of the chip can be minimized.
4. CONCLUSION
In this paper, we have shown that the design of the original 8051 microcontroller can be
significantly enhanced. By synthesizing the RTL code using libraries with the technology size
half of its original and by carefully designing its VLSI layout with the aid of Synopsys EDA
tools, we were able to increase the clock frequency to 150 MHz and reduce the die size to
77249.814850 µm2. The power consumption of our chip is 593.9899 µW, which is found to be
at least 32% lower than that of the existing 8051 derivatives.
5. REFERENCES
Ahmad, I., Ho, Y.K., Majlis, B.Y., 2006. Fabrication and Characterization of a 0.14 μm CMOS
Device using ATHENA and ATLAS Simulators. Semiconductor Physics, Quantum
Electronics & Optoelectronics, Volume 9(2), pp. 40–44
Arsalan, S., 2013. Sun Tracking System with Microcontroller 8051. International Journal of
Scientific and Engineering Research, Volume 4(6), pp. 2998–3001
Chang, K.L., Chang, J.S., Gwee, B.H., Chong, K.S., 2013. Synchronous-logic and
Asynchronous-logic 8051 Microcontroller Cores for Realizing the Internet of Things: A
Comparative Study on Dynamic Voltage Scaling and Variation Effects. IEEE Journal on
Emerging and Selected Topics in Circuits and Systems, Volume 3(1), pp. 23–34
Iozzi, F., Saponara, S., Morello, A.J., Fanucci, L. 2005. 8051 CPU Core Optimization for Low
Power at Register Transfer Level. 2005 PhD Research in Microelectronics and Electronics,
July, Volume 2, pp. 178–181
Jain, G., Noorani, N., Badole, V., 2013. Design and Implementation of a GPS Receiver using
8051 Microcontroller. International Journal of Research in Advent Technology, Volume
1(5), pp. 1–7
Kanniga, E., Sundararajan, M., 2015. Design of 8051 Microcontroller Based Security System
with a Laser Beam Network. Indian Journal of Science and Technology, Volume 8(31), pp.
1–3
Li, Y., Lian, Y., Perez, V. 2009. Design Optimization for an 8-bit Microcontroller in Wireless
Biomédical Sensors. In: Proceedings of the 2009 IEEE Biomedical Circuits and Systems
Conference, 26-28 November, pp. 33–36
Oregano System., 2013. 8051 IP Core. Available online at: http://www.oreganosystems.at
Pahuja, R., Kumar, N., 2014. Android Mobile Phone Controlled Bluetooth Robot using 8051
Microcontroller. International Journal of Scientific Engineering and Research, Volume
2(7), pp. 14–17
Sai, V., Mickle, M.H., 2013. Low Power 8051-MISA-based Remote Execution Unit
Architecture for IoT and RFID Applications. International Journal of Circuits and
Architecture Design, Volume 1(1), pp. 4–19
Saponara, S., Fanucci, L., Morello, A.J. 2004. Power Optimization of Digital IP Macrocells for
Embedded Controls Systems. In: Proceedings of the 2004 IEEE International Conference
on Industrial Technology, December, Vol. 3, pp.1617–1620
Yeap et al. 149
Sung, R., Bendix, P., Das, M.B., 1998. Extraction of High-frequency Equivalent Circuit
Parameters of Submicron Gate-length MOSFET’s. IEEE Transactions on ElectronDevices,
Volume 45(8), pp. 1769–1775
Synopsys, Inc., 2007. Training & Education. Available online at: http://training.synopsys.com