0% found this document useful (0 votes)
499 views271 pages

B.E. Electronics (VLSI Design and Tech)

SYLLABUS

Uploaded by

jebi.lee449
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
499 views271 pages

B.E. Electronics (VLSI Design and Tech)

SYLLABUS

Uploaded by

jebi.lee449
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 271

ANNA UNIVERSITY, CHENNAI

UNDERGRADUATE CURRICULUM (UNIVERSITY DEPARTMENTS)

Campus: CEG Campus, Anna University.

Department: Electronics and Communication Engineering

Programme: B.Tech. Electronics Engineering (VLSI Design and Technology)

Regulations: 2023 (Revised 2024), with effect from the AY 2024 – 25 to all the students of
UG Programme.
OVERVIEW OF CREDITS

Sem PCC PEC ESC HSMC ETC OEC SDC UC SLC Total

I - - 3 11 - - 7 1 - 22

II 4 - 11 7 - - - 3 - 25

III 15 - - 4 - - 1 2 - 22

IV 15 - 3 4 - - 1 3 1 27

V 14 3 - - 3 - 1 3 - 24

VI 6 3 - - 6 3 3 3 - 24

VII 6 9 - - - 3 3 1 - 22

VIII - - - - - - 8 - - 8

Total 60 15 17 22 12 6 24 13 1 166

% of
Categ 34.7 9 10 14.5 5 3.5 14 9.25 0.6 100
ory
CATEGORY OF COURSES
PCC – Professional Core Course ESC – Engineering Science Course
PEC – Professional Elective Course HSMC – Humanities Science and Management Course
ETC – Emerging Technology Course SDC – Skill Development Course
OEC – Open Elective Course UC – University Course
SLC – Self Learning Course

*For Honours & Minor Degree, please refer the Regulations 2023 (Revised 2024).

SEMESTER – I

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

1 EN23C01 Foundation English LIT 2-0-2 4 3 HSMC


2 MA23C01 Matrices and Calculus T 3-1-0 4 4 HSMC
3 PH23C01 Engineering Physics LIT 3-0-2 5 4 HSMC
Engineering Drawing and 3D 2-0-4 4 SDC
4 ME23C01 LIT 6
Modelling
2-0-4 4 ESC
5 CS23C04 Programming in C LIT 6

6 ME23C04 Makerspace LIT 1-0-4 5 3 SDC


7 UC23H01 தமிழர் மரபு / Heritage of Tamils T 1-0-0 1 1 UC
8 - NCC / NSS / NSO / YRC L 0-0-2 2 0 UC
TOTAL CREDITS 23
* TCP – Total Contact Period(s)
#
TYPE OF COURSE
LIT – Laboratory Integrated Theory
T – Theory
L – Laboratory Course
IPW – Internship cum Project Work
PW – Project Work
CDP – Capstone Design Project

SEMESTER – II

S. Course Course Periods /


Course Name Week Credits Category
No. Code Type#
L-T-P TCP*
1 EN23C02 Professional Communication LIT 2-0-2 4 3 HSMC
Ordinary Differential Equations and
2 MA23C02 Transform Techniques T 3-1-0 4 4 HSMC

3 EC23C03 Electronic Devices LIT 2-0-4 6 4 PCC


Data Structures and Programming
4 EC23C06 LIT 2-0-4 6 4 ESC
in C++
5 EC23C04 Circuit Analysis LIT 2-1-2 5 4 ESC
தமிழரும் ததொழில் நுட்பமும் /Tamils
6 UC23H02 T 1-0-0 1 1 UC
and Technology
7 - Audit Course – I** - - - - UC
SEMESTER – II

S. Course Course Periods /


Course Name Week Credits Category
No. Code Type#
L-T-P TCP*
8 CY23C01 Engineering Chemistry LIT 3-0-2 5 4 HSMC
TOTAL CREDITS 24

SEMESTER – III

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*
Linear Algebra and Numerical
1. MA23C03 Methods T 3-1-0 4 4 HSMC
2. VL23301 MOS Device Physics and Simulation LlT 3-0-2 5 4 PCC
Digital Electronics and System
3. LIT 3-0-2 5 4 PCC
EC23C13 Design
Fundamentals of Signals and
4. VL23302 T 3-0-0 3 3 PCC
Systems
5. EC23C02 Analog Circuits Design LIT 3-0-2 5 4 PCC
6. Skill Development Course – I L 1-0-2 2 2 SDC

7. UC23U01 Universal Human Values LIT 1-0-2 3 2 UC

TOTAL CREDITS 23

SEMESTER – IV

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

1. MA23C05 Probability and Statistics T 3-1-0 4 4 HSMC


2. VL23401 Discrete Time Signal Processing LIT 3-0-2 5 4 PCC
3. VL23402 Analog and Digital Communication T 3-0-0 3 4 PCC
4. EC23C05 Analog Electronic System Design LIT 3-0-2 5 4 PCC
Computer Architecture and
5. EC23C10 Organization T 3-0-0 3 3 PCC

6. EC23C11 Control Systems T 3-0-0 3 3 ESC


7. VL23S02 Skill Development Course – II L 1-0-2 3 2 SDC
8. VL23L01 Self Learning Course T 1-0-0 1 1 SLC
9. - Audit Course – II** - - - - UC
SEMESTER – IV

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

10 - NCC / NSS / NSO / YRC level 2 L 0-0-2 2 0 UC

TOTAL CREDITS 25

SEMESTER – V (PREFERENCE FOR FOREIGN EXCHANGE)

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*
1. EC23C14 Digital VLSI Design LIT 3-0-2 5 4 PCC
2. VL23501 RTL Design and Logic Synthesis LIT 1-0-4 5 3 PCC
Microprocessors and
3. EC23C21 Microcontrollers LIT 3-0-2 5 4 PCC
4. Emerging Technology - I T / LIT - - 3 ETC
Wireless Communication
5. VL23502 Technologies T 3-0-0 3 3 PCC
6. - Professional Elective - I T 3-0-0 3 3 PEC
Industry Oriented Course I/
7. - - - - 1 SDC
Summer Internship- I#
Engineering Entrepreneurship
8. UC23E01 LIT 2-0-2 4 3 UC
Development

TOTAL CREDITS 24

COURSES FOR HONOURS DEGREE

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

1. VL23D01 Capstone Design Project – Level I CDP 0-0-12 12 6 SDC

(OR)

1. - Honours Elective – I 3
SEMESTER – V (PREFERENCE FOR FOREIGN EXCHANGE)

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

2. - Honours Elective – II 3

COURSES FOR MINOR DEGREE

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

1. - Minor Elective – I 3

2. - Minor Elective – II 3

SEMESTER – VI (PREFERENCE FOR FOREIGN EXCHANGE)

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*
1 VL23601 VLSI Verification and Testing LIT 2-0-2 4 3 PCC
2 Emerging Technology - II T / LIT - - 3 ETC
Electromagnetic Interference and 3-0-0 3
3 VL23602 T 3 PCC
Compatibility for VLSI
4 - Professional Elective - II T 3-0-0 3 3 PEC
5 - Professional Elective - III T 3-0-0 3 3 PEC
6 - Open Elective – I T 3-0-0 3 3 OE
Skill Development Course – 3
7 T 1-1-0 2 2 SDC
8 - Industry Oriented Course II - - - 1 SDC
9 VL23U02 Sustainability Course T 3-0-0 3 3 UC

TOTAL CREDITS 24

COURSES FOR HONOURS DEGREE

Capstone Design Project


1. VL23D02 CDP 0-0-12 12 6 SDC
– Level II

(OR)
SEMESTER – VI (PREFERENCE FOR FOREIGN EXCHANGE)

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

1. - Honours Elective – III 3

2. - Honours Elective – IV 3

COURSES FOR MINOR DEGREE

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

1. - Minor Elective – III 3

2. - Minor Elective – IV 3

SEMESTER – VII

S. Course Course Periods /


Course Name Week Credits Category
No. Code Type#
L-T-P TCP*
1 VL23701 ASIC Design LIT 2-0-2 4 3 PCC
2 VL23702 Embedded Systems LIT 2-0-2 4 3 PCC
3 - Professional Elective - IV T 3-0-0 3 3 PEC
4 - Professional Elective - V T 3-0-0 3 3 PEC
5 - Professional Elective - VI T 3-0-0 3 3 PEC
6 - Open Elective - II T 3-0-0 3 3 OE
7 VL23703 Idea to Product L 0-0-4 4 2 SDC
Industry Oriented Course III/
8 - - - - 1 SDC
Summer Internship- II***
Standards - Electronics 1-0-0 1 UC
9 VL23U01 Engineering (VLSI Design and T 1
Technology)
Total Credits 22

COURSES FOR HONOURS DEGREE

Capstone Design Project


1. VL23D03 CDP 0-0-12 12 6 SDC
– Level III

(OR)
SEMESTER – VII

S. Course Course Periods /


Course Name Week Credits Category
No. Code Type#
L-T-P TCP*

1. - Honours Elective – V 3

2. - Honours Elective – VI 3

COURSES FOR MINOR DEGREE

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

1. - Minor Elective – V 3

2. - Minor Elective – VI 3

SEMESTER – VIII

Periods /
S. Course Course Week
Course Name Credits Category
No. Code Type#
L-T-P TCP*

Project Work / Internship cum


1. VL23801 L 0-0-16 16 8 SDC
Project Work

Total Credits 8
PROFESSIONAL ELECTIVE COURSES: VERTICALS

Vertical I Vertical II Vertical III Vertical IV Vertical V Vertical VI Vertical VII Vertical VIII
Embedded Nanoelectronics Applied Communication
Signal and RF CAD and
Systems and Biomedical Electronics Technologies
Image and Mixed Computational
Internet of Technologies
Processing Signal ICs Intelligence
Things
Advanced Microcontroller Soft Computing Introduction To Design For Software Defined
Microwave Biomedical
Digital Signal Based System and Its Nano Electronics Verification Networks
Engineering Instrumentation
Processing Design Applications using UVM
Passive RF Embedded Advanced MOSFET Introduction To Massive MIMO
Digital Speech Bio-Inspired Biomedical Assist
And Integrated Artificial Modeling VLSI Lifecycle Networks
Processing Computing Devices
Circuits Intelligence
Principles of Machine Learning Compound Automotive
Introduction To Biosignal Cryptography And
Digital Image RF IC Design in VLSI System Semiconductor Electronics
Industry 4.0 Processing Network Security
Processing Design Devices
DSP Nanosensors And PCB And
Neuromorphic Optical
Architecture Analog IC Body Area Devices System Design
IOT Processor Computing and Communication &
and Design Network
Design Networks
Programming(I)
Brain Computer Introduction To Electronic 5g Wireless
Digital Control Mixed Signal IC Data Analytics
Cyber Security Interface And Its Mems and Nems Packaging Communication
Engineering Design for IOT
Applications Technologies Networks
Reconfigurable Nanophotonic Wireless
Multimedia Microfluidics
Data Sensor For IOT Computing Devices Sensor Network Wireless Broadband
Compression Biomedical
Converters Application System and Design Networks
and Networks Devices
Applications
Power Organic Natural
Management Semiconductors and Language
IOT for Smart Algorithms For Human Assist Cognitive Radio
and Clock Polymers Processing
Agriculture VLSI Devices Networks
Distribution
Circuits
Design Of Hardware and Solar PV
Analog Filters Software Aspects Medical Imaging Fundamentals and
IOT and Edge
and Signal of Embedded Systems and Applications
Computing
Conditioning Systems Radia Therapy
Circuits
Scripting Wide Bandgap Computer
Low Power
IOT Security Language and Devices Vision
VLSI Design
Verification
Signal Integrity
for High-Speed Robotics
Design

1. Provide the following in the Table format as given below:

2. Electives to be offered for ‘Honours Degree’ with a level of difficulty higher than PEC, with a minimum of EIGHT number of courses.

3. Electives to be offered for ‘Minor Degree’, with a minimum of SIX number of courses, with pre-requisite.
VERTICAL I: SIGNAL AND IMAGE PROCESSING

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

1. EC23C23 Advanced Digital Signal Processing T 3-0-0 3 3 PEC

2. EC23C24 Digital Speech Processing T 3-0-0 3 3 PEC

3. VL23001 Principles of Digital Image Processing T 3-0-0 3 3 PEC

4. DSP Architecture and Programming(I) T 2-0-2 4 3 PEC


EC23C15

5. Digital Control Engineering T 3-0-0 3 3 PEC


EC23C19

6. Multimedia Compression and Networks T 3-0-0 3 3 PEC


EC23C25

VERTICAL II: RF AND MIXED SIGNAL ICS

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

1. VL23002 Microwave Engineering T 3-0-0 3 3 PEC

2. VL23003 Passive RF And Integrated Circuits T 3-0-0 3 3 PEC

3. VL23004 RF IC Design T 3-0-0 3 3 PEC

4. VL23005 Analog IC Design T 3-0-0 3 3 PEC

5. EC23C12 Mixed Signal IC Design T 3-0-0 3 3 PEC

6. VL23006 Data Converters T 3-0-0 3 3 PEC

Power Management and Clock


7. VL23007 T 3-0-0 3 3 PEC
Distribution Circuits
Design Of Analog Filters and Signal
8. VL23008 T 3-0-0 3 3 PEC
Conditioning Circuits

9. VL23009 Low Power VLSI Design Techniques T 3-0-0 3 3 PEC

10. VL23010 Signal Integrity for High-Speed Design T 3-0-0 3 3 PEC


VERTICAL III: EMBEDDED SYSTEMS AND INTERNET OF THINGS

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

1. VL23011 Microcontroller Based System Design T 3-0-0 3 3 PEC

2. VL23012 Embedded Artificial Intelligence T 3-0-0 3 3 PEC

3. VL23013 Introduction To Industry 4.0 T 3-0-0 3 3 PEC

4. VL23014 IOT Processor T 3-0-0 3 3 PEC

5. VL23015 Data Analytics for IOT T 3-0-0 3 3 PEC

6. VL23016 Sensor For IOT Application T 3-0-0 3 3 PEC

7. VL23017 IOT for Smart Agriculture T 3-0-0 3 3 PEC

8. VL23018 IOT and Edge Computing T 3-0-0 3 3 PEC

9. VL23019 IOT Security T 3-0-0 3 3 PEC

VERTICAL IV: CAD AND COMPUTATIONAL INTELLIGENCE

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

1. EC23C18 Soft Computing and Its Applications T 3-0-0 3 3 PEC

2. EC23C20 Bio-Inspired Computing T 3-0-0 3 3 PEC


VL23020 Machine Learning in VLSI System
3. T 3-0-0 3 3 PEC
Design

4. VL23021 Neuromorphic Computing and Design T 3-0-0 3 3 PEC

5. VL23022 Cyber Security T 3-0-0 3 3 PEC

Reconfigurable Computing System


6. VL23023 T 3-0-0 3 3 PEC
and Applications
VL23024 Algorithms For VLSI
7. T 3-0-0 3 3 PEC
Hardware and Software Aspects of
8. VL23025 Embedded Systems T 3-0-0 3 3 PEC

9. VL23026 Scripting Language and Verification T 3-0-0 3 3 PEC

10. VL23052 Robotics T 3-0-0 3 3 PEC


VERTICAL V: BIOMEDICALTECHNOLOGIES

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

EC23C09 Biomedical Instrumentation T 3 3 PEC


1. 3-0-0
EC23C07 Biomedical Assist Devices
2. T 3-0-0 3 3 PEC
VL23027 Biosignal Processing
3. T 3-0-0 3 3 PEC

4. BM23C01 Body Area Network T 3-0-0 3 3 PEC


Brain ComputerInterface And Its
5. EC23C08 Applications T 3-0-0 3 3 PEC
Microfluidics Biomedical Devices
6. VL23028 T 3-0-0 3 3 PEC
Human Assist Devices
7. VL23029 T 3-0-0 3 3 PEC

Medical Imaging Systems and Radia


8. VL23030 T 3-0-0 3 3 PEC
Therapy

VERTICAL VI: NANOELECTRONICS

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

Introduction To Nano Electronics


1. EC23C17 T 3-0-0 3 3 PEC
Advanced MOSFET Modeling
2. VL23031 T 3-0-0 3 3 PEC
Compound Semiconductor Devices
3. VL23032 T 3-0-0 3 3 PEC

4. Nanosensors And Devices T 3-0-0 3 3 PEC


VL23033
Introduction To Mems and Nems
5. T 3-0-0 3 3 PEC
EC23C16
Nanophotonic Devices
6. T 3-0-0 3 3 PEC
VL23034
Organic Semiconductors and
7. Polymers T 3-0-0 3 3 PEC
VL23035
Solar PV Fundamentals and
8. Applications T 3-0-0 3 3 PEC
VL23036
Wide Bandgap Devices
9. T 3-0-0 3 3 PEC
VL23037
VERTICAL VII: APPLIED ELECTRONICS

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

1. VL23038 Design For Verification using UVM T 2-0-2 4 3 PEC

2. VL23039 Introduction To VLSI Lifecycle T 3-0-0 3 3 PEC

3. VL23040 Automotive Electronics T 3-0-0 3 3 PEC

4. VL23041 PCB And System Design T 3-0-0 3 3 PEC

5. VL23042 Electronic Packaging Technologies T 3-0-0 3 3 PEC

6. EC23C01 Wireless Sensor Network Design T 3-0-0 3 3 PEC

7. VL23043 Natural Language Processing T 2-0-2 4 3 PEC

8. VL23044 Computer Vision T 3-0-0 3 3 PEC

VERTICAL VIII: COMMUNICATION TECHNOLOGIES

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

VL23045 Software Defined Networks T 3 3 PEC


1. 3-0-0
VL23046 Massive MIMO Networks
2. T 3-0-0 3 3 PEC

3. VL23047 Cryptography And Network Security T 3-0-0 3 3 PEC

4. VL23048 Optical Communication & Networks T 3-0-0 3 3 PEC

5. VL23049 5g Wireless Communication Networks T 3-0-0 3 3 PEC

VL23050
6. Wireless Broadband Networks T 3-0-0 3 3 PEC

7. VL23051 Cognitive Radio Networks T 3-0-0 3 3 PEC


ELECTIVE LIST FOR MINOR DEGREE: MINORS IN ELECTRONIC SYSTEMS

Periods /
S. Course Course
Course Name Week Credits
No. Code Type#
L-T-P TCP*
EC23C26 Introduction to Electronic Devices and
1. T 3-0-0-3 3 3
Circuits
EC23C27 Introduction to Digital Electronics (I)
2. LIT 2-0-0-2 4 3
EC23C28 Fundamentals of Electromagnetics T
3. 3-0-0-3 3 3
EC23C29 Introduction to Communication T
4. 3-0-0-3 3 3
Engineering
EC23C30 Wireless Communication technologies T
5. 3-0-0-3 3 3
EC23C31 Introduction to Internet of Things and T
6. 3-0-0-3 3 3
Embedded systems
EC23C32 Electronic System Development (I)
7. LIT 2-0-0-2 4 3

I. ‘ETC’, with a minimum of FOUR courses.


II. ‘OEC’, with a minimum of FOUR courses.
III. List of Audit courses proposed in Board of Studies, if any.

SKILL DEVELOPMENT COURSE

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

VL23S01 Numerical and Signal Processing


1. L 1-0-2 3 2 SDC
Practice through Python
VL23S02 PCB Design Using Cad Tools for
2. L 1-0-2 3 2 SDC
Electronic Systems
Introduction to Micro-Fabrication
3. VL23S03 {Foundry Familiarization workshop / T 1-1-0 2 2 SDC
MOOC virtual lab}(

EMERGING TECHNOLOGY COURSES

S. Course Course Periods / Week


Course Name Credits Category
No. Code Type
L-T-P TCP

VL23E01 Introduction to Machine Learning


1. LIT 2-0-2 4 3 ETC
VL23E02 VLSI Signal Processing
2. T 3-0-0 3 3 ETC

3. VL23E03 System Verilog for Verification T 2-0-2 4 3 ETC

4. VL23E04 Spintronics And Quantum Computing T 3-0-0 3 3 ETC


EN23C01 FOUNDATION ENGLISH L T P C
2 0 2 3
COURSE OBJECTIVES:
• To develop students’ foundational skills in reading, writing, grammar and vocabulary
to enable them to understand and produce various forms of communication.
• To enhance students’ proficiency in reading comprehension, narrative and
comparative writing.
• To comprehend and analyse descriptive texts and visual images
• To articulate similarities and differences in oral and written forms.
• To improve students’ proficiency in reading and writing formal letters and emails.

UNIT I BASICS OF COMMUNICATION 6


Reading - Telephone message, bio-note; Writing – Personal profile; Grammar – Simple
present tense, Present continuous tense, wh-questions, indirect questions; Vocabulary –
Word formation (Prefix and Suffix).
LAB ACTIVITY: 6
Listening – Telephone conversation; Speaking Self-introduction; Telephone conversation –
Video conferencing etiquette
UNIT II NARRATION 6
Reading – Comprehension strategies - Newspaper Report, An excerpt from an autobiography;
Writing – Narrative Paragraph writing (Event, personal experience etc.); Grammar – Subject-
verb agreement, Simple past, Past continuous Tenses; Vocabulary – One-word substitution
LAB ACTIVITY: 6
Listening – Travel podcast; Speaking – Narrating and sharing personal experiences through
a podcast
UNIT III DESCRIPTION 6
Reading – A tourist brochure, Travel blogs, descriptive article/excerpt from literature, visual
images; Writing –Descriptive Paragraph writing, Grammar – Future tense, Perfect tenses,
Preposition; Vocabulary – Descriptive vocabulary
LAB ACTIVITY: 6
Listening – Railway / Airport Announcements, Travel Vlogs; Speaking – Describing a place or
picture description
UNIT IV COMPARE AND CONTRAST 6
Reading – Reading and comparing different product specifications - Writing – Compare and
Contrast Essay, Coherence and cohesion; Grammar – Degrees of Comparison; Vocabulary –
Transition words (relevant to compare and contrast)
LAB ACTIVITY: 6
Listening – Product reviews, Speaking – Product comparison based on product reviews -
similarities and differences
UNIT V EXPRESSION OF VIEWS 6
Reading – Formal letters, Letters to Editor ; Writing – Letter writing/ Email writing (Enquiry /
Permission, Letter to Editor); Grammar – Compound nouns, Vocabulary – Synonyms,
Antonyms
LAB ACTIVITY: 6
Listening – Short speeches; Speaking – Making short presentations (JAM)

TOTAL: 60 PERIODS
TEACHING METHODOLOGY
Interactive lectures, role plays, group discussions, listening and speaking labs, technology
enabled language teaching, flipped classroom.
EVALUATION PATTERN
Internal Assessment
Written assessments
Assignment
Lab assessment
Listening
Speaking
External Assessment
End Semester Examination

LEARNING OUTCOMES
By the end of the courses, students will be able to
• Use appropriate grammar and vocabulary to read different types of text and converse
appropriately.
• Write coherent and engaging descriptive and comparative essay writing.
• Comprehend and interpret different kinds of texts and audio visual materials
• Critically evaluate reviews and articulate similarities and differences
• Write formal letters and emails using appropriate language structure and format

TEXT BOOKS:
1. “English for Engineers and Technologists” Volume I by Orient Blackswan, 2022
2. “English for Science & Technology - I” by Cambridge University Press, 2023

REFERENCES
1. “Interchange” by Jack C.Richards, Fifth Edition, Cambridge University Press, 2017.
2. “English for Academic Correspondence and Socializing” by Adrian Wallwork, Springer,
2011.
3. “The Study Skills Handbook” by Stella Cortrell, Red Globe Press, 2019
4. www.uefap.com

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 √ √
CO2 √
CO3 √ √
CO4 √
CO5 √ √
MA23C01 MATRICES AND CALCULUS L T P C
3 1 0 4
OBJECTIVES:
• To develop the use of matrix algebra techniques in solving practical problems.
• To familiarize the student with functions of several variables.
• To solve integrals by using Beta and Gamma functions.
• To acquaint the student with mathematical tools needed in evaluating multiple integrals.
• To acquaint the students with the concepts of vector calculus which naturally arise in many
engineering problems.

UNIT I MATRICES 9+3


Eigenvalues and Eigenvectors of a real matrix – Properties of Eigenvalues and Eigenvectors-
Cayley-Hamilton theorem (excluding proof) – Diagonalization of matrices - Reduction of
Quadratic form to canonical form by using orthogonal transformation - Nature of a Quadratic
form.
UNIT II FUNCTIONS OF SEVERAL VARIABLES 9+3
Limit, continuity, partial derivatives – Homogeneous functions and Euler’s theorem - Total
derivative – Differentiation of implicit functions – Jacobians -Taylor‘s formula for two variables
- Errors and approximations – Maxima and Minima of functions of two variables – Lagrange’s
method of undermined multipliers.
UNIT III INTEGRAL CALCULUS 9+3
Improper integrals of the first and second kind and their convergence – Differentiation under
integrals - Evaluation of integrals involving a parameter by Leibnitz rule – Beta and Gamma
functions-Properties – Evaluation of single integrals by using Beta and Gamma functions..
UNIT IV MULTIPLE INTEGRALS 9+3
Double integrals – Change of order of integration – Double integrals in polar coordinates – Area
enclosed by plane curves – Triple integrals – Volume of Solids – Change of variables in double
and triple integrals-
Evaluation of double and triple integrals by using Beta and Gamma functions.
UNIT V VECTOR CALCULUS 9+3
Gradient of a scalar field, directional derivative – Divergence and Curl – Solenoidal and
Irrotational vector fields - Line integrals over a plane curve - Surface integrals – Area of a curved
surface – Volume Integral - Green‘s theorem, Stoke’s and Gauss divergence theorems (without
proofs)– Verification and applications in evaluating line, surface and volume integrals.
TOTAL: 60 PERIODS
Laboratory based exercises / assignments / assessments will be given to students wherever
applicable from the content of the course.

General engineering applications / branch specific applications from the content of each
units wherever possible will be introduced to students.
Suggested Laboratory based exercises / assignments / assessments :
Matrices
1. Finding eigenvalues and eigenvectors
2. Verification of Cayley-Hamilton theorem
3. Eigenvalues and Eigenvectors of similar matrices
4. Eigenvalues and Eigenvectors of a symmetric matrix
5. Finding the powers of a matrix
6. Quadratic forms

Functions of Several Variables

1. Plotting of curves and surfaces


2. Symbolic computation of partial and total derivatives of functions

Integral Calculus

1. Evaluation of beta and gamma functions


2. Computation of error function and its complement

Multiple Integrals

1. Plotting of 3D surfaces in Cartesian and Polar forms

Vector Calculus

1. Computation of Directional derivatives


2. Computation of normal and tangent to the given surface

OUTCOMES:
CO 1 :Use the matrix algebra methods for solving practical problems.
CO 2 :Use differential calculus ideas on several variable functions.
CO 3 :Apply different methods of integration in solving practical problems by using Beta and
Gamma functions.
CO 4 :Apply multiple integral ideas in solving areas and volumes problems.
CO 5 :Apply the concept of vectors in solving practical problems.

TEXT BOOKS:
1. Joel Hass, Christopher Heil, Maurice D.Weir "‘Thomas‘ Calculus", Pearson Education.,
New Delhi, 2018.
2. Grewal B.S., “Higher Engineering Mathematics”, Khanna Publishers, 45th Edition, New
Delhi, 2020.
3. James Stewart, Daniel K Clegg & Saleem Watson "Calculus with Early
Transcendental Functions", Cengage Learning, 6th Edition, New Delhi,2023.
REFERENCES:
1. Erwin Kreyszig, "Advanced Engineering Mathematics", 10th Edition, Wiley India Pvt
Ltd., New Delhi, 2018.
2. Greenberg M.D., “Advanced Engineering Mathematics”, Pearson Education2nd
Edition, 5th Reprint, Delhi, 2009.
3. Jain R.K. and Iyengar S.R.K., “Advanced Engineering Mathematics”,Narosa
Publications, 5th Edition, New Delhi, 2017.
4. Narayanan S. and Manicavachagom Pillai T. K., “Calculus" Volume I and II, S.
Viswanathan Publishers Pvt. Ltd., Chennai, 2009.
5. Peter V.O’Neil, “Advanced Engineering Mathematics”, Cengage Learning India Pvt.,
Ltd, 7 th Edition, New Delhi , 2012.
6. Ramana B.V., “Higher Engineering Mathematics”, Tata McGraw Hill Co. Ltd., 11th
Reprint, New Delhi, 2010.

CO – PO Mapping:
PROGRAMME OUTCOMES
Course
Outcomes PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 P10 P11 P12

CO1 : 3 3 2 3 1 2 1 1 1 1 1 3
CO2 : 3 3 2 3 1 2 1 1 1 1 1 3
CO3 : 3 3 2 3 1 2 1 1 1 1 1 3
CO4 : 3 3 2 3 1 2 1 1 1 1 1 3
CO5 : 3 3 2 3 1 2 1 1 1 1 1 3
PH23C01 ENGINEERING PHYSICS LT P C
(Common to all branches of B.E/B.Tech Programmes) 3 0 2 4

COURSE OBJECTIVES
• To familiarize with crystal structure, bonding and crystal growth.
• To impart knowledge on Mechanics of Materials.
• To impart knowledge of oscillations, sound and Thermal Physics
• To facilitate understanding of optics and its applications, different types of Lasers and fiber
optics.
• To introduce the basics of Quantum Mechanics and its importance.

UNIT I CRYSTAL PHYSICS 9+6


Crystal Bonding – Ionic – covalent – metallic and van der Walls’s/ molecular bonding. Crystal
systems - unit cell, Bravais lattices, Miller indices - Crystal structures - atomic packing density of
BCC, FCC and HCP structures. NaCl, Diamond, Graphite, Graphene, Zincblende and Wurtzite
structures - crystal imperfections- point defects - edge and screw dislocations – grain boundaries.
Crystal Growth – Czocharalski method – vapor phase epitaxy – Molecular beam epitaxy-
Introduction to X-Ray Diffractometer.
1. Determination of Lattice parameters for crystal systems.
2. Crystal Growth – Slow Evaporation method
3. Crystal Growth Sol – Gel Method
UNIT II MECHANICS OF MATERIALS 9+6
Rigid Body – Centre of mass – Rotational Energy - Moment of inertia (M.I)- Moment of Inertia for
uniform objects with various geometrical shapes. Elasticity –Hooke’s law - Poisson’s ratio - stress-
strain diagram for ductile and brittle materials – uses- Bending of beams – Cantilever - Simply
supported beams - uniform and non-uniform bending - Young’s modulus determination - I shaped
girders –Twisting couple – Shafts. Viscosity – Viscous drag – Surface Tension.
4. Non-uniform bending -Determination of Young’s modulus of the material of the beam.
5. Uniform bending -Determination of Young’s modulus of the material of the beam
6. Viscosity – Determination of Viscosity of liquids.

UNIT III OSCILLATIONS, SOUND AND THERMAL PHYSICS 9+6


Simple harmonic motion - Torsional pendulum –- Damped oscillations –Shock Absorber -Forced
oscillations and Resonance –Applications of resonance.- Waves and Energy Transport –Sound
waves – Intensity level – Standing Waves - Doppler effect and its applications - Speed of blood
flow. Ultrasound – applications - Echolocation and Medical Imaging. Thermal Expansion –
Expansion joints – Bimetallic strip – Seebeck effect – thermocouple -Heat Transfer Rate –
Conduction – Convection and Radiation.
7. Torsional pendulum-Determination of rigidity modulus of wire and moment of inertia of
the disc
8. Melde’s string experiment - Standing waves.
9. Ultrasonic interferometer – determination of sound velocity and liquids compressibility
UNIT IV OPTICS AND LASERS 9+6
Interference - Thin film interference - Air wedge- Applications -Interferometers–Michelson
Interferometer -– Diffraction - CD as diffraction grating – Diffraction by crystals -Polarization -
polarizers -– Laser – characteristics – Spontaneous and Stimulated emission- population –
inversion - Metastable states - optical feedback - Nd-YAG laser, CO2 laser, Semiconductor laser
- Industrial and medical applications - Optical Fibers – Total internal reflection – Numerical
aperture and acceptance angle – Fiber optic communication – Fiber sensors – Fiber lasers.
10. Laser - Determination of the width of the groove of the compact disc using laser.
Laser Parameters
Determination of the wavelength of the laser using grating
11. Air wedge -Determination of the thickness of a thin sheet/wire
12. Optical fibre - Determination of Numerical Aperture and acceptance angle
-Determination of bending loss of fibre.
13. Michelson Interferometer (Demonstration)

UNIT V QUANTUM MECHANICS 9+6


Black body radiation (Qualitative) – Planck’s hypothesis – Einstein’s theory of Radiation - Matter
waves–de Broglie hypothesis - Electron microscope – Uncertainty Principle – The Schrodinger Wave
equation (time-independent and time-dependent) – Meaning and Physical significance of wave
function - Normalization - Particle in an infinite potential well-particle in a three-dimensional box -
Degenerate energy states - Barrier penetration and quantum tunneling - Tunneling microscope.
14. Photoelectric effect – Determination of Planck’s constant.
15. Black Body Radiation (Demonstration)
16. Electron Microscope (Demonstration)

TOTAL: 75 PERIODS

COURSE OUTCOMES:
After completion of the course, the students will be able to
CO1: Understand the significance of crystal structure and bonding. Learn to grow crystals.
CO2: Obtain knowledge on important mechanical and thermal properties of materials and
determine them through experiments.
CO3: Conceptualize and visualize the oscillations and sound.
CO4: Grasp optical phenomenon and their applications in real life.
CO5: Appreciate and evaluate the quantum phenomenon.
CO6 Develop skill set to solve engineering problems and design experiments.

TEXT BOOKS:
1. Raymond A. Serway, John W. Jewett, Physics for Scientists and Engineers, Thomson
Brooks/Cole, 2013.
2. D. Halliday, R. Resnick and J. Walker, Principles of Physics. John Wiley & Sons,
10th Edition, 2015.
3. N. Garcia, A. Damask and S. Schwarz, Physics for Computer Science Students,
Springer-Verlag, 2012.
4. Alan Giambattista, Betty McCarthy Richardson and Robert C. Richardson, College
Physics, McGraw-Hill Higher Education, 2012.

REFERENCES:
1. R. Wolfson, Essential University Physics. Volume 1 & 2. Pearson, 2016.
2. D. Kleppner and R. Kolenkow. An Introduction to Mechanics, McGraw Hill Education,
2017.

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 3 2 1 1
CO2 3 2 1 1
CO3 3 2 1 1
CO4 3 2 1 1 1
CO5 3 2 1 1 1
CO6 3 2 1 2
ME23C01 ENGINEERING DRAWING AND 3D MODELLING L T P C
2 0 4 4

COURSE OBJECTIVES
After successful completion of this course, the students will be able to:

1. Understand and use the engineering curves in engineering applications and projection
techniques to construct conic curves, points and lines.
2. Develop skills in projecting surfaces and solids and create 2D models using CAD software.
3. Develop skills in 3D projection and 3D modeling of simple parts manually as well as using
CAD software.
4. Understand and apply sectioning techniques to solids and assemble components.
5. Develop skills in lateral surface development and sheet metal design.

INTRODUCTION

Manual drawing tools (Mini Drafter, Set Squares, Protractor, Compass, and different
grades of pencil). ‘BIS’ specifications and rules of Engineering Drawing – Arrows (2H
thin line body, HB Filled head and L:W = 3:1 ratio), lettering (Digital fonts, font sizes
pertaining to usage and representation), types of line and their syntax (Drawing based –
Continuous thin & thick, dashed, dashed dotted and Application based – extension,
dimensioning, construction, projection, reference, axis, section, hatching, and b reak
lines), scaling (up, down and equal), and dimensioning. Placing and positioning the ‘A3’
size drawing sheet over the drawing table. Principal planes and projection, Division of
line and circle in to equal parts, and construction of polygons

UNIT I ENGINEERING CURVES, PROJECTION OF POINTS AND LINES

Construction of conic curves with their tangent and normal – ellipse, parabola, and
hyperbola by eccentricity method

Construction of special curves with their tangent and normal – cycloid, epicycloid, and
involute

Projection of points and I angle projection of lines inclined to both principal planes by
rotating line method and trapezoidal rule – marking their traces.

Lab exercises: Study exercise – Introduction to Sketching (or) Drawing, and


modification tools in CAD software (AutoCAD, CREO, CATIA, Solid Works, Inventor,
Fusion 360)

(6+12 = 18 Hours)

Activities based learning: Identification of the curves used in the application given in
the flash card, demonstration of the instantaneous centre of rotation of governors with
respect to angle of inclination of the arms of the governors
UNIT II PROJECTION OF SURFACES & SOLIDS, AND 2D MODELING

Projection of surfaces inclined to both the principal planes – polygonal, trapezoidal,


rhomboidal and circular

Projection of solids – prisms, pyramids, and axisymmetric solids when the axis inclined
to both the principal planes – freely hanging – contour resting condition on either of the
planes by rotating object method

Lab exercises: Construction of basic sketches – lines, circle, polygon, spline curves,
coils, along with dimensioning. Familiarizing with geometric constraints and their types

(6+12 = 18 Hours)

Activities based learning: Making the solids using cardboards, shadow mapping and
contour drawing at different orientation of the solids using torches

UNIT III 3D PROJECTION OF SOLIDS AND 3D MODELING OF SIMPLE PARTS

Free hand sketching – I & III angle projections of engineering parts and components

Isometric projection of combination of solids – prisms, pyramids, axisymmetric solids,


frustum

Perspective projection of prisms, pyramids and axisymmetric solids by visual ray method

Lab exercises: 3D Modeling and 2D drafting of machine parts

(6+12 = 18 Hours)

Activities based learning: Flipped classroom for Free hand sketching, Jig saw activity
for Isometric projection, arts and crafts for perspective view

UNIT IV SECTION OF SOLIDS AND SECTIONED DRAFTING OF ASSEMBLED


COMPONENTS

Section of simple and hollow solids – prisms, pyramids and axisymmetric solids, solids
with holes/ slots when the section plane perpendicular to one principal plane and inclined
to other principal plane (‘On the axis’ and ‘from the axis’ conditions)

Application based – section of beams (I, T, L, and C), section of pipe bracket, wood
joints, composite walls, shells, flange of a coupling and other similar applications

Lab exercises: Assembly of parts with respect to engineering constraints, and sectioned
drafting of assembled components

(6+12 = 18 Hours)

Activities based learning: Making of mitered joint in wood, sectioning the beams in
different angles of orientation and identifying the true shape
UNIT V LATERAL SURFACE DEVELOPMENT AND SHEET METAL DESIGN

Lateral surface development of sectioned solids when the section plane perpendicular
to VP and inclined to HP.

Application based – construction of funnel, chimney, dish antenna, door latch, trays, AC
vents, lamp shade, commercial packaging boxes with respect to sectioning conditions
and other similar applications

Lab exercises: Sheet metal design and drafting, drafting of coils, springs and screw
threads

(6+12 = 18 Hours)

Activities based learning: Fabrication of funnels, chimney, lamp shade, boxes using
card boards, ply woods, acrylics

Total: 90 Hours

Note: Activities based learning should not be covered in the regular class hours. It should
be given as assignments to the group of maximum 3 members

COURSE OUTCOMES
After successful completion of the course, the students will be able to:

CO1: Construct and identify different types of conic curves and special curves, and project the
points and lines pertaining to engineering applications

CO2: Project and visualize surfaces and solids in different orientations and utilize the CAD
tools for designing.

CO3: Create and draft accurate 3D models and 2D drawings of machine parts manually as
well as using CAD software

CO4: Determine the true shape of a sectioned solid and draft the assembled parts accordingly

CO5: Develop lateral surfaces of sectioned solids and design sheet metal components

TEXT BOOK
1. “Engineering Drawing” by N S Parthasarathy and Vela Murali, Oxford University Press; UK
ed. Edition, 2015.
2. “Engineering Drawing + Auto CAD” by Venugopal K, V. Prabhu Raja, New Age
International Publishers, Sixth edition (1 January 2022).
REFERENCES
1. "Basic Engineering Drawing: Mechanical Semester Pattern" by Mehta and Gupta,
Charotar Publishing House, 2nd edition, 2018.
2. "Engineering Drawing" by Basant Agrawal and C M Agrawal, Vikas Publishing House, 3rd
edition, 2020.
3. "Engineering Drawing With Auto CAD" by B V R Gupta, McGraw Hill Education, 4th edition,
2019.
4. "Engineering Drawing" by P S Gill, Tata McGraw Hill Education, 5th edition, 2018.
5. "Engineering Drawing with an Introduction to AutoCAD" by Dhananjay Jolhe, Cengage
Learning, 2nd edition, 2020.
6. "Engineering Drawing" by M B Shah, Charotar Publishing House, 3rd edition, 2019
7. "Fundamentals of Engineering Drawing" by Imtiaz Hashmi, Pearson Education, 2nd edition,
2018.
8. "Computer Aided Engineering Drawing" by S Trymbaka Murthy, Scitech Publications, 3rd
edition, 2020.
9. "CAED: Computer Aided Engineering Drawing for I/II Semester BE/Btech Courses" by
Reddy K B, CBS Publishers & Distributors, 2nd, 2019.
10. "Computer-Aided Engineering Drawing" by Subrata Pal, Oxford University Press, 2nd,
2020.

PO PSO
CO
1 2 3 4 5 6 7 8 9 10 11 12 1 2 3
1 3 3 2 1 3 1 3 3 3 2
2 3 3 2 2 3 2 3 3 3 2
3 3 3 3 1 2 3 3 3 3 3 2
4 3 3 3 1 3 3 3 3 3 3 2
5 3 3 3 1 3 3 3 3 3 3 2
CS23C04 PROGRAMMING IN C LT PC
2 0 4 4

UNIT I BASICS OF C PROGRAMMING 6+12


Introduction to programming paradigms –- Structure of C program - C programming: Data
Types - Constants - Keywords - Operators: Precedence and Associativity - Expressions -
Input/Output statements, Assignment statements - Decision making statements - Switch
statement.
PRACTICALS
1. Designing programs with algorithms/flowchart
2. Programs for i/o operations with different data types

SUGGESTED ACTIVITIES:
• EL - Programs using integer type, arithmetic operators and basic input/output.
• EL - Programs using other data types and operators.
• EL: Programs using else-if, switch

UNIT II LOOP CONTROL STATEMENTS AND ARRAYS 6+12


Iteration statements: For, while, Do-while statements, nested loops, break & continue
statements - Introduction to Arrays: Declaration, Initialization - One dimensional array -Two
dimensional arrays – Searching and sorting in Arrays – Strings – string handling functions -
array of strings
PRACTICALS
1. Programs using various operators
2. Programs using decision making and branching statements
3. Programs using for, while, do-while loops and nested loops.
4. Programs using arrays and operations on arrays.
5. Programs implementing searching and sorting using arrays
6. Programs implementing string operations on arrays

SUGGESTED ACTIVITIES:
• EL: Programs using while, for,do-while, break, continue, enum.
• EL - Programs using arrays and operations on arrays.
• EL - Programs implementing string operations on arrays.
• EL - Programs using functions.

UNIT Ill FUNCTIONS AND POINTERS 6+12


Modular programming - Function prototype, function definition, function call, Built-in functions
– Recursion – Recursive functions - Pointers - Pointer increment, Pointer arithmetic -
Parameter passing: Pass by value, Pass by reference, pointer and arrays, dynamic memory
allocation
PRACTICALS
1. Programs using functions
2. Programs using recursion
3. Programs using pointers & strings with pointers
4. Programs using Dynamic Memory Allocation

SUGGESTED ACTIVITIES:
• EL - Programs using recursion.
• EL - Programs using pointers and arrays, address arithmetic.
• EL - Programs using Dynamic Memory Allocation, two dimensional arrays and
pointers.
• EL - Programs using Pointers and strings.

UNIT IV STRUCTURES AND UNION 6+12


Storage classes, Structure and union, Features of structures, Declaration and initialization
of structures, array of structures, Pointer to structure, structure and functions, typedef , bit
fields , enumerated data types, Union.
PRACTICALS
1. Programs using Structures
2. Programs using Unions
3. Programs using pointers to structures and self-referential structures.
SUGGESTED ACTIVITIES:
• EL - Programs using structures and arrays.
• EL - Programs using Pointers to structures, Self-referential structures.

UNIT V MACROS AND FILE PROCESSING 6+12


Preprocessor directives – Simple and Conditional macros with and without parameters - Files
- Types of file processing: Sequential and Random access – File operations – read, write &
seek.

PRACTICALS
1. Programs using pre-processor directives & macros
2. Programs to handle file operations
3. Programs to handle file with structure

SUGGESTED ACTIVITIES:
• EL - Programs using file operations in real-world applications
TOTAL: 90 (30+60) PERIODS
TEXT BOOKS:
1. Kernighan, B.W and Ritchie,D.M, "The C Programming language", Second Edition,
Pearson Education, 2015.
2. Yashwant Kanetkar, Let us C, 17th Edition, BPB Publications, 2020.
REFERENCE BOOKS:
1. Pradip Dey, Manas Ghosh, "Computer Fundamentals and Programming in C", Second
Edition, Oxford University Press, 2013.
2. Ashok N Kamthane, Programming in C, Pearson, Third Edition, 2020
3. Reema Thareja, "Programming in C", Oxford University Press, Second Edition, 2016.
4. Paul Deitel and Harvey Deitel, "C How to Program with an Introduction to C++", Eighth
edition, Pearson Education, 2018.
5. Byron S. Gottfried, "Schaum's Outline of Theory and Problems of Programming with C"'
McGraw-Hill Education, 1996.
6. Anita Goel and Ajay Mittal, "Computer Fundamentals and Programming in C", 1st
Edition, Pearson Education, 2013.

COURSE OUTCOMES:
Upon completion of the course, the students will be able to
CO1: Write simple C programs using basic constructs.
CO2: Design searching and sorting algorithms using arrays and strings.
CO3: Implement modular applications using Functions and pointers.
CO4: Develop and execute applications using structures and Unions.
CO5: Illustrate algorithmic solutions in C programming language using files.

Total Hours: 90 (30+60)

CO-PO MAPPING

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12 PSO1 PSO2 PSO3

1 2 3 1 3 2 1 - - - 2 - 3 1 2 2
2 2 1 1 3 2 1 - - - - - 3 1 2 2
3 2 2 1 3 2 1 - - 3 - 3 3 1 2 2
4 2 1 1 3 2 1 - - 3 - 3 3 1 2 2
5 2 3 1 3 2 1 - - - 2 3 3 1 2 2
1 - low, 2 - medium, 3 – high
ME23C04 MAKERSPACE L T P C
1 0 4 3
COURSE OBJECTIVES:
1. To practice the usage of various tools towards assembly and dis-assembly of
different items / equipment.

2. To make simple part / component using welding processes.

3. To train on the basic wiring practices of boards, machines, etc.

4. To provide a hands-on experience on the use of electronic components, equipment,


sensors and actuators.

5. To expose to modern computer tools and advanced manufacturing / fabrication


processes.

LIST OF ACTIVITIES 1L,4P


(A). Dis-assembly & Assembly Practices
i. Tools and its handling techniques.
ii. Dis-assembly and assembly of home appliances – Grinder Mixer Grinder,
Ceiling Fan, Table Fan & Washing Machine.
iii. Dis-assembly and assembly of Air-Conditioners & Refrigerators.
iv. Dis-assembly and assembly of a Bicycle.
(B). Welding Practices
i. Welding Procedure, Selection & Safety Measures.
ii. Power source of Arc Welding – Gas Metal Arc Welding & Gas Tungsten
Arc Welding processes.
iii. Hands-on session of preparing base material & Joint groove for welding.
iv. Hands-on session of MAW, GMAW, GTAW, on Carbon Steel & Stainless
Stell plates / pipes, for fabrication of a simple part.
(C). Electrical Wiring Practices
i. Electrical Installation tools, equipment & safety measures.
ii. Hands-on session of basic electrical connections for Fuses, Miniature
Circuit Breakers and Distribution Box,
iii. Hands-on session of electrical connections for Lightings, Fans, Calling
Bells.
iv. Hands-on session of electrical connections for Motors & Uninterruptible
Power Supply.
(D). Electronics Components / Equipment Practices
i. Electronic components, equipment & safety measures.
ii. Dis-assembly and assembly of Computers.
iii. Hands-on session of Soldering Practices in a Printed Circuit Breaker.
iv. Hands-on session of Bridge Rectifier, Op-Amp and Transimpedance
amplifier.
v. Hands-on session of integration of sensors and actuators with a
Microcontroller.
vi. Demonstration of Programmable Logic Control Circuit.
(E). Contemporary Systems
i. Demonstration of Solid Modelling of components.
ii. Demonstration of Assembly Modelling of components.
iii. Fabrication of simple components / parts using 3D Printers.
iv. Demonstration of cutting of wood / metal in different complex shapes
using Laser Cutting Machine.

TOTAL: 75 Periods (15 Lecture + 60 Practical)


COURSE OUTCOMES:
Upon the successful completion of the course, students will be able to:
CO1: Assemble and dis-assemble various items / equipment.
CO2: Make simple parts using suitable welding processes.
CO3: Setup wiring of distribution boards, machines, etc.
CO4: Utilise the electronic components to fabricate a simple equipment, aided with
sensors and actuators.
CO5: Take advantage of modern manufacturing practices.

REFERENCES:

1. Stephen Christena, Learn to Weld: Beginning MIG Welding and Metal Fabrication
Basics, Crestline Books, 2014.
2. H. Lipson, Fabricated - The New World of 3D Printing, Wiley, 1st edition, 2013.
3. Code of Practice for Electrical Wiring Installations (IS 732:2019)
4. A.S. Sedra and K.C. Smith, Microelectronic Circuits, Oxford University Press, 7th ed.
(Indian edition), 2017.
5. Mazidi, Naimi, Naimi, AVR Microcontroller and Embedded Systems: Using Assembly
and C, Pearson India, 1st edition 2013.
6. Visualization, Modeling, and Graphics for Engineering Design, D.K. Lieu, S.A. Sorby,
Cengage Learning; 2nd edition.
UC23H01 தமிழர் மரபு L T P C
1 0 0 1

அலகு I மமொழி மற்றும் இலக்கியம்: 3


இந்திய தமொழிக் குடும்பங்கள் – திரொவிட தமொழிகள் – தமிழ் ஒரு தெம்தமொழி – தமிழ்
தெவ்விலக்கியங்கள் - ெங்க இலக்கியத்தின் ெமயச் ெொர்பற்ற தன்மம – ெங்க இலக்கியத்தில் பகிர்தல் அறம்
– திருக்குறளில் மமலொண்மமக் கருத்துக்கள் – தமிழ்க் கொப்பியங்கள், தமிழகத்தில் ெமண தபௌத்த
ெமயங்களின் தொக்கம் - பக்தி இலக்கியம், ஆழ்வொர்கள் மற்றும் நொயன்மொர்கள் – சிற்றிலக்கியங்கள் –
தமிழில் நவீன இலக்கியத்தின் வளர்ச்சி – தமிழ் இலக்கிய வளர்ச்சியில் பொரதியொர் மற்றும் பொரதிதொென்
ஆகிமயொரின் பங்களிப்பு.

அலகு II மரபு – பொறை ஓவியங்கள் முதல் நவீன ஓவியங்கள் வறர – சிற்பக் கறல: 3
நடுகல் முதல் நவீன சிற்பங்கள் வமர – ஐம்தபொன் சிமலகள்– பழங்குடியினர் மற்றும் அவர்கள்
தயொரிக்கும் மகவிமனப் தபொருட்கள், தபொம்மமகள் – மதர் தெய்யும் கமல – சுடுமண் சிற்பங்கள் –
நொட்டுப்புறத் ததய்வங்கள் – குமரிமுமனயில் திருவள்ளுவர் சிமல – இமெக் கருவிகள் – மிருதங்கம்,
பமற, வீமண, யொழ், நொதஸ்வரம் – தமிழர்களின் ெமூக தபொருளொதொர வொழ்வில் மகொவில்களின் பங்கு.

அலகு III நொட்டுப்புைக் கறலகள் மற்றும் வீர விறையொட்டுகள்: 3


ததருக்கூத்து, கரகொட்டம், வில்லுப்பொட்டு, கணியொன் கூத்து, ஒயிலொட்டம், மதொல்பொமவக் கூத்து,
சிலம்பொட்டம், வளரி, புலியொட்டம், தமிழர்களின் விமளயொட்டுகள்.

அலகு IV தமிழர்களின் திறைக் ககொட்பொடுகள்: 3


தமிழகத்தின் தொவரங்களும், விலங்குகளும் – ததொல்கொப்பியம் மற்றும் ெங்க இலக்கியத்தில் அகம் மற்றும்
புறக் மகொட்பொடுகள் – தமிழர்கள் மபொற்றிய அறக்மகொட்பொடு – ெங்ககொலத்தில் தமிழகத்தில் எழுத்தறிவும்,
கல்வியும் – ெங்ககொல நகரங்களும் துமற முகங்களும் – ெங்ககொலத்தில் ஏற்றுமதி மற்றும் இறக்குமதி –
கடல்கடந்த நொடுகளில் மெொழர்களின் தவற்றி.

அலகு V இந்திய கதசிய இயக்கம் மற்றும் இந்திய பண்பொட்டிற்குத் தமிழர்களின்


பங்களிப்பு: 3
இந்திய விடுதமலப்மபொரில் தமிழர்களின் பங்கு – இந்தியொவின் பிறப்பகுதிகளில் தமிழ்ப் பண்பொட்டின்
தொக்கம் – சுயமரியொமத இயக்கம் – இந்திய மருத்துவத்தில், சித்த மருத்துவத்தின் பங்கு – கல்தவட்டுகள்,
மகதயழுத்துப்படிகள் - தமிழ்ப் புத்தகங்களின் அச்சு வரலொறு.

TOTAL : 15 PERIODS
TEXT-CUM-REFERENCE BOOKS
1. தமிழக வரலொறு – மக்களும் பண்பொடும் – மக.மக. பிள்மள (தவளியீடு: தமிழ்நொடு பொடநூல்
மற்றும் கல்வியியல் பணிகள் கழகம்).
2. கணினித் தமிழ் – முமனவர் இல. சுந்தரம். (விகடன் பிரசுரம்).
3. கீழடி – மவமக நதிக்கமரயில் ெங்ககொல நகர நொகரிகம் (ததொல்லியல் துமற தவளியீடு)
4. தபொருமந – ஆற்றங்கமர நொகரிகம். (ததொல்லியல் துமற தவளியீடு)
5. Social Life of Tamils (Dr.K.K.Pillay) A joint publication of TNTB & ESC and RMRL – (in
print)
6. Social Life of the Tamils - The Classical Period (Dr.S.Singaravelu) (Published by:
International Institute of Tamil Studies.
7. Historical Heritage of the Tamils (Dr.S.V.Subatamanian, Dr.K.D. Thirunavukkarasu)
(Published by: International Institute of Tamil Studies).
8. The Contributions of the Tamils to Indian Culture (Dr.M.Valarmathi) (Published by:
International Institute of Tamil Studies.)
9. Keeladi - ‘Sangam City C ivilization on the banks of river Vaigai’ (Jointly Published
by: Department of Archaeology & Tamil Nadu Text Book and Educational Services
Corporation, Tamil Nadu)
10. Studies in the History of India with Special Reference to Tamil Nadu (Dr.K.K.Pillay)
(Published by: The Author)
11. Porunai Civilization (Jointly Published by: Department of Archaeology & Tamil Nadu
Text Book and Educational Services Corporation, Tamil Nadu)
12. Journey of Civilization Indus to Vaigai (R.Balakrishnan) (Published by: RMRL) –
Reference Book.
UC23H01 HERITAGE OF TAMILS L T P C
1 0 0 1
UNIT I LANGUAGE AND LITERATURE 3
Language Families in India - Dravidian Languages – Tamil as a Classical Language -
Classical Literature in Tamil – Secular Nature of Sangam Literature – Distributive Justice in
Sangam Literature - Management Principles in Thirukural - Tamil Epics and Impact of
Buddhism & Jainism in Tamil Land - Bakthi Literature Azhwars and Nayanmars - Forms of
minor Poetry - Development of Modern literature in Tamil - Contribution of Bharathiyar and
Bharathidhasan.
UNIT II HERITAGE - ROCK ART PAINTINGS TO MODERN ART – SCULPTURE 3
Hero stone to modern sculpture - Bronze icons - Tribes and their handicrafts - Art of temple
car making - - Massive Terracotta sculptures, Village deities, Thiruvalluvar Statue at
Kanyakumari, Making of musical instruments - Mridhangam, Parai, Veenai, Yazh and
Nadhaswaram - Role of Temples in Social and Economic Life of Tamils.
UNIT III FOLK AND MARTIAL ARTS 3
Therukoothu, Karagattam, Villu Pattu, Kaniyan Koothu, Oyillattam, Leather puppetry,
Silambattam, Valari, Tiger dance - Sports and Games of Tamils.

UNIT IV THINAI CONCEPT OF TAMILS 3


Flora and Fauna of Tamils & Aham and Puram Concept from Tholkappiyam and Sangam
Literature - Aram Concept of Tamils - Education and Literacy during Sangam Age - Ancient
Cities and Ports of Sangam Age - Export and Import during Sangam Age - Overseas
Conquest of Cholas.

UNIT V CONTRIBUTION OF TAMILS TO INDIAN NATIONAL MOVEMENT AND


INDIAN CULTURE 3
Contribution of Tamils to Indian Freedom Struggle - The Cultural Influence of Tamils over the
other parts of India – Self-Respect Movement - Role of Siddha Medicine in Indigenous
Systems of Medicine – Inscriptions & Manuscripts – Print History of Tamil Books.
TOTAL : 15 PERIODS
TEXT-CUM-REFERENCE BOOKS
1. தமிழக வரலொறு – மக்களும் பண்பொடும் – மக.மக. பிள்மள (தவளியீடு: தமிழ்நொடு பொடநூல்
மற்றும் கல்வியியல் பணிகள் கழகம்).
2. கணினித் தமிழ் – முமனவர் இல. சுந்தரம். (விகடன் பிரசுரம்).
3. கீழடி – மவமக நதிக்கமரயில் ெங்ககொல நகர நொகரிகம் (ததொல்லியல் துமற தவளியீடு)
4. தபொருமந – ஆற்றங்கமர நொகரிகம். (ததொல்லியல் துமற தவளியீடு)
5. Social Life of Tamils (Dr.K.K.Pillay) A joint publication of TNTB & ESC and RMRL – (in
print)
6. Social Life of the Tamils - The Classical Period (Dr.S.Singaravelu) (Published by:
International Institute of Tamil Studies.
7. Historical Heritage of the Tamils (Dr.S.V.Subatamanian, Dr.K.D. Thirunavukkarasu)
(Published by: International Institute of Tamil Studies).
8. The Contributions of the Tamils to Indian Culture (Dr.M.Valarmathi) (Published by:
International Institute of Tamil Studies.)
9. Keeladi - ‘Sangam City C ivilization on the banks of river Vaigai’ (Jointly Published
by: Department of Archaeology & Tamil Nadu Text Book and Educational Services
Corporation, Tamil Nadu)
10. Studies in the History of India with Special Reference to Tamil Nadu (Dr.K.K.Pillay)
(Published by: The Author)
11. Porunai Civilization (Jointly Published by: Department of Archaeology & Tamil Nadu
Text Book and Educational Services Corporation, Tamil Nadu)
12. Journey of Civilization Indus to Vaigai (R.Balakrishnan) (Published by: RMRL) –
Reference Book.
NCC Credit Course Level 1*
UC23P01 (ARMY WING) NCC Credit Course Level - I L T P C
2 0 0 2

NCC GENERAL 6
NCC 1 Aims, Objectives & Organization of NCC 1
NCC 2 Incentives 2
NCC 3 Duties of NCC Cadet 1
NCC 4 NCC Camps: Types & Conduct 2

NATIONAL INTEGRATION AND AWARENESS 4


NI 1 National Integration: Importance & Necessity 1
NI 2 Factors Affecting National Integration 1
NI 3 Unity in Diversity & Role of NCC in Nation Building 1
NI 4 Threats to National Security 1

PERSONALITY DEVELOPMENT 7
PD 1 Self-Awareness, Empathy, Critical & Creative Thinking, Decision Making and
Problem Solving 2
PD 2 Communication Skills 3
PD 3 Group Discussion: Stress & Emotions 2

LEADERSHIP 5
L 1Leadership Capsule: Traits, Indicators, Motivation, Moral Values, Honour ‘Code 3
L 2 Case Studies: Shivaji, Jhasi Ki Rani 2

SOCIAL SERVICE AND COMMUNITY DEVELOPMENT 8


SS 1 Basics, Rural Development Programmes, NGOs, Contribution of Youth 3
SS 4 Protection of Children and Women Safety 1
SS 5 Road / Rail Travel Safety 1
SS 6 New Initiatives 2
SS 7 Cyber and Mobile Security Awareness 1
TOTAL : 30 PERIODS
NCC Credit Course Level 1*
UC23P02 (NAVAL WING) NCC Credit Course Level – I L T P C
2 0 0 2
NCC GENERAL 6
NCC 1 Aims, Objectives & Organization of NCC 1
NCC 2 Incentives 2
NCC 3 Duties of NCC Cadet 1
NCC 4 NCC Camps: Types & Conduct 2

NATIONAL INTEGRATION AND AWARENESS 4


NI 1 National Integration: Importance & Necessity 1
NI 2 Factors Affecting National Integration 1
NI 3 Unity in Diversity & Role of NCC in Nation Building 1
NI 4 Threats to National Security
1

PERSONALITY DEVELOPMENT 7
PD 1 Self-Awareness, Empathy, Critical & Creative Thinking, Decision Making and
Problem Solving 2
PD 2 Communication Skills 3
PD 3 Group Discussion: Stress & Emotions 2

LEADERSHIP 5
L 1 Leadership Capsule: Traits, Indicators, Motivation, Moral Values, Honour Code 3
L2 Case Studies: Shivaji, Jhasi Ki Rani 2

SOCIAL SERVICE AND COMMUNITY DEVELOPMENT 8


SS 1 Basics, Rural Development Programmes, NGOs, Contribution of Youth 3
SS 4 Protection of Children and Women Safety 1
SS 5 Road / Rail Travel Safety 1
SS 6 New Initiatives 2
SS 7 Cyber and Mobile Security Awareness 1

TOTAL : 30 PERIODS
NCC Credit Course Level 1*
UC23P03
(AIR FORCE WING) NCC Credit Course Level – I L T P C
2 0 0 2

NCC GENERAL 6
NCC 1 Aims, Objectives & Organization of NCC 1
NCC 2 Incentives 2
NCC 3 Duties of NCC Cadet 1
NCC 4 NCC Camps: Types & Conduct 2

NATIONAL INTEGRATION AND AWARENESS 4


NI 1 National Integration: Importance & Necessity 1
NI 2 Factors Affecting National Integration 1
NI 3 Unity in Diversity & Role of NCC in Nation Building 1
NI 4 Threats to National Security 1

PERSONALITY DEVELOPMENT 7
PD 1 Self-Awareness, Empathy, Critical & Creative Thinking, Decision Making and
Problem Solving 2
PD 2 Communication Skills 3
PD 3 Group Discussion: Stress & Emotions 2

LEADERSHIP 5
L 1 Leadership Capsule: Traits, Indicators, Motivation, Moral Values, Honour Code 3
L2 Case Studies: Shivaji, Jhasi Ki Rani 2

SOCIAL SERVICE AND COMMUNITY DEVELOPMENT 8


SS 1 Basics, Rural Development Programmes, NGOs, Contribution of Youth 3
SS 4 Protection of Children and Women Safety 1
SS 5 Road / Rail Travel Safety 1
SS 6 New Initiatives 2
SS 7 Cyber and Mobile Security Awareness 1

TOTAL : 30 PERIODS
EN23C02 PROFESSIONAL COMMUNICATION LT P C
2 0 2 3
COURSE OBJECTIVES:
• To read and comprehend different forms of official texts.
• To develop students’ writing skills in professional context.
• To actively listen, read and understand written and oral communication in a
professional context.
• To comprehend and analyse the visual content in authentic context.
• To write professional documents with clarity and precision

UNIT I CAUSE AND EFFECT 6


Reading – Newspaper articles on Social and Environmental issues; Writing – Instructions,
Cause and effect essay; Grammar - Modal verbs; Vocabulary – Cause and effect, Idioms
LAB ACTIVITY: 6
Listening and Speaking – Listen to news reports and summarise in oral form.
UNIT II CLASSIFICATION 6
Reading – An article, social media posts and classifying based on the content; Writing –
Definition, Note making, Note taking (Cornell notes etc.) and Summarising; Grammar –
Connectives; Vocabulary – Phrasal verbs
LAB ACTIVITY: 6
Listening and speaking: Social interaction (Conversation including small talk)
UNIT III PROBLEM AND SOLUTION 6
Reading – Visual content (Tables/charts/graphs) for comprehension; Writing - Problem and
Solution Essay; Grammar – If conditionals; Vocabulary – Sequential words.
LAB ACTIVITY: 6
Listening – Group discussion; Speaking – Participating in a group discussion
UNIT IV REPORT 6
Reading – Formal report on accidents (industrial/engineering); Writing – Industrial Accident
report; Grammar – Active and passive voice, Direct and Indirect speech; Vocabulary –
Numerical adjectives.
LAB ACTIVITY: 6
Listening / watching – Television documentary and discussing its content, purpose etc.
UNIT V JOB APPLICATION AND INTERVIEW 6
Reading - Job advertisement and company profile; Writing – Job application (cover letter and
CV) Grammar – Mixed Tenses; Vocabulary – Collocations related to work environment
LAB ACTIVITY: 6
Listening – Job interview; Speaking – Mock interviews
TOTAL: 60 PERIODS
TEACHING METHODOLOGY
Interactive lectures, role plays, group discussions, listening and speaking labs, technology
enabled language teaching, flipped classroom.
EVALUATION PATTERN
Internal Assessment
Written assessments
Assignment
Lab Assessment
Group discussion (Peer assessment)
Listening
External Assessment
End Semester Examination

LEARNING OUTCOMES
By the end of the courses, students will be able to
• To apply appropriate language structure and vocabulary to enhance both spoken and
written communication in formal contexts.
• Comprehend different forms of official documents
• Write professional documents coherently and cohesively.
• Interpret verbal and graphic content in authentic context
• Analyse and evaluate verbal and audio visual materials.

TEXT BOOKS:
1. “English for Engineers and Technologists” Volume 2 by Orient Blackswan, 2022
2. “English for Science & Technology - II” by Cambridge University Press, 2023.

REFERENCES:
1. “Communicative English for Engineers and Professionals” by Bhatnagar Nitin, Pearson
India, 2010.
2. “Take Off – Technical English for Engineering” by David Morgan, Garnet Education, 2008.
3. “Advanced Communication Skills” by Mathew Richardson, Charlie Creative Lab, 2020.
4. www.uefap.com

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 √ √
CO2 √ √
CO3 √ √
CO4 √ √
CO5 √ √
MA23C02 ORDINARY DIFFERENTIAL EQUATIONS AND TRANSFORM L T P C
TECHNIQUES 3 1 0 4
OBJECTIVES:
• To acquaint the students with Differential Equations which are significantly used in
engineering problems.
• To make the students to understand the Laplace transforms techniques.
• To develop the analytic solutions for partial differential equations used in engineering by
Fourier series.
• To acquaint the student with Fourier transform techniques used in wide variety of situations
in which the functions used are not periodic.
• To develop Z- transform techniques in solving difference equations.
UNIT I ORDINARY DIFFERENTIAL EQUATIONS 9+3
Homogeneous linear ordinary differential equations of second order -superposition principle -
general solution- Particular integral - Operator method - Solution by variation of parameters -
Method of undetermined coefficients - Homogeneous equations of Euler–Cauchy and
Legendre’s type – System of simultaneous linear differential equations with constant
coefficients.
UNIT II LAPLACE TRANSFORMS 9+3
Existence theorem - Transform of standard functions – Transform of Unit step function and
Dirac delta function – Basic properties - Shifting theorems - Transforms of derivatives and
integrals – Transform of periodic functions - Initial and Final value theorem - Inverse Laplace
transforms- Convolution theorem (without proof) – Solving Initial value problems by using
Laplace Transform techniques.
UNIT III FOURIER SERIES 9+3
Dirichlet’s conditions – General Fourier series – Odd and even functions – Half-range Sine and
Cosine series – Complex form of Fourier series – Parseval’s identity – Computation of
harmonics.
UNIT IV FOURIER TRANSFORMS 9+3
Fourier integral theorem – Fourier transform pair - Fourier sine and cosine transforms –
Properties – Transform of elementary functions – Inverse Fourier Transforms - Convolution
theorem (without proof) – Parsevals’s identity.
UNIT V Z – TRANSFORM AND DIFFERENCE EQUATIONS 9+3
Z-transform – Properties of Z-transform – Inverse Z-transform – Convolution theorem –
Evaluation of Inverse Z transform using partial fraction method and convolution theorem - Initial
and final value theorems – Formation of difference equations – Solution of difference equations
using Z - transform.
TOTAL: 60 PERIODS
Laboratory based exercises / assignments / assessments will be given to students from the
content of the course wherever applicable.
Branch specific / General Engineering applications based on the content of each units will
be introduced to students wherever possible.
Suggested Laboratory based exercises / assignments / assessments :
Ordinary differential equations
1. Symbolic computation of linear ordinary differential equations
2. Solving System of simultaneous linear differential equations using ODE SOLVER
Laplace transforms
1. Symbolic computation of Laplace transform and Inverse Laplace transform
2. Plotting Laplace transforms
Fourier Series
1. Symbolic computation of Fourier Coefficients
2. Computation of harmonics
3. Plotting truncated Fourier Series
Fourier Transform
1. Symbolic computation of Fourier Transforms
2. Plotting truncated Fourier Transforms
Z – transform
1. Symbolic computation of Z-Transforms

OUTCOMES:
CO1 :Solve higher order ordinary differential equations which arise in engineering
applications.
CO2 :Apply Laplace transform techniques in solving linear differential equations.
CO3 :Apply Fourier series techniques in engineering applications.
CO4 :Understand the Fourier transforms techniques in solving engineering problems.
CO5 :Understand the Z-transforms techniques in solving difference equations.

TEXT BOOKS:
1. Grewal B.S., “Higher Engineering Mathematics”, Khanna Publishers, 45th Edition, New
Delhi, 2020.
2. Erwin Kreyszig, "Advanced Engineering Mathematics", 10th Edition, Wiley India Pvt Ltd.,
New Delhi, 2018.

REFERENCES:

1. N.P. Bali and Manish Goyal, A text book of Engineering Mathematics, Laxmi
Publications, Reprint, 2008.
2. Greenberg M.D., “Advanced Engineering Mathematics”, Pearson Education2nd Edition,
5th Reprint, Delhi, 2009.
3. Jain R.K. and Iyengar S.R.K., “Advanced Engineering Mathematics”,Narosa
Publications, 5 th Edition, New Delhi, 2017.
4. Peter V.O’Neil, “Advanced Engineering Mathematics”, Cengage Learning India Pvt.,
Ltd, 7 th Edition, New Delhi , 2012.
5. Ramana B.V., “Higher Engineering Mathematics”, Tata McGraw Hill Co. Ltd., 11th
Reprint, New Delhi, 2010
CO – PO MAPPING:

PROGRAMME OUTCOMES
Course
Outcomes PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 P10 P11 P12
CO 1 : 3 3 2 3 1 2 1 1 1 1 1 3
CO 2 : 3 3 2 3 1 2 1 1 1 1 1 3
CO 3 : 3 3 2 3 1 2 1 1 1 1 1 3
CO 4 : 3 3 2 3 1 2 1 1 1 1 1 3
CO 5 : 3 3 2 3 1 2 1 1 1 1 1 3
EC23C03 ELECTRONIC DEVICES L T P C

2 0 4 4

UNIT I ELECTRONIC STATES 6L


Quantum free electron theory - Fermi distribution and energy – Density of states– Energy
bands in solids – Conductors – Semiconductors – Insulators –– Electron effective mass –
properties of conduction and valence bands.
UNIT II CARRIERS AND DOPING 6L
Intrinsic concentration – intrinsic Fermi level – n and p type doping – density of carriers in
extrinsic semiconductors – extrinsic semiconductor Fermi energy level – degenerate and
non-degenerate semiconductors – Direct and Indirect band gap semiconductors.
UNIT III PN DIODE AND BIPOLAR JUNCTION TRANSISTOR 6L,3P
PN junction diode, current equations, V-I characteristics, Zener Diode, Bipolar Junction
Transistor- bipolar transistor action, minority carrier distribution, Ebers Moll Model, Hybrid-
pi model, large signal switching characteristics, SiGe and hetro-junction, Applications of
Diodes.

PRACTICALS:

• Characteristics of PN Junction Diode


• Zener diode Characteristics & Regulator using Zener diode
• Clipper and Clamper
• Common Emitter input-output Characteristics
• Common Base input-output Characteristics
• Common Collector input-output characteristics

UNIT IV FIELD EFFECT TRANSISTORS 6L,6P


Two terminal MOS structures, threshold voltage and charge distribution, capacitance-
voltage characteristics, MOSFET structures, I-V relationships, transconductance and
substrate effects, non-ideal effects, MOSFET scaling, threshold voltage modification due to
short and narrow channel effects.

PRACTICALS:

• MOSFET Characteristics

UNIT V SPECIAL SEMICONDUCTOR DEVICES 6L, 18P


SCR, DIAC, TRIAC, IGBT, LED, LCD, Photo transistor, Opto Coupler, Solar cell, MESFET,
-Varactor diode –Tunnel diode- LASER diode, UJT, LDR

PRACTICALS:

• SCR Characteristics
• UJT Characteristics
• Half wave Rectifier and Full wave rectifier Characteristics
L+P :30 + 60 PERIODS

COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Understand the basics of electronic states and energy band structure formation
CO2: Recognize the importance of carrier concentration and doping in semiconductors
CO3: Understand the operation and characteristics of PN junction and BJTs.
CO4:Comprehend the characteristics of the field effect transistors and special
semiconductor devices.
CO5: Practically derive the semiconductor devices characteristics and analyse.

TEXT BOOKS:
1. R.F.Pierret. Semiconductor Device Fundamentals. Pearson, 2006
2. D.Neamen and D.Biswas. Semiconductor physics and devices. McGraw Hill Education,
2017

REFERENCE BOOKS:
1. N.Garcia, A. Damask and S.Schwarz. Physics for Computer Science Students.
SpringerVerlag, 2012.
2. Umesh Mishra and Jasprit Singh. Semiconductor Device Physics and Design. Springer,
2008.
3. Nandita Dasgupta and Amitava Dasgupta. Semiconductor Devices: Modelling and
Technology. PHI Learning Pvt. Ltd. 2004
4. F.H. Mitchell, ‘ Introduction to Electronics Design” Prentice Hall of India Pvt. Lt, 1995.
5. Robert L. Boylestad, Louis Nashelsky “ Electronic devices and circuit theory” , Pearson,
2009

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 1 2 2 1
CO2 3 1 2 2 1
CO3 2 2 1 1 2 2 1
CO4 2 2 1 1 2 2 1
CO5 1 2 1 1 2 2 1
Average 2.2 1.6 1 1 2 2 1
EC23C06 DATA STRUCTURES AND PROGRAMMING IN C++ L T P C

2 0 4 4

UNIT I DATA ABSTRACTION & OVERLOADING 6L, 14P


Overview of C++ – Structures – Class Scope and Accessing Class Members –
Reference Variables – Initialization – Constructors – Destructors – Member Functions
and Classes – Friend Function – Dynamic Memory Allocation – Static Class
Members – Container Classes and Integrators – Proxy Classes – Overloading:
Function overloading and Operator Overloading.
PRACTICALS:
• C++ Program to Implement Constructors and Destructors.
• C++ Program to implement Member Functions, Classes and Friend Functions.
• C++ Program to Implement Dynamic Memory Allocation and Overloading.

UNIT II INHERITANCE & POLYMORPHISM 6L,9P


Base Classes and Derived Classes – Protected Members – Casting Class pointers
and Member Functions – Overriding – Public, Protected and Private Inheritance –
Constructors and Destructorsin derived Classes – Implicit Derived – Class Object
To Base – Class Object Conversion – Composition Vs. Inheritance – Virtual
functions – This Pointer – Abstract Base Classes and Concrete Classes – Virtual
Destructors – Dynamic Binding.
PRACTICALS:
• C++ Program to Implement Various Inheritances.
• C++ Program to Implement Virtual Functions and Dynamic Binding.

UNIT III LINEAR DATA STRUCTURES 6L,14P


Asymptotic Notations: Big-Oh, Omega and Theta – Best, Worst and Average case
Analysis: Definition and an example – Arrays and its representations – Stacks and
Queues – Linked lists –Linked list based implementation of Stacks and Queues –
Evaluation of Expressions – Linked listbased polynomial addition.
PRACTICALS:
• C++ Program to Implement Various Operations on Arrays and Linked Lists.
• C++ Program to Implement Various Operations on Stacks and Queues using Array
and Linked List.
• C++ Program to Evaluate the Infix Expressions by converting into Prefix and Postfix
Expressions.

UNIT IV NON-LINEAR DATA STRUCTURES 6L,14P


Trees – Binary Trees – Binary tree representation and traversals – Threaded binary trees
– Binarytree representation of trees – Application of trees: Set representation and Union-
Find operations – Graph and its representations – Graph Traversals – Connected
components. Standard template library.
PRACTICALS:
• C++ Program to Implement Binary Tree Traversal and Graph Traversal Algorithm.
• C++ Program to Implement the Single Source Shortest Path Algorithm and All Pair
Shortest Path Algorithm.
• C++ Program to find the Minimal Spanning Tree for a Graph.

UNIT V SORTING & SEARCHING 6L,9P


Insertion sort – Merge sort – Quick sort – Heap sort – Linear Search – Binary Search.
PRACTICALS:
• C++ Program to Implement Linear Search and Binary Search Algorithms.
• C++ Program to Implement Insertion Sort, Merge Sort, Quick Sort and Heap Sort
Algorithms.
L+P : 30 +60 PERIODS

COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Comprehend and appreciate the significance and role of this course in the
presentcontemporary world
CO2: Select and realize suitable data structure for specific Application.
CO3: Compare and realize Linear and nonlinear data structures for different
application.
CO4: Implement different searching and sorting techniques.
CO5: Identify and realize connected components in trees.

TEXT BOOKS:
1. Deitel and Deitel, “ C++, How To Program”, Fifth Edition, Pearson
Education, 2005
2. Ellis Horowitz, Sartaj Sahni and Dinesh Mehta, Fundamentals of Data
Structures in C++, 2ndedition, Universities Press Pvt Ltd., Hyderabad, 2007.

REFERENCE BOOKS:
1. Mark Allen Weiss, “Data Structures and Algorithm Analysis in C++”, Third
Edition, Addison-Wesley, 2007.
2. Bhushan Trivedi, “Programming with ANSI C++, A Step-By-Step approach”,
Oxford University Press, 2010.
3. Goodrich, Michael T., Roberto Tamassia, “David Mount. “ Data Structures
and Algorithms in C++”, 7th edition, Wiley. 2004.
ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 1 3 1 1 1 1
CO2 3 2 1 3 1 1 1 1
CO3 3 2 1 3 1 1 1 1
CO4 3 2 1 3 1 1 1 1
CO5 3 2 1 3 1 1 1 1
Average 3 2 1 3 1 1 1 1
EC23C04 CIRCUIT ANALYSIS L T P C
2 1 2 4

UNIT I DC CIRCUIT ANALYSIS 9L,6P


Basic Components of electric Circuits, Charge, current, Voltage and Power, Voltage
and Current Sources, Ohms Law, Kirchoff‘s Current Law, Kirchoff‘s voltage law, The
single Node – Pair Circuit, series and Parallel Connected Independent Sources,
Resistors in Series and Parallel, voltage and current division, Nodal analysis & Mesh
analysis for independent and dependent sources. Super Mesh, Super Node.

PRACTICALS:
• Verification of Mesh Analysis for DC Circuits.
• Verification of Nodal Analysis for DC Circuits.

UNIT II NETWORK THEOREM AND DUALITY 9L, 6P


Principle of Linearity. Superposition Theorem, Reciprocity Theorem, Thevenin and
Norton Equivalent Circuits, Maximum Power Transfer Theorem for dependent and
independent sources. Delta-Wye Conversion. Duals, Dual circuits.
PRACTICALS:
• Verification of Thevenin, Maximum Power Transfer Theorems for DC Circuits.
• Verification of Super Position & Norton Theorems for DC Circuits.
UNIT III SINUSOIDAL STEADY STATE ANALYSIS 9L, 6P
Sinusoidal Steady – State analysis, Characteristics of Sinusoids, The Complex Forcing
Function, The Phasor, Phasor relationship for R, L, and C, impedance and Admittance,
Nodal and Mesh Analysis, Phasor Diagrams, AC Circuit Power Analysis, Instantaneous
Power, Average Power, apparent Power and Power Factor, Complex Power.
PRACTICALS:
• Verify mesh and nodal analysis for AC circuits using EDA Tools.
• Determine phasor relationship, real power (P), reactive power (Q), apparent power
(S) and
power factor in AC circuits using EDA Tools.
UNIT IV TRANSIENTS AND RESONANCE IN RLC CIRCUITS 9L, 6P
Basic RL and RC Circuits, The Source- Free RL Circuit, The Source-Free RC Circuit,
The Unit-Step Function, Driven RL Circuits, Driven RC Circuits, RLC Circuits, Frequency
Response, Parallel Resonance, Series Resonance, Quality Factor.

PRACTICALS:
• Study of DC transients in RL and RC circuits.
• Determination of Resonant Frequency of Series & Parallel RLC Circuits.
UNIT V TOPOLOGY & COUPLED CIRCUITS 9L, 6P
Magnetically Coupled Circuits, mutual Inductance, the Linear Transformer, the Ideal
Transformer, An introduction to Network Topology, Trees and General Nodal analysis, Links
and Loop analysis.
PRACTICALS:
• Simulation and verification of coupling coefficient and number of turns in coil using
EDA Tools.
• Simulation and verification of self and mutual inductance of coupled circuits using
EDA Tools.
L+T+P:30+15+30 PERIODS

COURSE OUTCOMES:
CO1: Ability to apply, construct and validate the basic laws for DC and AC circuits
Analysis.
CO2: Ability to apply, construct and validate Network Theorems in DC and AC
circuits.
CO3: Ability to design, analyse and implement AC circuits for phase relationship
and power calculation.
CO4: Ability to design and analyse first and second order AC circuits.
CO5: Ability to implement and analyse inductively coupled circuits and analyse two
port networks.

TEXT BOOKS:
1. Hayt JackKemmerly, Steven Durbin, "Engineering Circuit Analysis",Mc Graw Hill
education, 9th Edition, 2018.
2. Robert.L. Boylestead, "Introductory Circuit Analysis", Pearson Education India,
12th Edition, 2014.

REFERENCES:
1. Charles K. Alexander & Mathew N.O.Sadiku, "Fundamentals of Electric Circuits", Mc
Graw- Hill, 2nd Edition, 2003.
2. D.R.Cunningham, J.A. Stuller, "Basic Circuit Analysis", Jaico Publishing House, 2005.
3. David Bell, "Fundamentals of Electric Circuits", Oxford University press, 7 th Edition,
2009.
4. Charles.K.Alexander, Mathew N.O.Sadiku," Fundamentals of Electric Circuits",
McGraw Hill, 5th Edition, 2012.
5. John O Mallay, Schaum’s Outlines "Basic Circuit Analysis", The Mc Graw Hill
companies, 2nd Edition, 2011.
ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 1 1 2 2 1

CO2 3 3 2 2 2 2 1

CO3 3 3 3 3 2 2 1

CO4 3 3 3 3 2 2 1

CO5 3 3 3 2 2 2 1

3 2.8 2.4 2.2 2 2 1


UC23H02 தமிழரும் மதொழில்நுட்பமும் L T P C
1 0 0 1
அலகு I மநசவு மற்றும் பொறனத் மதொழில்நுட்பம்: 3
ெங்க கொலத்தில் தநெவுத் ததொழில் – பொமனத் ததொழில்நுட்பம் - கருப்பு சிவப்பு பொண்டங்கள் –
பொண்டங்களில் கீறல் குறியீடுகள்.
அலகு II வடிவறமப்பு மற்றும் கட்டிடத் மதொழில்நுட்பம்: 3
ெங்க கொலத்தில் வடிவமமப்பு மற்றும் கட்டுமொனங்கள் & ெங்க கொலத்தில் வீட்டுப் தபொருட்களில்
வடிவமமப்பு- ெங்க கொலத்தில் கட்டுமொன தபொருட்களும் நடுகல்லும் – சிலப்பதிகொரத்தில் மமமட
அமமப்பு பற்றிய விவரங்கள் - மொமல்லபுரச் சிற்பங்களும், மகொவில்களும் – மெொழர் கொலத்துப்
தபருங்மகொயில்கள் மற்றும் பிற வழிபொட்டுத் தலங்கள் – நொயக்கர் கொலக் மகொயில்கள் - மொதிரி
கட்டமமப்புகள் பற்றி அறிதல், மதுமர மீனொட்சி அம்மன் ஆலயம் மற்றும் திருமமல நொயக்கர் மஹொல் –
தெட்டிநொட்டு வீடுகள் – பிரிட்டிஷ் கொலத்தில் தென்மனயில் இந்மதொ-ெொமரொதெனிக் கட்டிடக் கமல.

அலகு III உற்பத்தித் மதொழில் நுட்பம்: 3


கப்பல் கட்டும் கமல – உமலொகவியல் – இரும்புத் ததொழிற்ெொமல – இரும்மப உருக்குதல், எஃகு –
வரலொற்றுச் ெொன்றுகளொக தெம்பு மற்றும் தங்க நொணயங்கள் – நொணயங்கள் அச்ெடித்தல் – மணி
உருவொக்கும் ததொழிற்ெொமலகள் – கல்மணிகள், கண்ணொடி மணிகள் – சுடுமண் மணிகள் – ெங்கு மணிகள்
– எலும்புத்துண்டுகள் – ததொல்லியல் ெொன்றுகள் – சிலப்பதிகொரத்தில் மணிகளின் வமககள்.

அலகு IV கவைொண்றம மற்றும் நீர்ப்பொசனத் மதொழில் நுட்பம்: 3


அமண, ஏரி, குளங்கள், மதகு – மெொழர்கொலக் குமுழித் தூம்பின் முக்கியத்துவம் – கொல்நமட பரொமரிப்பு
– கொல்நமடகளுக்கொக வடிவமமக்கப்பட்ட கிணறுகள் – மவளொண்மம மற்றும் மவளொண்மமச் ெொர்ந்த
தெயல்பொடுகள் – கடல்ெொர் அறிவு – மீன்வளம் – முத்து மற்றும் முத்துக்குளித்தல் – தபருங்கடல் குறித்த
பண்மடய அறிவு – அறிவுெொர் ெமூகம்.
அலகு V அறிவியல் தமிழ் மற்றும் கணித்தமிழ்: 3
அறிவியல் தமிழின் வளர்ச்சி –கணித்தமிழ் வளர்ச்சி - தமிழ் நூல்கமள மின்பதிப்பு தெய்தல் – தமிழ்
தமன்தபொருட்கள் உருவொக்கம் – தமிழ் இமணயக் கல்விக்கழகம் – தமிழ் மின் நூலகம் – இமணயத்தில்
தமிழ் அகரொதிகள் – தெொற்குமவத் திட்டம்.
TOTAL : 15 PERIODS
TEXT-CUM-REFERENCE BOOKS
1. தமிழக வரலொறு – மக்களும் பண்பொடும் – மக.மக. பிள்மள (தவளியீடு: தமிழ்நொடு பொடநூல் மற்றும்
கல்வியியல் பணிகள் கழகம்).
2. கணினித் தமிழ் – முமனவர் இல. சுந்தரம். (விகடன் பிரசுரம்).
3. கீழடி – மவமக நதிக்கமரயில் ெங்ககொல நகர நொகரிகம் (ததொல்லியல் துமற தவளியீடு)
4. தபொருமந – ஆற்றங்கமர நொகரிகம். (ததொல்லியல் துமற தவளியீடு)
5. Social Life of Tamils (Dr.K.K.Pillay) A joint publication of TNTB & ESC and RMRL – (in
print)
6. Social Life of the Tamils - The Classical Period (Dr.S.Singaravelu) (Published by:
International Institute of Tamil Studies.
7. Historical Heritage of the Tamils (Dr.S.V.Subatamanian, Dr.K.D. Thirunavukkarasu)
(Published by: International Institute of Tamil Studies).
8. The Contributions of the Tamils to Indian Culture (Dr.M.Valarmathi) (Published by:
International Institute of Tamil Studies.)
9. Keeladi - ‘Sangam City C ivilization on the banks of river Vaigai’ (Jointly Published
by: Department of Archaeology & Tamil Nadu Text Book and Educational Services
Corporation, Tamil Nadu)
10. Studies in the History of India with Special Reference to Tamil Nadu (Dr.K.K.Pillay)
(Published by: The Author)
11. Porunai Civilization (Jointly Published by: Department of Archaeology & Tamil Nadu Text
Book and Educational Services Corporation, Tamil Nadu)
12. Journey of Civilization Indus to Vaigai (R.Balakrishnan) (Published by: RMRL) –
Reference Book.
UC23H02 TAMILS AND TECHNOLOGY L T P C
1 0 0 1
UNIT I WEAVING AND CERAMIC TECHNOLOGY 3
Weaving Industry during Sangam Age – Ceramic technology – Black and Red Ware Potteries
(BRW) – Graffiti on Potteries.
UNIT II DESIGN AND CONSTRUCTION TECHNOLOGY 3
Designing and Structural construction House & Designs in household materials during
Sangam Age - Building materials and Hero stones of Sangam age – Details of Stage
Constructions in Silappathikaram - Sculptures and Temples of Mamallapuram - Great
Temples of Cholas and other worship places - Temples of Nayaka Period - Type study
(Madurai Meenakshi Temple)- Thirumalai Nayakar Mahal - Chetti Nadu Houses, Indo -
Saracenic architecture at Madras during British Period.
UNIT III MANUFACTURING TECHNOLOGY 3
Art of Ship Building - Metallurgical studies - Iron industry - Iron smelting, steel -Copper and
gold- Coins as source of history - Minting of Coins – Beads making-industries Stone beads -
Glass beads - Terracotta beads -Shell beads/ bone beats - Archeological evidences - Gem
stone types described in Silappathikaram.

UNIT IV AGRICULTURE AND IRRIGATION TECHNOLOGY 3


Dam, Tank, ponds, Sluice, Significance of Kumizhi Thoompu of Chola Period, Animal
Husbandry - Wells designed for cattle use - Agriculture and Agro Processing - Knowledge of
Sea - Fisheries – Pearl - Conche diving - Ancient Knowledge of Ocean - Knowledge Specific
Society.
UNIT V SCIENTIFIC TAMIL & TAMIL COMPUTING 3
Development of Scientific Tamil - Tamil computing – Digitalization of Tamil Books –
Development of Tamil Software – Tamil Virtual Academy – Tamil Digital Library – Online Tamil
Dictionaries – Sorkuvai Project.
TOTAL : 15 PERIODS
TEXT-CUM-REFERENCE BOOKS
1. தமிழக வரலொறு – மக்களும் பண்பொடும் – மக.மக. பிள்மள (தவளியீடு: தமிழ்நொடு பொடநூல் மற்றும்
கல்வியியல் பணிகள் கழகம்).
2. கணினித் தமிழ் – முமனவர் இல. சுந்தரம். (விகடன் பிரசுரம்).
3. கீழடி – மவமக நதிக்கமரயில் ெங்ககொல நகர நொகரிகம் (ததொல்லியல் துமற தவளியீடு)
4. தபொருமந – ஆற்றங்கமர நொகரிகம். (ததொல்லியல் துமற தவளியீடு)
5. Social Life of Tamils (Dr.K.K.Pillay) A joint publication of TNTB & ESC and RMRL – (in
print)
6. Social Life of the Tamils - The Classical Period (Dr.S.Singaravelu) (Published by:
International Institute of Tamil Studies.
7. Historical Heritage of the Tamils (Dr.S.V.Subatamanian, Dr.K.D. Thirunavukkarasu)
(Published by: International Institute of Tamil Studies).
8. The Contributions of the Tamils to Indian Culture (Dr.M.Valarmathi) (Published by:
International Institute of Tamil Studies.)
9. Keeladi - ‘Sangam City C ivilization on the banks of river Vaigai’ (Jointly Published
by: Department of Archaeology & Tamil Nadu Text Book and Educational Services
Corporation, Tamil Nadu)
10. Studies in the History of India with Special Reference to Tamil Nadu (Dr.K.K.Pillay)
(Published by: The Author)
11. Porunai Civilization (Jointly Published by: Department of Archaeology & Tamil Nadu Text
Book and Educational Services Corporation, Tamil Nadu)
12. Journey of Civilization Indus to Vaigai (R.Balakrishnan) (Published by: RMRL) –
Reference Book.
CY23C01 ENGINEERING CHEMISTRY LTPC
3024
UNIT I WATER TECHNOLOGY
Water – sources and impurities – water quality parameters: colour, odour, pH, hardness,
alkalinity, TDS, COD, BOD, and heavy metals. Boiler feed water – requirement – troubles
(scale & sludge, caustic embrittlement, boiler corrosion and priming & foaming. Internal
conditioning – phosphate, Calgon, and carbonate treatment. External conditioning –
demineralization. Municipal water treatment (screening, sedimentation, coagulation, filtration,
disinfection-ozonolysis, UV treatment, chlorination), Reverse Osmosis – desalination.
PRACTICAL:
• Estimation of HCl using Na2CO3 as the primary standard
• Determination of alkalinity in the water sample.
• Determination of hardness of water by EDTA method.
• Determination of DO content of water sample by Winkler’s method.

UNIT II NANOCHEMISTRY
Basics-distinction between molecules, nanomaterials and bulk materials; size-dependent
properties (optical, electrical, mechanical, magnetic and catalytic). Types –nanoparticle,
nanocluster, nanorod, nanowire and nanotube. Preparation of nanomaterials: sol-gel,
solvothermal, laser ablation, chemical vapour deposition, electrochemical deposition and
electro-spinning. Characterization - Scanning Electron Microscope and Transmission Electron
Microscope - Principle and instrumentation (block diagram). Applications of nanomaterials –
medicine including AYUSH, automobiles, electronics, and cosmetics.
PRACTICAL:
• Preparation of nanoparticles by Sol-Gel method/sonication method.
• Preparation of nanowire by Electrospinning.
• Study of morphology of nanomaterials by scanning electron microscopy

UNIT III CORROSION SCIENCE


Introduction to corrosion – chemical and electrochemical corrosions – mechanism of
electrochemical and galvanic corrosions – concentration cell corrosion-soil, pitting, inter-
granular, water line, stress and microbiological corrosions-galvanic series-factors influencing
corrosion- measurement of corrosion rate. Electrochemical protection – sacrificial anodic
protection and impressed current cathodic protection. Protective coatings-metallic coatings
(galvanizing, tinning), organic coatings (paints). Paints: Constituents and functions.
PRACTICAL:
• Corrosion experiment-weight loss method.
• Salt spray test for corrosion study.
• Corrosion prevention by electroplating.
• Estimation of corroded Iron by Potentiometry/UV-visible spectrophotometer
UNIT IV ENERGY SOURCES
Electrochemical cell, redox reaction, electrode potential – oxidation and reduction potential.
Batteries – Characteristics; types of batteries; primary battery (dry cell), secondary battery
(lead acid, lithium-ion battery) and their applications. Emerging energy sources – metal
hydride battery, hydrogen energy, Fuel cells – H2-O2 fuel cell. Supercapacitors –Types and
Applications, Renewable Energy: solar heating and solar cells. Recycling and disposal of
batteries.
PRACTICAL:
• Study of components of Lead acid battery.
• Measurement of voltage in a photovoltaic cell.
• Working of H2 – O2 fuel cell

UNIT V POLYMER CHEMISTRY


Introduction: Functionality-degree of polymerization. Classification of polymers (Source,
Structure, Synthesis and Intermolecular forces). Mechanism of free radical addition
polymerization. Properties of polymers: Tg, tacticity, molecular weight-number average,
weight average, viscosity average and polydispersity index (Problems). Techniques of
polymerization: Bulk, emulsion, solution and suspension. Compounding and Fabrication
Techniques: Injection, Extrusion, Blow and Calendaring. Polyamides, Polycarbonates and
Polyurethanes – structure and applications. Recycling of polymers.
PRACTICAL:
• Determination of molecular weight of a polymer using Ostwald viscometer.
• Preparation of a polymer.
• Determination of molecular weight by Gel Permeation Chromatography.
TOTAL: 75 PERIODS
COURSE OUTCOMES:
CO1: To demonstrate knowledge of water quality in various industries and develop skills in
analyzing water quality parameters for both domestic and industrial purposes.
CO2: To identify and apply fundamental concepts of nanoscience and nanotechnology for
engineering and technology applications, and to develop skills in synthesizing
nanomaterials and studying their morphology.
CO3: To apply fundamental knowledge of corrosion protection techniques and develop skills
to conduct experiments for measuring and preventing corrosion.
CO4: To study the fundamentals of energy storage devices and develop skills in constructing
and experimenting with batteries.
CO5: To recognize and apply basic knowledge of different types of polymeric materials and
develop skills in preparing and determining their applications for futuristic material
fabrication needs.

TEXT BOOKS:
1. Jain P. C. & Monica Jain., “Engineering Chemistry”, 17th Edition, Dhanpat Rai Publishing
Company (P) Ltd, New Delhi, 2015.
2. Sivasankar B., “Engineering Chemistry”, Tata McGraw-Hill Publishing Company Ltd, New
Delhi, 2012.
3. Dara S.S., “A Textbook of Engineering Chemistry”, Chand Publications, 2004.
4. Laboratory Manual - Department of Chemistry, CEGC, Anna University (2023).

REFERENCES:
1. Schdeva M.V., “Basics of Nano Chemistry”, Anmol Publications Pvt Ltd, 2011.
2. Friedrich Emich, “Engineering Chemistry”, Medtech, 2014.
3. Gowariker V.R., Viswanathan N.V. and Jayadev Sreedhar, “Polymer Science” New AGE
International Publishers, 2009.
4. Vogel’s Textbook of Quantitative Chemical Analysis (8th edition, 2014).

CO - PO Mapping
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 3 3 - - - - 3 - - - - -
CO2 3 - 2 - 2 - 3 - - - - -
CO3 3 3 2 - 2 - 3 - - - - -
CO4 3 3 - - - - 3 - - - - -
CO5 3 - - - - - 3 - - - - -
Avg 3 3 - - - - 3 - - - - -
1’ = Low; ‘2’ = Medium; ‘3’ = High
MA23C03 LINEAR ALGEBRA AND NUMERICAL METHODS
L T P C
3 1 0 4
OBJECTIVES:
• To understand Vector spaces and its basis and dimension.
• To understand the linear maps between vector spaces and their matrix representations.
• To understand the diagonalizatition of a real symmetric matrix.
• To understand Inner product spaces and its projections.
• To understand numerical techniques for solving linear systems, eigenvalue problems and
generalized inverses.

UNIT I VECTORSPACES 9+3


Vector Spaces – Subspaces – Linear Combinations - Linear Span – Linear Dependence -
Linear Independence – Bases and Dimensions.
UNIT II LINEAR TRANSFORMATIONS 9+3
Linear Transformation – Null Space, Range Space - Dimension Theorem - Matrix
representation of Linear Transformation – Eigenvalues and Eigenvectors of Linear
Transformation – Diagonalization of Linear Transformation – Application of Diagonalization in
Linear System of Differential Equations.
UNIT III INNER PRODUCT SPACES 9+3
Inner Products and Norms - Inner Product Spaces - Orthogonal Vectors – Gram Schmidt
Orthogonalization Process – Orthogonal Complement – Least Square Approximations.
UNIT IV NUMERICAL SOLUTION OF LINEAR SYSTEM OF EQUATIONS 9+3
Solution of Linear System of Equations – Direct Methods: Gauss Elimination Method – Pivoting,
Gauss Jordan Method, LU Decomposition Method and Cholesky Decomposition Method -
Iterative Methods: Gauss-Jacobi Method, Gauss-Seidel Method and SOR Method.
UNIT V NUMERICAL SOLUTION OF EIGENVALUE PROBLEMS AND 9+3
GENERALISED INVERSES
Eigen Value Problems: Power Method – Inverse Power Method – Jacobi’s Rotation Method -
QR Decomposition - Singular Value Decomposition Method.
TOTAL: 60 PERIODS
Laboratory based exercises / assignments / assessments will be given to students from the
content of the course wherever applicable.

Branch specific / General Engineering applications based on the content of each units will
be introduced to students wherever possible.

Suggested Laboratory based exercises / assignments / assessments :


1. Linear independence/dependence of vectors
2. Computation of eigenvalues and eigenvectors
3. Diagonalization of Linear Transformation
4. Gram Schmidt Orthogonalization Process
5. Solution of algebraic and transcendental equations
6. Matrix Decomposition methods (LU / Cholesky Decomposition)
7. Iterative methods of Gauss-Jacobi and Gauss-Seidel
8. Matrix Inversion by Gauss-Jordan method
9. Eigen values of a matrix by Power method and by Jacobi’s method
10. QR decomposition method
11. Singular Value Decomposition Method

OUTCOMES:
CO1: Solve system of linear equations using matrix operations and vector spaces using
Algebraic methods.
CO2: Understand the linear maps between vector spaces and its utilities.
CO3: Apply the concept of inner product of spaces in solving problems.
CO4: Understand the common numerical methods and how they are used to obtain
approximate solutions
CO5: Analyse and evaluate the accuracy of common numerical methods.

TEXT BOOKS:
1. Faires, J.D. and Burden, R., “Numerical Methods”, Brooks/Cole (Thomson Publications),
Fourth Edition, New Delhi, 2012.
2. Friedberg, S.H., Insel, A.J. and Spence, E., “Linear Algebra”, Pearson Education, Fifth
Edition, New Delhi, 2018.
3. Williams, G, “Linear Algebra with Applications”, Jones & Bartlett Learning, First Indian
Edition, New Delhi, 2019.
REFERENCES:
1. Bernard Kolman, David R. Hill, “Introductory Linear Algebra”, Pearson Education, First
Reprint, New Delhi, 2010.
2. Gerald, C.F, and Wheatley, P.O., “Applied Numerical Analysis”, Pearson Education,
Seventh Edition, New Delhi, 2004.
3. Kumaresan, S., “Linear Algebra – A geometric approach”, Prentice – Hall of India, Reprint,
New Delhi, 2010.
4. Richard Branson, "Matrix Operations”, Schaum's outline series, Mc Graw Hill, New York,
1989.
5. Strang, G., “Linear Algebra and its applications”, Cengage Learning, New Delhi, 2005.

CO – PO Mapping:
PROGRAMME OUTCOMES
Course
PO PO PO PO
Outcomes PO1 PO6 PO7 PO8 PO9 P10 P11 P12
2 3 4 5
CO 1 : 3 3 2 3 1 2 1 1 1 1 1 3
CO 2 : 3 3 2 3 1 2 1 1 1 1 1 3
CO 3 : 3 3 2 3 1 2 1 1 1 1 1 3
CO 4 : 3 3 2 3 1 2 1 1 1 1 1 3
CO 5 : 3 3 2 3 1 2 1 1 1 1 1 3
VL23301 MOS DEVICE PHYSICS AND SIMULATION L T P C
3 0 2 4

UNIT I SEMICONDUCTORS, JUNCTIONS, AND MOSFET OVERVIEW 9L,8P


Semiconductors - Intrinsic Semiconductors, Free Electrons, and Holes - Extrinsic
Semiconductors-Equilibrium in the absence of Electric Field - Equilibrium in the presence of
Electric Field - Nonequilibrium; Quasi-Fermi Levels, Conduction, Contact Potentials, PN
Junction, Overview of the MOS Transistor - Basic Structure - A Qualitative Description of MOS
Transistor Operation
PRACTICALS:

• Simulation of transfer and drain characteristics of bulk MOSFET


UNIT II TWO TERMINAL MOS STRUCTURE 9L,4P

The Flatband Voltage, Potential Balance and Charge Balance, Effect of Gate-Body Voltage
on Surface Condition, Accumulation and Depletion, Inversion, Small-Signal Capacitance
PRACTICALS:

• Extraction of threshold voltage, transconductance, device ON and OFF


current, and subthreshold slope of MOSFET
UNIT III THREE AND FOUR TERMINAL MOS STRUCTURE 10L

Three terminal MOS structure: The Body Effect, Regions of Inversion-Approximate Limits,
Strong Inversion, Weak Inversion, Moderate Inversion
Four terminal MOS transistor: Regions of operation, Complete all-region model, Regions of
Inversion in terms of terminal Voltages, strong inversion, weak inversion, moderate inversion
and single-piece models, Breakdown, The p-Channel MOS Transistor, Enhancement Mode,
and Depletion Mode Transistors
UNIT IV SMALL-DIMENSION MOSFET 9T,4P

Introduction, Carrier Velocity Saturation, Channel Length Modulation, Charge Sharing, Drain-
Induced Barrier Lowering, Punchthrough, Hot Carrier Effects; Impact Ionization, Velocity
Overshoot and Ballistic Operation, Polysilicon Depletion, Quantum Mechanical Effects, DC
Gate Current, Junction Leakage; Band-to-Band Tunneling; GIDL, Leakage Currents—
Particular Cases.
PRACTICALS:

• Simulation of short channel effects such as threshold voltage roll-off and DIBL
effects of small-dimension MOSFET
UNIT V ADVANCED MOSFET AND VARIABILITY EFFECTS 8L.14P

SOI MOSFETs and Double-Gate MOSFETs, Process variability- global and local process
variability, Reliability of MOSFETs - high-field effects, hot carrier degradation, bias
temperature instability, MOSFET breakdown, high-k dielectrics.
PRACTICALS:
• Simulation of transfer and drain characteristics of SOI MOSFET
• Simulation of transfer and drain characteristics of Double Gate MOSFET
L+P: 45+30 PERIODS
COURSE OUTCOMES:
At the end of the course, the student will be able to:
CO1: Apply the semiconductor concepts of drift, diffusion and pn junctions
CO2: Review the operation and modeling of two, three and four terminal MOS devices and
modern transistors like SOI MOSFETs and Double-Gate
CO3: Apply suitable approximations and techniques to derive the device model starting from
drift-diffusion transport equations
CO4: Analyze and model small dimension effects in modern MOSFETs
CO5: Analyze the process variability and reliability effects of the nano-scaled MOSFETs and
simulate the device lifetime
CO6: Simulate the characteristics and short channel effects of classical MOSFETs using
TCAD tools

TEXTBOOK
1. Tsividis, Y. & McAndrew, C. Operation, and modeling of the MOS transistor.
Third edition, Oxford University Press, USA: 2011

REFERENCES

1. Yuan Taur and Tak H. Ning. Fundamentals of Modern VLSI Devices. Third edition,
Cambridge University Press, 2021.
2. T. Ytterdal, Y. Cheng, T. A. Fjeldly, “Device Modeling for Analog and RF CMOS
Circuit Design”, John Wiley & Sons, New York 2003.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 3 2 1 - - - - - - 1
CO2 3 3 3 3 1 - - - - - - 1
CO3 3 3 3 3 1 - - - - - - 1
CO4 3 3 3 3 1 - 3 - - - - 1
CO5 3 3 3 3 1 - 3 - - - - 1
CO6 3 3 3 3 3 - - - 3 - - 1
Average 3 3 3 2.8 1.3 - 1 - 0.5 - - 1
EC23C13 DIGITAL ELECTRONICS AND SYSTEM DESIGN LTPC

302 4
UNIT I BASIC CONCEPTS IN DIGITAL SYSTEM DESIGN 9L, 4P
Review of number systems – Representation - Conversions, Review of Boolean algebra -
Theorems, Canonical forms and Standard forms -Implementation of Boolean expressions using
universal gates, Simplification of Boolean expressions, Karnaugh map, Tabulation methods.
PRACTICALS:

• Verification of logic expressions.

UNIT II DESIGN OF COMBINATIONAL CIRCUITS 9L, 16P


Code-Converters, Half and Full Adders, Binary Parallel Adder – Carry look ahead Adder, BCD
Adder, Magnitude Comparator, Decoder, Encoder, Building Encoders with basic size, Priority
Encoder, Multiplexer, Demultiplexer.
PRACTICALS:
• Design and verification of adders / subtractors.
• Design and verification of BCD adder, BCD to seven segment display decoder.
• Design and implementation of multiplexers / demultiplexers.
• Design and implementation encoders / decoders.

UNIT III DESIGN OF SYNCHRONOUS SEQUENTIAL CIRCUITS 9L, 10P


Latches, Flip flops – SR, JK, T, D, Master/Slave FF, Triggering of FF, Analysis and design of
clocked sequential circuits – Design - Moore/Mealy models, State minimization, State assignment,
Counters, Ripple Counters, Ring Counters, Shift registers, Universal Shift Register. Use cases
PRACTICALS:

• Design of counters – 4-bit ripple counter / ring counter.


• Design of universal shift register.

UNIT IV DESIGN OF ASYNHRONOUS SEQUENTIAL CIRCUITS 9L


Analysis - Stable and unstable states, Cycles and races, Design of Fundamental mode sequential
circuits - State reduction, Race free assignments, Hazards and its types, Design of Hazard free
circuits. Use cases

UNIT V MOS LOGIC FAMILY AND PLDs 9L


MOS Logic families and parameters - Propagation delay, Fan-in and Fan-out, Noise Margin,
Implementation of Inverter, NAND, NOR using CMOS logic, Realization of combinational
logic/sequential logic design, using standard ICs, PLDs - PROM, PLA, PAL and CPLD.

TOTAL: 45L + 30P = 75 PERIODS


COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to apply Boolean algebra and simplification procedure in digital logic systems.
CO2: Ability to design combinational digital circuits using logic gates.
CO3: Ability to analyze and design synchronous sequential circuits
CO4: Ability to analyze and design asynchronous sequential circuits
CO5: Ability to design digital circuits using MOS and PLDs.

TEXT BOOKS:
1. M. Morris Mano and Michael D. Ciletti, ‘Digital Design: With an Introduction to the Verilog
HDL, VHDL, and System Verilog, 6e’, Pearson, 6th Edition, 2018.
2. Charles H. Roth, Jr. and Larry L. Kinney, ‘Fundamentals of Logic Design’, Cengage
Learning, 7th Edition, 2014.

REFERENCE BOOKS:
1.
William I. Fletcher, "An Engineering Approach to Digital Design - softcover", Prentice- Hall of
India, 2015.
2. Floyd T.L., "Digital Fundamentals", 11th Edition by Pearson Education, 2020.
3. John. F. Wakerly, "Digital Design Principles and Practices", Pearson Education, 5th
Edition, 2018.

ARTICULATION MATRIX:
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 1 1 1
CO2 3 1 2 1 1 1
CO3 3 3 3 2 1 1 1
CO4 3 3 3 2 1 1
CO5 2 2 2 2 1 1
Average 2.8 2.4 2.5 2 1 1 1
VL23302 FUNDAMENTALS OF SIGNALS AND SYSTEMS LTPC
3 0 03

UNIT I CLASSIFICATION OF SIGNALS AND SYSTEMS 9


Continuous time signals (CT signals)- Discrete time signals (DT signals) – Step, Ramp, Pulse,
Impulse, Exponential - classification of CT and DT signals – periodic and aperiodic signals,
random signals, Energy & Power signals - CT and DT systems, Classification of systems
UNIT II SPECTRAL ANALYSIS OF CONTINUOUS TIME SIGNALS 9
Fourier series, Fourier transforms: properties – Spectral analysis of continuous time signals-
Frequency response of continuous time LTI systems
UNIT III CHARACTERIZATION OF CONTINUOUS TIME LTI SYSTEMS 9
Differential Equation- -impulse response, convolution integrals- Laplace transform: properties
and application in continuous time signal and system analysis
UNIT IV SPECTRAL ANALYSIS OF DISCRETE TIME SIGNALS 9
Baseband Sampling of CT signals– Aliasing, Introduction to discrete Fourier series, DTFT:
properties and spectral analysis of discrete time signals- Frequency response of discrete time
LTI systems
UNIT V CHARACTERIZATION OF DISCRETE TIME LTI SYSTEMS 9
Difference Equations-Impulse response -Convolution sum-Z-transform: properties and
application in discrete time signal and system analysis
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to classify signals and systems based on various characteristics and
decomposition for easier analysis.
CO2: Ability to determine analyze frequency components of signals and frequency
response of the systems.
CO3: Ability to determine and analyze the causality and stability LTI systems from their
impulse responses.
CO4: Ability to convert the CT signals into DT signals and analyze, the effect of
sampling and frequency content of DT signals.
CO5: Ability to analyze the processing of random signals with LTI systems.

TEXT BOOKS:
1.
Allan V.Oppenheim, S.Wilsky and S.H.Nawab, "Signals and Systems", Pearson,
Indian Reprint,2007.
2. B. P. Lathi, "Principles of Linear Systems and Signals", Oxford, 2nd Edition,2009.

REFERENCE BOOKS:
1.
H P Hsu, "Signals and Systems", Schaum‘s Outlines, Tata McGrawHill,2006.
2.
S. Haykin and B. Van Veen, "Signals and Systems", 2nd Edition, Wiley,2003
3.
P.Ramakrishna Rao, "Signals and Systems", Tata Mc Graw Hill Publications, 2nd
Edition, 2008.
4.
Dward W. Kamen, Bonnie S. Heck, "Fundamentals of Signals and Systems, Using the
Web and MATLAB”, Pearson, Indian Reprint, 3rd Edition,2007
5.
John Alan Stuller, "An Introduction to Signals and Systems", Thomson,2007

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 1 1 1 1
CO2 3 2 1 1 1 1
CO3 3 3 1 1 1 1
CO4 3 2 1 1 1 1
CO5 3 3 1 1 1 1
Average 3 2.4 1 1 1 1
EC23C02 ANALOG CIRCUITS DESIGN L T P C
3 0 2 4

9L
UNIT I BIASING OF DISCRETE BJT AND MOSFET
DC Loadline, operating point, Various biasing methods for BJT-Design-Stability-Bias
compensation, Thermal stability, DC bias analysis of MOSFET circuits.
9L,20P
UNIT II DISCRETE BJT AMPLIFIERS
Small signal Analysis of Common Emitter-AC Loadline, Voltage swing limitations,
Common Collector and common base amplifiers – Differential amplifiers- CMRR-
Darlington Amplifier- Multi stage amplifiers-Cascode Amplifier.
PRACTICALS:
• Frequency Response characteristics of CE amplifier
• Frequency Response characteristics of CB amplifier
• Design of CC Amplifier for a specific output impedance
• Design of Differential Amplifiers and its CMRR measurement
9L
UNIT III DISCRETE MOSFET AMPLIFIERS
Small signal Analysis of amplifiers- Common source amplifier, Voltage swing limitations,
Small signal analysis of Source follower and Common Gate amplifiers, Cascode amplifiers,
Differential amplifiers
9L,6P
UNIT IV FREQUENCY ANALYSIS OF BJT AND MOSFET
AMPLIFIERS
Short circuit current gain, cutoff frequency–fα, fβ of BJT, Unity Gain Bandwidth of BJT and
MOSFET, Low frequency analysis , Miller effect, High frequency analysis of single stage
BJT and MOSFET amplifiers.
PRACTICALS:
• Frequency response characteristics of Cascode amplifier
9L,4P
UNIT V IC MOSFET Amplifiers
IC biasing Current steering circuits for IC amplifiers- current mirrors, - current sources-
PMOS and NMOS current sources, Cascode current source, Wilson current source.
Amplifier with resistive load, active load - Depletion load, Differential amplifiers with active
load.
PRACTICALS:

• Spice simulation of CS, CG, and CD configuration of MOSFET amplifiers with


various active load configurations.
TOTAL: 45L + 30P = 75 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1:Choose appropriate biasing circuits for BJT and MOSFET discrete amplifiers
CO2:Design and analyze single stage and multistage BJT amplifiers
CO3:Analyze the characteristic of MOSFET amplifiers, the effect of source and load.
CO4:Analyze the high frequency response of BJT and MOSFET amplifiers
CO5:Design and analyze IC MOSFET amplifiers

TEXT BOOKS:
1. Donald .A. Neamen, "Micro Electronics: Circuit Analysis and Design", 4th
Edition, Tata McGraw Hill, 2021.
2. Adel.S.Sedra, KennethC.Smith, "Micro Electronic Circuits: Theory and
Applications",7th Edition,Oxford University Press,2017

REFERENCE BOOKS:
1. Behzad Razavi,"Design of Analog CMOS Integrated Circuits",Tata McGraw
Hill,2017.
2. Paul Gray, Hurst, Lewis, Meyer, "Analysis and Design of Analog Integrated
Circuits", John Willey & Sons, 5th Edition,2009.
3. Millman.J, HalkiasC.C and Chetan Parikh "Integrated Electronics-", 2nd
Edition, McGraw Hill,2017.
4. Paul Horowitz, Winfield Hill, “The Art of Electronis”,Cambridge University
Press, 3rd Edition,2015(Reference for Lab)

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 3 3 1 1 1
CO2 3 3 3 3 1 1 1
CO3 3 3 3 3 1 1 1 1
CO4 3 3 3 3 1 1 1
CO5 3 2 2 2 1 1 1 1
Average 3 2.8 2.8 2.8 1 1 1 1
VL23S01 NUMERICAL AND SIGNAL PROCESSING PRACTICE THROUGH LTPC
PYTHON (SKILL DEVELOPMENT COURSE – 1) 1 0 2 2

Introduction to Python – Data types – Variables - Functions–-Flow Control and branching


statements - Manipulating Strings-Accepting User Input-Lists-Tuples-Dictionaries-Reading
and Writing Files-Modules-Debugging-Introduction to Numpy – Matplotlib- Scipy

LIST OF PRACTICAL EXERCISES:

1.
Getting Started with Python and using the Plot command
2.
Load data from files and Plotting data
3.
Getting Started with Lists
4.
Getting started with for, If, While loops
5.
Getting started with files and arrays
6.
Statistics using Python
7.
Linear combination of vectors and Computation of determinant, rank of a
matrix
8. Lower - Upper Decomposition of vectors
9. Gauss-Seidel Method
10. Solve Systems of Linear Equations in Python
11. Eigenvalues and eigenvectors in Python
12. Generation of basic sequences using Python
13. Spectral analysis of signals
14. Sampling of continuous-time signals
TOTAL : 45 HOURS
COURSE OUTCOMES:
1. Develop and execute simple Python programs.
2. Apply the best features available in Python to solve the situational problems
3. Develop code for numerical methods computation using Python
4. Generate and analyse the basic signals using Python

REFERENCE BOOKS:
1. Paul Deitel and Harvey Deitel, “Python for Programmers”, Pearson Education, 1st
Edition, 2021
2. Qingkai Kong, Timmy Siauw and Alexandre M.Bayen, “Python Programming and
Numerical Methods”, Elsevier Academic Press, 2021
3. Fatos Tunay Yarman Vural, and Emre Akbas, “Signals and Systems: Theory and
Practical Explorations with Python”, John Wiley, 2024

ARTICULATION MATRIX:
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 3 3 3 - - - - - 2 2
CO2 3 3 3 3 2 - - - - - 2 2
CO3 3 3 3 3 3 - - - - - 1 2
CO4 3 3 3 3 3 2 - - - - 1 1
Average 3 3 3 3 2.75 0.5 - - - - 1.5 1.75
UC23U01 UNIVERSAL HUMAN VALUES LTPC

1 02 2
COURSE OBJECTIVE:
The objective of the course is four-fold:
1. Development of a holistic perspective based on self-exploration about
themselves (human being), family, society and nature/existence.
2. Understanding (or developing clarity) of the harmony in the human being,
family, society and nature/existence
3. Strengthening of self-reflection.
4. Development of commitment and courage to act.
MODULE I INTRODUCTION (3L,6P)
Purpose and motivation for the course, recapitulation from Universal Human Values-I, Self-
Exploration– Its content and process; ‘Natural acceptance’ and Experiential Validation- as the
process for self-exploration Continuous Happiness and Prosperity- A look at basic Human
Aspirations Right understanding, Relationship and Physical Facility- the basic requirements
for fulfilment of aspirations of every human being with their correct priority Understanding
Happiness and Prosperity correctly- A critical appraisal of the current scenario, Method to fulfil
the above human aspirations: understanding and living in harmony at various levels.
Practical Session: Include sessions to discuss natural acceptance in human being as the
innate acceptance for living with responsibility (living in relationship, harmony and co-
existence) rather than as arbitrariness in choice based on liking-disliking
MODULE II HARMONY IN THE HUMAN BEING (3L,6P)
Understanding human being as a co-existence of the sentient ‘I’ and the material ‘Body’,
Understanding the needs of Self (‘I’) and ‘Body’ - happiness and physical facility,
Understanding the Body as an instrument of ‘I’ (I being the doer, seer and enjoyer),
Understanding the characteristics and activities of ‘I’ and harmony in ‘I’, Understanding the
harmony of I with the Body: Sanyam and Health; correct appraisal of Physical needs, meaning
of Prosperity in detail, Programs to ensure Sanyam and Health.
Practical Session: Include sessions to discuss the role others have played in making
material goods available to me. Identifying from one’s own life. Differentiate between
prosperity and accumulation. Discuss program for ensuring health vs dealing with disease.
MODULE III HARMONY IN THE FAMILY AND SOCIETY (3L,6P)
Understanding values in human-human relationship; meaning of Justice (nine universal
values in relationships) and program for its fulfilment to ensure mutual happiness; Trust and
Respect as the foundational values of relationship, Understanding the meaning of Trust;
Difference between intention and competence, Understanding the meaning of Respect,
Difference between respect and differentiation; the other salient values in relationship,
Understanding the harmony in the society (society being an extension of family): Resolution,
Prosperity, fearlessness (trust) and co-existence as comprehensive Human Goals,
Visualizing a universal harmonious order in society- Undivided Society, Universal Order-
from family to world family.
Practical Session: Include sessions to reflect on relationships in family, hostel and institute
as extended family, real life examples, teacher-student relationship, goal of education etc.
Gratitude as a universal value in relationships. Discuss with scenarios. Elicit examples from
students’ lives
MODULE IV HARMONY IN THE NATURE AND EXISTENCE (3L,6P)
Understanding the harmony in the Nature, Interconnectedness and mutual fulfilment among
the four orders of nature- recyclability and self regulation in nature, Understanding Existence
as Co-existence of mutually interacting units in all- pervasive space, Holistic perception of
harmony at all levels of existence.
Practical Session: Include sessions to discuss human being as cause of imbalance in
nature (film “Home” can be used), pollution, depletion of resources and role of technology
etc.

MODULE V IMPLICATIONS OF HARMONY ON PROFESSIONAL ETHICS (3L,6P)


Natural acceptance of human values, Definitiveness of Ethical Human Conduct, Basis for
Humanistic Education, Humanistic Constitution and Humanistic Universal Order, Competence
in professional ethics: a. Ability to utilize the professional competence for augmenting
universal human order b. Ability to identify the scope and characteristics of people friendly and
eco-friendly production systems, c. Ability to identify and develop appropriate technologies
and management patterns for above production systems. Case studies of typical holistic
technologies, management models and production systems, Strategy for transition from the
present state to Universal Human Order: a. At the level of individual: as socially and
ecologically responsible engineers, technologists and managers b. At the level of society: as
mutually enriching institutions and organizations, Sum up.
Practical Session: Include Exercises and Case Studies will be taken up in Sessions E.g. To
discuss the conduct as an engineer or scientist etc.

TOTAL: 45 (15 Lectures + 30 Practicals) PERIODS

COURSE OUTCOME:
By the end of the course, the students will be able to:
1. Become more aware of themselves, and their surroundings (family, society,
nature);
2. Have more responsible in life, and in handling problems with sustainable
solutions, while keeping human relationships and human nature in mind.
3. Have better critical ability.
4. Become sensitive to their commitment towards what they have understood
(human values, human relationship and human society).
5. Apply what they have learnt to their own self in different day-to-day settings in real
life, at least a beginning would be made in this direction.
REFERENCES:
1. Human Values and Professional Ethics by R R Gaur, R Sangal, G P Bagaria, Excel
Books, New Delhi, 3rd revised edition, 2023.
2. Jeevan Vidya: Ek Parichaya, A Nagaraj, Jeevan Vidya Prakashan, Amarkantak,
1999.
3. Human Values, A.N. Tripathi, New Age Intl. Publishers, New Delhi, 2004.
4. The Story of Stuff (Book).
5. The Story of My Experiments with Truth - by Mohandas Karamchand Gandhi
6. Small is Beautiful - E. F Schumacher.
7. Slow is Beautiful - Cecile Andrews.
8. Economy of Permanence - J C Kumarappa
9. Bharat Mein Angreji Raj - PanditSunderlal
10. Rediscovering India - by Dharampal
11. Hind Swaraj or Indian Home Rule - by Mohandas K. Gandhi
12. India Wins Freedom - Maulana Abdul Kalam Azad
13. Vivekananda - Romain Rolland (English)
14. Gandhi - Romain Rolland (English)

Web URLs:
1. Class preparations: https://fdp-si.aicte-india.org/UHV-II%20Class%20Note.php
2. Lecture presentations: https://fdp-si.aicte-india.org/UHV-II_Lectures_PPTs.php
3. Practice and Tutorial Sessions: https://fdp-si.aicte-india.org/UHV-
II%20Practice%20Sessions.php

Articulation Matrix:
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 1 1 1 3 3
CO2 1 1 1 3 3
CO3 3 3 2 3 1 3
CO4 3 3 2 3 1 3
CO5 3 3 3 3 2 3
MA23C05 PROBABILITY AND STATISTICS L T P C
3 1 0 4
OBJECTIVES:

• To understand the basics of random variables with emphasis on the standard


discrete and continuous distributions.
• To understand the basic probability concepts with respect to two dimensional
random variables along with the relationship between the random variables and
the significance of the Central Limit theorem.
• To understand the basic concepts of sampling distributions and statistical
properties of point and interval estimators.
• To apply the small/ large sample tests through Tests of hypothesis.
• To understand the concept of analysis of variance and use it to investigate factorial
dependence.
UNIT I ONE-DIMENSIONAL RANDOM VARIABLES 9+3
Discrete and continuous random variables – Moments – Moment generating functions –
Binomial, Poisson, Geometric, Uniform, Exponential, Gamma and Normal distributions –
Functions of a random variable.
UNIT II TWO-DIMENSIONAL RANDOM VARIABLES 9+3
Joint distributions – Marginal and conditional distributions – Covariance – Correlation and
Linear regression – Transformation of random variables – Central limit theorem (for
independent and identically distributed random variables).
UNIT III ESTIMATION THEORY 9+3
Sampling distributions – Characteristics of good estimators – Method of Moments – Maximum
Likelihood Estimation – Interval estimates for mean, variance and proportions.
UNIT IV TESTS OF SIGNIFICANCE 9+3
Type I and Type II errors – Tests for single mean, proportion, Difference of means (large and
small samples) – Tests for single variance and equality of variances –𝜒 2 test for goodness of
fit – Independence of attributes.
UNIT V DESIGN OF EXPERIMENTS 9+3
Completely Randomized Design – Randomized Block Design – Latin Square Design – 22
factorial design.
TOTAL: 60 PERIODS
Laboratory based exercises / assignments / assessments will be given to students from the
content of the course wherever applicable.

Branch specific / General Engineering applications based on the content of each units will
be introduced to students wherever possible.
SUGGESTED LAB EXERCISES
1. Data exploration using R
2. Visualizing Probability distributions graphically
3. Evaluation of correlation coefficient
4. Creating a Linear regression model in R
5. Maximum Likelihood Estimation in R
6. Hypothesis testing in R programming
7. Chi square goodness of fit test in R
8. Design and Analysis of experiments with R
OUTCOMES:
CO1: Can analyze the performance in terms of probabilities and distributions achieved by
the
determined solutions.
CO2: Will be familiar with some of the commonly encountered two dimensional random
variables and be equipped for a possible extension to multivariate analysis.
CO3: Provides an estimate or a range of values for the population parameter from random
samples of population.
CO4: Helps to evaluate the strength of the claim/assumption on a sample data using
hypothesis testing.
CO5: Equips to study the influence of several input variables on the key output variable.
TEXT BOOKS:
1. Irwin Miller and Marylees Miller, “John E. Freund’s Mathematical Statistics with
applications”, Pearson India Education, Asia, 8th Edition, 2014.
2. Walpole, R.E., Myers R.H., Myres S.L., and Ye, K. “Probability and Statistics for
Engineers and Scientists”, Pearson Education, Asia, 9th Edition, 2024.
REFERENCES:
1. Richard A. Johnson, Irwin Miller, John Freund “Miller & Freund’s Probability and
Statistics for Engineers”, Person Education, 8th Edition, 2015.
2. Ross, S.M. “Introduction to Probability and Statistics for Engineers and
Scientists”, Elsevier,
New Delhi, 5th Edition, 2014.
3. Spiegel, M.R., Schiller, J., Srinivasan, R.A. and Goswami, D. “Schaum’s Outline
of Theory and Problems for Probability and Statistics”, McGraw Hill Education,
3rd Edition, Reprint, 2017.
4. Devore, J.L. “Probability and Statistics for Engineering and the Sciences”,
Cengage Learning, 9th Edition, 2016.
CO – PO Mapping:
PROGRAMME OUTCOMES
COURSE
OUTCOMES PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 P10 P11 P12
CO1 : 3 3 2 3 1 2 1 1 1 1 1 3
CO2 : 3 3 2 3 1 2 1 1 1 1 1 3
CO3 : 3 3 2 3 1 2 1 1 1 1 1 3
CO4 : 3 3 2 3 1 2 1 1 1 1 1 3
CO5 : 3 3 2 3 1 2 1 1 1 1 1 3
VL23401 DISCRETE TIME SIGNAL PROCESSING L T P C
3 0 2 4

UNIT I DISCRETE FOURIER TRANSFORM 9L,9P


Review of discrete-time signals & systems - DFT and its properties, FFT algorithms & its
applications, Overlap-add & overlap-save methods
PRACTICALS:
• Generation of sequences
• Linear and Circular Convolutions
• DFT

UNIT II DESIGN OF INFINITE IMPULSE RESPONSE 9L,6P


FILTERS
Analog filters – Butterworth filters, Chebyshev Type I filters (upto 3rd order),
Transformation of analog filters into equivalent digital filters using Impulse invariant
method and Bilinear Z transform method- Realization structures for IIR filters –
direct, cascade, parallel forms
PRACTICALS:
• IIR Filter design
• Frequency response of IIR system

UNIT III DESIGN OF FINITE IMPULSE RESPONSE 9L,6P


FILTERS
Design of linear phase FIR filters using windowing and Frequency sampling
methods - Realization structures for FIR filters – Transversal and Linear phase
structures- Comparison of FIR & IIR
PRACTICALS:
• FIR Filter design
• Frequency response of FIR system

UNIT IV FINITE WORDLENGTH EFFECTS 9L,3P


Representation of numbers-ADC Quantization noise-Coefficient Quantization error-
Product Quantization error-truncation & rounding errors -Limit cycle due to product round-
off error- Roundoff noise power-limit cycle oscillation due to overflow in digital filters-
Principle of scaling
PRACTICALS:
• Analysis of Finite Wordlength Effects

UNIT V MULTIRATE SIGNAL PROCESSING 9L,6P


Introduction to Multirate signal Processing-Decimation-Interpolation - Polyphase
Decomposition of FIR filter-Multistage implementation of sampling rate conversion-
Applications of Multirate signal processing
PRACTICALS:
• Decimation and Interpolation
• Signal Processing based Mini Project
L+P : 45+30 PERIODS

COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to apply the concepts of Discrete Fourier transform
CO2: Ability to design and analyze IIR filter
CO3: Ability to design and analyze FIR filter
CO4: Ability to analyze performance degradation of digital signal
processing systems due to finite precision
CO5: Ability to analyze the system with multiple sampling rates

TEXT BOOKS:
1. A.V.Oppenheim, R.W. Schafer and J.R. Buck, "Discrete Time Signal
Processing", Pearson, 8thIndian Reprint,2004.
2. John G Proakis and Manolakis, “Digital Signal Processing
Principles Algorithms and Applications”, Pearson, 4thEdition,2007

REFERENCE BOOKS:
1. I.C.Ifeachor and B.W. Jervis, "Digital Signal Processing A Practical
Approach", Pearson,2002.
2. M.H.Hayes, "Digital Signal Processing", Schaum’s outlines, Tata
McGraw Hill, 2007.
3. S.K. Mitra," Digital Signal Processing", A Computer Based approach,
Tata McGraw-Hill,1998.
4. D.J. De Fatta, J.G.Lucas and W.S. Hodgkiss, "Digital Signal
Processing A system Design Approach", John Wiley & sons,
Singapore,1988
5. P.P.Vaidyanathan, Multirate Systems & Filter Banks, Prentice Hall,
Englewood cliffs, NJ,1993.
6. Tarun Kumar Rawat, “Digital Signal Processing’, Oxford University
Press, 2015

ARTICULATION MATRIX:
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 3 1 1 1 1
CO2 3 3 3 3 1 1 1 1
CO3 3 3 3 3 1 1 1 1
CO4 3 2 3 1 1 1 1
CO5 3 2 3 1 1 1 1
Average 3 2.4 3 3 1 1 1 1
VL23402 ANALOG AND DIGITAL COMMUNICATION L T P C
3 0 0 3
UNIT I ANALOG MODULATION 9
Review of Fourier and Hilbert Transforms-Amplitude Modulation – AM, DSBSC, SSBSC, VSB–
Spectral analysis of modulated signal, Angle modulation and demodulation: Narrow band,
Wideband FM - Spectral analysis of modulated signal,
UNIT II DIGITAL BASEBAND MODULATION 9
Schematic of digital communication systems, Sampling - Quantization – Uniform and non-uniform
quantization – Quantization noise– Speech Coders: Companding laws of speech signals, PCM,
DPCM, ADPCM, DM, ADM.
UNIT III SOURCE CODING AND CHANNEL CODING 9
Measure of information – Entropy – Source Coding: Source coding theorem, Shannon-Fano
coding, Huffman Coding - Channel Coding: Shannon-Hartley law, Linear block codes, Cyclic
codes, syndrome decoding, Convolutional codes, Viterbi decoding
UNIT IV BASE BAND SIGNALING 9
Line codes: RZ, NRZ, Manchester, Binary N-zero substitution codes - PSDs, ISI, Pulse shaping,
Eye diagram UNIT V BAND PASS SIGNALING 9
Generation and detection of coherent schemes: BPSK, BFSK, QPSK- BER and Power Spectral
Density Comparison- Generation and detection of non-coherent schemes: BFSK, DPSK,
Overview of QAM, MSK

TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to develop communication system using analog modulation techniques
CO2: Ability to develop communication systems using digital voice coding techniques
CO3: Ability to explore the role of source and channel coders in digital communication
CO4: Ability to analyze Pulse modulation schemes
CO5: Ability to understand basics of Information Theory and some coding schemes

TEXT BOOKS:
1. S.Haykin, "Communication Systems ", John Wiley, 4th Edition, 2007.
2. J.G.Proakis, M.Salehi, "Fundamentals of Communication Systems",
Pearson Education2006.

REFERENCE BOOKS:
1. H P Hsu, Schaum Outline Series, "Analog and Digital Communications", TMH 2006
2. B.P.Lathi, "Modern Digital and Analog Communication Systems", Oxford University Press,3rd
Edition, 2007.
3. B.Sklar, "Digital Communications Fundamentals and Applications", Pearson Education
2ndEdition, 2007.
4. D.Roody, J.Coolen, "Electronic Communications", PHI, 4th Edition, 2006.
5. V. Chandra Sekar, "Analog Communication" , Oxford University Press, 2012.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 2 1 1 1
CO2 3 3 3 1 1 3
CO3 3 3 3 1 1 1
CO4 3 2 3 1 1 1
CO5 3 3 3 1 1 3
Average 3 2.6 2.8 1 1 1.8
EC23C05 ANALOG ELECTRONIC SYSTEMS DESIGN L T P C
3 0 2 4

UNIT I FEEDBACK AMPLIFIERS AND STABILITY 9L,3P


Basic feedback concepts – Properties of Negative feedback – Feedback topologies–
Analysis of feedback amplifiers – stability analysis on amplifier – Gain and Phase-margins-
Frequency compensation.
PRACTICALS:

• Design and Analysis of negative Feedback amplifiers


UNIT II OPERATIONAL AMPLIFIERS 9L
IC fabrication technology-Design of Op Amp- specifications, slew rate, and methods of
improving slew rate. Linear and Nonlinear Circuits using operational amplifiers and their
analysis, Inverting and Noninverting Amplifiers, Differentiators, Integrator, Voltage to
Current converters, Log and Antilog amplifiers.

UNIT III SIGNAL CONDITIONING CIRCUITS AND POWER 9L,18P


AMPLIFIERS
Barkhausen criteria for oscillator – Analysis of RC oscillators- Phase shift and Wein
bridge oscillators – LC oscillators – Colpitts, Hartley- Ring Oscillators. Multivibrator-
Monostable, Astable and Bistable, Comparator, Schmitt trigger, Power Amplifiers-
Class A, Class B, class AB and class C.
PRACTICALS:
• Design and analysis of RC Phase shift oscillator
• Design and analysis of Hartley and Colpits
• Design and analysis of Wien Bridge Oscillator using OP-AMP
• Design and analysis of Schmitt trigger using OPAMP (3)
• Design and analysis of Waveform generators using OPAMP
• Spice simulation of Class A and Class B Power Amplifiers.
UNIT IV SPECIAL FUNCTION ICs 9L,6P
Instrumentation amplifier, astable and monostable multivibrator using Timer IC 555, ADCs -
specifications Flash type - Successive Approximation type DAC - specifications - weighted
resistor type, R-2R Ladder type, PLL-Operation of the basic PLL, application of PLL.
PRACTICALS:
• Design and analysis of Voltage Controlled Oscillator using PLL IC(4)
• Design and analysis of Astable and Monostable Multivibrators using Timer IC

UNIT V TUNED AMPLIFIERS 9L,3P


Basic principles of Tuned circuits – Inductor losses – Use of transformers –frequency analysis of
Single tuned amplifier and Synchronous tuned amplifiers & Stagger tuned amplifiers
PRACTICALS:
• Design and analysis of single Tuned amplifier

L+P: 45+30 PERIODS

COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to design negative feedback amplifiers and analyze stabilization
techniques.
CO2: Ability to apply and design linear and Non-Linear analog circuits using Op
Amp.
CO3: Ability to analyze and realize signal conditioning circuits, power amplifiers
and converters.
CO4: Ability to select ICs and design circuits for real time applications.
CO5: Ability to analyze RC, LC oscillators and tuned amplifiers.

TOTAL: 45L + 30P = 75 PERIODS


TEXT BOOKS:
1. Adel .S. Sedra, Kenneth C. Smith, "Micro Electronic Circuits", Oxford University Press,
7 th Edition, 2014.
2. Behzad Razavi, "Design of Analog CMOS Integrated Circuits", Tata McGraw Hill, 2007.
3. Ramakant A Gayakwad, “Op-amps and Linear Integrated Circuits”, Pearson, 4th Edition,
2015.

REFERENCES:
1. Jacob Millman and Herbert Taub, “Pulse, Digital, and Switching Waveforms: Devices
and Circuits for their Generation and Processing”, McGraw-Hill, International Student
Edition, 1965.
2. Donald.A. Neamen, "Electronic Circuit Analysis and Design", Tata McGraw Hill, 3 rd
Edition, 2010.
3. Millman J. and Halkias C, "Integrated Electronics", Mc Graw Hill, 2001.
4. Robert F.Coughlin, Fredrick F. Driscoll, “Operational Amplifiers and Linear Integrated
Circuits” Prentice Hall, 6th Edition, 2001.

ARTICULATION MATRIX:

PO PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO1 PO12
1 1
CO1 3 3 2 3 2 2 - 1 - 1 - 1
CO2 2 2 3 2 2 - - 1 - 1 - 1
CO3 3 2 3 2 2 1 - 1 - 1 - 1
CO4 2 2 3 2 2 - - 1 - 1 - 1
CO5 3 3 2 3 2 2 - 1 - 1 - 1
Avera 2.6 2.4 2.6 2.4 2 1 - 1 - 1 - 1
ge
EC23C10 COMPUTER ARCHITECTURE AND L T P C
ORGANIZATION
3 0 0 3
UNIT I COMPUTING AND COMPUTERS 9
Evolution of Computers, VLSI Era, buses, bus control, bus interfacing, bus arbitration,
System Design methodology: Gate level, Register Level , Processor Level, CPU
Organization, Data Representation, Fixed Point Numbers, Floating Point Numbers.
UNIT II DATA PATH DESIGN 9
Fixed Point Arithmetic, Addition, Subtraction, Multiplication and Division, Combinational
and Sequential ALUs, Carry look ahead adder, Robertson algorithm, Booth‘s algorithm,
Modified booth‘s Algorithm, Restoring and non- restoring division algorithm, Floating Point
Arithmetic, Coprocessor.
UNIT III CONTROL DESIGN AND PIPELINING DESIGN 9
Hardwired Control, Microprogrammed Control, Multiplier Control Unit, CPU Control Unit,
Pipeline Techniques- Linear pipeline processors, non- linear pipeline processors,
Instruction pipeline design, Pipeline Performance, Arithmetic pipeline design.
UNIT IV MEMORY ORGANIZATION 9
Memory hierarchy technology, Memory types- RAM,ROM, MOS- static and dynamic RAM
cell, Virtual Memory: Address translation-TLB-page operation-Demand paging, Multicore
architecture, Cache memory system-Mapping function, Cache write/updating, Cache
coherence, Performance characteristics of two level memories.
UNIT V RISC AND CISC PROCESSORS 9
Characteristics of RISC and CISC – RISC example -MIPS RX00- architecture- Instruction
format, instruction set, programming. CISC example- Motorola 680X0-Architecture,
Instruction format, instruction set, programming. Superscalar processors, vector
processors, nano programming.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to acquire fundamental knowledge in computer architecture and organization.
CO2:Ability to design data path for arithmetic algorithms
CO3:Ability to analyze control unit design and pipelining concepts
CO4:Ability to understand cache and virtual memory characteristics.
CO5:Ability to differentiate RICS and CISC architectures.

TEXT BOOKS:
1.John P.Hayes, “Computer architecture and Organisation”, Tata McGraw-Hill, Third
edition, 1998.
2. V.Carl Hamacher, Zvonko G. Varanesic and Safat G. Zaky, “ Computer Organisation”, V
edition, McGraw-Hill Inc, 1996.
3. Kai Hwang, Naresh Jotwani, "Advanced computer Architecture", Parallelism, Scalability,
Programmability, Tata McGraw Hill, 3rd Edition, 1993.
4. A.P.Godse and D.A.Godse “Computer Organization and Architecture” Technical
Publications, 2021.

REFERENCE BOOKS:
1. Morris Mano, “Computer System Architecture”, Prentice-Hall of India, 2000.
2. Behrooz Paraami, “Computer Architecture, From Microprocessor to Supercomputers”,
Oxford University Press, Sixth impression 2010.
3. P.Pal Chaudhuri, , “Computer organization and design”, 2 nd Ed., Prentice Hall of
India, 2007.
4. Miles J. Murdocca and Vincent P. Heuring, “Principles of Computer Architecture”,
Prentice Hall, 2000
5. William Stallings, “Computer Organisation and Architecture, Designing for
Performance”, Pearson Education, Eighth Edition 2010.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 1 1 1
CO2 2 3 2 1 1 1
CO3 2 3 3 2 1 1 1
CO4 2 3 3 2 1 1 1
CO5 2 2 2 2 1 1 1
Averag 2.2 2.6 2.5 2 1 1 1
e
EC23C11 CONTROL SYSTEM L T P C
3 0 0 3

UNIT I COMPONENTS OF THE CONTROL SYSTEM 7

Terminology and Basic Structure-Feed forward and Feedback control theory Electrical
and Mechanical Transfer Function Models-Block Diagram Models-Signal flow graphs
models-DC and AC servo Systems-Synchronous -Multivariable control system

UNIT II TIME RESPONSE WITH SYSTEM DESIGN 8

Transient response-steady state response-Measures of performance of the standard


first order and second order system-effect on an additional zero and an additional
pole-steady error constant and system- type number-PID control-Analytical design for
PD, PI, PID control systems

UNIT III FREQUENCY RESPONSE WITH SYSTEM 12


ANALYSIS

Closed loop frequency Response-Performance specification in frequency domain-


Frequency response of standard second order system- Bode Plot - Polar Plot- Nyquist
plots-Design of compensators using Bode plots-Cascade lead compensation-
Cascade lag compensation-Cascade lag-lead compensation

UNIT IV STABILITY ANALYSIS 9

Concept of stability-Bounded - Input Bounded - Output stability-Routh stability


criterion-Relative stability-Root locus concept-Guidelines for sketching root locus-
Nyquist stability criterion.

UNIT V CONTROL SYSTEM ANALYSIS 9

State variable representation-Conversion of state variable models to transfer


functions-Conversion of transfer functions to state variable models-Solution of state
equations-Concepts of Controllability and Observability-Stability of linear systems-
Equivalence between transfer function and state variable representations-State
variable analysis of digital control system-Digital control design using state feedback.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to comprehend the systems components and their representation using
various control system
CO2: Ability to compute the steady state response using various time domain
parameters for various system
CO3: Ability to analyze the frequency response characteristics for both open loop and
closed loop system
CO4: Ability to analyze the stability of various system using Routh Hurwitz Root locus
techniques
CO5: Ability to illustrate the state space model of various control system Ability to
Compute the transfer function of different physical systems.

TEXT BOOKS:
1. M.Gopal,“Control System – Principles and Design”, Tata McGraw Hill, 4th Edition,
2012

REFERENCE BOOKS:
1.J.Nagrath and M.Gopal, “Control System Engineering”, New Age International
Publishers, 7th Edition, 2021.
2.K.Ogata, “Modern Control Engineering”, PHI, 5th Edition, 2012.
3.S.K.Bhattacharya, “Control System Engineering”, Pearson, 3rd Edition, 2013.
4.Benjamin.C.Kuo, “Automatic Control Systems”, Prentice Hall of India, 10th
Edition,2017.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 3 1 1 3
CO2 3 3 1 1 3
CO3 3 3 1 1 3
CO4 3 3 1 1 3
CO5 3 3 1 1 3
Averag 3 3 1 1 3
e
VL23S02 PCB DESIGN USING CAD TOOLS FOR ELECTRONIC SYSTEMS
Skill Development Course II
1022

PCB Design Flow and EDA Tools – PCB Terminologies – PCB Mounting Technologies -
Overview of PCB Design and Fabrication Standards - PCB Placement and Routing Generic
Rules - Generation of Gerber files
LIST OF PRACTICAL EXERCISES:
1. Design a single-layer PCB to create a circuit that blinks an LED at a controlled rate using
a timer IC.
2. Design a single-layer PCB for Audio Amplifier circuits
3. Design an LDR Sensor Module using Op-Amp.
4. Design a PCB for Rectangular Microstrip Patch Antenna
5. Customized Atmega Microcontroller Board Design.
6. Design a double layer Printed Circuit Board (PCB) for Home Automation System.
7. Design a general-purpose multi-layer Printed Circuit Board (PCB) for IoT application.
8. Design a PCB for 500W converter / inverter power system.
9. Design a PCB for Micro-strip/ Band Pass and Band Stop filter
45 Hours

SOFTWARE REQUIRED:
1. Altium Designer/Proteus PCB Design Suite/ KiCAD EDA Tools/Easy EDA/Any other
equivalent /Open Source

COURSE OUTCOMES:
1. Design a multipage circuit schematic using active and passive components
2. Place and Interconnect the Through-Hole (TH) components and Surface Mount Devices
(SMD) in the layout by following the PCB standards
3. Design a single, double and multi-layer PCB layout & Generate the Gerber File for PCB
fabrication by calculating the trace width of the interconnect in the layout

CO-PO Mapping:
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 3 3 3 2 3 2 1 1 1 1
CO2 3 3 3 2 3 2 1 1 1 1
CO3 3 3 3 2 3 2 1 1 1 1
Avg 3 3 3 2 3 2 1 1 1 1
EC23C14 DIGITAL VLSI DESIGN LTPC
3024
OBJECTIVES:
• To introduce the relevance of this course to the existing technology through
demonstrations, simulations, contributions of scientist, national/international
policies with a futuristic vision along with socio-economic impact and issues
• To learn the fundamentals of VLSI design
• To familiarize with VLSI combinational logic circuits design
• To familiarize with VLSI sequential logic circuits design
• To learn the various arithmetic circuits
• To familiarize with the different FPGA architectures

UNIT I MOS TRANSISTOR PRINCIPLES 9L,10P


MOS operating modes, Pass transistors, Characteristics of CMOS inverter, Scaling
principles and fundamental limits. Propagation Delays, CMOS inverter scaling, Elmore‘s
constant.
PRACTICALS:

1. CMOS inverter design and performance analysis


• Plot VTC curve for CMOS inverter and thereon plot dVout vs. dVin and
determine transition voltage and gain. Calculate VIL, VIH, NMH, NML for
the inverter.
• Plot VTC for CMOS inverter with varying VDD.
• Plot VTC for CMOS inverter with varying device ratio.
• Redesign the inverter for symmetrical transient response and minimum propagation
delay to for a given load capacitance. Perform transient analysis of CMOS inverter
with no load and with load and determine tpHL, tpLH,
• Perform AC analysis of CMOS inverter with fanout 0 and fanout 1.
2. Use Layout editor
• Draw layout of a minimum size inverter using transistor from CMOS process library.
Use Metal 1 as interconnect line between inverters.
• Run DRC, LVS and RC extraction. Make sure there is no DRC error.
• Extract the netlist. Use extracted netlist and obtain tPHL tPLH for the inverter using
Spice.
• Use a specific interconnect length and connect and connect three inverters in a
chain. Extract the new netlist and obtain tPHL and tPLH of the middle inverter.
• Compare new values of delay times with corresponding values obtained in part ‘c’.

UNIT II COMBINATIONAL LOGIC CIRCUITS 9L,8P


Static CMOS logic Design, Design techniques to improve the speed, power dissipation of
CMOS logic, Ratioed logic, Pass transistor Logic, Transmission CPL, DCVSL, Dynamic
CMOS logic, Domino logic, Dual Rail logic, NP CMOS logic and NORA logic, Stick
diagram, Layout diagrams, Logical Effort.
PRACTICALS:
• Design a static CMOS logic gate and verify its propagation delay.
• Design a pass transistor based logic gate and verify its propagation delay.

UNIT III SEQUENTIAL LOGIC CIRCUITS 9L,4P

Static and Dynamic Latches and Registers, Pulse register, Pipelining for optimizing
sequential circuits, Schmitt Trigger, Astable Circuits and Monostable Circuit
PRACTICALS:
• Design a Static Register- Verify its setup time, hold time and propagation delay.

UNIT IV DESIGNING ARITHMETIC BUILDING BLOCKS AND


TIMING ISSUES 9L,4P
Data path circuits, Architectures for Adders, Multipliers, Barrel Shifters, Timing Issues,
Synchronous Design- Sources of Skew and Jitter, Clock Distribution Techniques, Latch
Based Clocking, Self Timed Circuit Design- Self-Timed Logic, Self Timed Signaling
PRACTICALS:
• Design the schematic of Adder/Multiplier combinational circuit and verify its
functionality

UNIT V MEMORY DESIGN AND PERIPHERAL CIRCUITS 9L,4P


Memory architectures- ROM, 6T SRAM, 1Tand 3T DRAM. Memory Peripheral Circuits-
Address Decoder, Sense Amplifier, Voltage References, Timing and Control, Drivers,
Case Study – 4Mbit SRAM
PRACTICALS:
• Design 6T SRAM Cell and Verify its Read and Write timing constraints

L+P: 45+30 PERIODS

COURSE OUTCOMES:
CO Blooms COURSE OUTCOMES
No. Level
CO1 3 Ability to analyze MOS devices and inverter
CO2 3 Ability to design and analyze combinational logic
CO3 3 Ability to design and analyze Sequential logic
CO4 3 Ability to design and analyze data path cells
CO5 3 Ability to design digital logic using FPGA

TEXT BOOK:
1. Jan Rabaey, Anantha Chandrakasan, B.Nikolic, “Digital Integrated circuits: A
Design Perspective”, Prentice Hall of India, 2nd Edition, 2003.
REFERENCES
1. N.Weste, K.Eshraghian, “Principles of CMOS VLSI DESIGN”, A system
Perspective, 2nd Edition, Addision Wesley, 2004.
2. A.Pucknell, Kamran Eshraghian, “BASIC VLSI DESIGN”, Prentice Hall of
India, 3rd Edition, 2007.
3. M.J. Smith, “Application Specific Integrated Circuits”, Addisson Wesley, 1997.
4. R.Jacob Baker, Harry W.LI., David E.Boyee, “CMOS Circuit Design, Layout
and Simulation”, Prentice Hall of India, 2005.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 3 3 3 2 2 1 1 1 1
CO2 3 3 3 2 2 1 1 1 1
CO3 3 3 3 2 2 1 1 1 1
CO4 3 3 3 2 2 1 1 1 1
CO5 3 3 3 2 2 1 1 1 1
3 3 3 2 2 1 1 1 1
VL23501 RTL DESIGN AND LOGIC SYNTHESIS L T P C
1 0 4 3
UNIT I BASICS OF VHDL 3L,24P

Entity declaration - Architecture body - Creating I/O Ports for Different Data Types – Signal,
Constant and variable - VHDL operators - VHDL statements– Test bench.
PRACTICALS:
• Implementation of logic gates
• Implementation of MUX and DEMUX
• Implementation of Decoder and Encoder
• Implementation of Half adder and Full adder

UNIT II BASICS OF VERILOG 3L,18P

Module – Module ports - Verilog operators – Data types - Continuous and Procedural Assign
statements – Conditional Statements – Sequential statements -Test bench.
PRACTICALS:
• Implementation of Registers
• Implementation of Flip-flops
• Implementation of Counters

UNIT III SYSTEM DESIGN VERILOG 3L,18P

Combinational Circuit Design – Sequential circuit design – State Machine designs- Case Studies:
ALU Design – Single port and Dual port Memory design – Design of DSP modules and MAC unit
PRACTICALS:
• Implementation of Simple state machines
• Block level design with existing custom IP cores such as FIR, XADC, PLL etc.
• Creating and Packaging IP cores

UNIT IV LOGIC SYNTHESIS 3L

FPGA building block architectures, FPGA interconnect, a comparator MUX - Inside a logic
synthesizer, Verilog and logic synthesis, FSM synthesis, memory synthesis.

UNIT V DESIGN CONSIDERATIONS 3L

Timing Parameters – Positive and Negative Clock skew – Setup slack and Hold slack – Clock
latency – Area, Speed and Power requirements.
L+P : 15+75 PERIODS

COURSE OUTCOMES:
At the end of the course, students will have the ability to
CO1: Understand the basic data types and operators of Verilog
CO2: Understand the basic data types and operators of VHDL
CO3: Design arithmetic modules using Verilog and VHDL
CO4: Understand SoC design flow and design macro modules such as processor IP
cores and memory modules
CO5: Analyze power dissipation and propagation delay of the RTL modules

TEXT BOOKS:
1. Pong P. Chu, RTL Hardware Design using VHDL Coding for Efficiency, Portability, and
Scalability, Wiley Interscience Publication, 2006, ISBN-13: 978-0-471-72092-8.
2. Ming Bo Lin, Digital System Designs and Practices – using Verilog HDL and FPGAs, Wiley
India Pvt. Ltd., 2008, Reprint 2012
3. Vaibbhav Taraate, Advanced HDL Synthesis and SOC Prototyping - RTL Design Using
Verilog, Springer Nature Singapore Pte Ltd. 2019.

REFERENCE BOOKS:
1. Peter J. Ashenden, The Designer’s Guide to VHDL, Third Edition, Elsevier, 2008. ISBN:
978-0-12-088785-9.
2. Peter J. Ashenden, Digital Design – An Embedded Systems Approach using Verilog,
Elsevier Inc., 2008, ISBN: 978-0-12-369527-7.
3. Vaibbhav Taraate, ASIC Design and Synthesis – RTL Design using Verilog, Springer,
2021.
4. Website:https://www.xilinx.com/support/documents/sw_manuals/xilinx2022_1/ug1118-
vivado-creating-packaging-custom-ip.pdf

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 1 1 1 1
CO2 3 2 1 1
CO3 2 2 2 2 1 1 1
CO4 2 2 2 2 1 1 1 1 1 2
CO5 2 3 3 3 1 1 1 1 1 2
Average 2 2 2.3 2.3 1 1 1 1 1 1.66
3 3
EC23C21 MICROPROCESSORS AND MICROCONTROLLERS LTPC
3 02 4

UNIT I 8- BIT and 16 - BIT MICROPROCESSOR 11

8085 Architecture, Interrupts, Instruction set, Timing diagrams, 8086 Architecture - Minimum
and Maximum mode configurations, Instruction set, Addressing modes, Assembly Language
Programming, Memory and I/O interfacing.

PRACTICALS:

• Programs for 8 /16-bit Arithmetic, Sorting and Searching operations in 8085 and 8086.

UNIT II PERIPHERALS AND INTERFACING 7

Programmable Peripheral Interface (8255), Keyboard Display Controller (8279),


Programmable Timer Controller (8254), Programmable Interrupt Controller (8259), Serial
Communication Interface (8251), ADC0808 and DAC0808 Interface.
PRACTICALS:

• 7 segment display with 8085 or 8086 using 8255 interface.


• Stepper and DC motor control using 8085 or 8086 microprocessor.
• Interfacing ADC, DAC with 8085 or 8086 microprocessor.

UNIT III MICROCONTROLLER 9

8051 – Architecture, Special Function Registers (SFRs), I/O Ports, Timers / Counters,
Interrupts, Serial communication, Instruction set, Addressing modes, Assembly language
programming.

UNIT IV MICROCONTROLLER BASED SYSTEM DESIGN 9

Display Interfacing - matrix display, (16x2) LCD, Sensor and Relay, interfacing Stepper Motor,
interfacing DC Motor Speed Control using PWM, RTC and EEPROM interface using I2C
protocol.
Sensor and Actuator interfacing with 8051 microcontrollers.

PRACTICALS:
• Configuring and programming Timer and Interrupts in 8051 microcontrollers.
• Data transmission and reception using UART in 8051 microcontrollers.

UNIT V 32- BIT ARM PROCESSOR 9


RISC Vs CISC Architecture, ARM Processor Architecture, ARM Core data flow model, Barrel
Shifter, ARM processor modes and families, Pipelining, ARM instruction set and its Assembly
language/Embedded C Programming.
PRACTICALS:

• Interfacing LED, LCD, Switch and 4x4 Keypad with ARM processor.
• Interfacing Sensor and Actuator with ARM processor.
• Configuring and Programming of Timers/Counters and Interrupts in ARM Processor.
• UART and SPI Interfacing using ARM processor.
• Interfacing RTC and EEPROM with 8051 or ARM processor.
L+P: 45+30 PERIODS
COURSE OUTCOMES:

At the end of the course, students will have

CO1: Ability to understand the features and components of 8-bit and 16-bit microprocessors.
CO2: Ability to develop assembly language programme and interface peripherals with
microprocessors.
CO3: Ability to understand the 8051 architecture and the methodology to configure and
program peripherals.
CO4: Ability to understand the ARM architecture and the methodology to configure and
program peripherals.
CO5: Ability to design, develop and troubleshoot a simple microprocessor or microcontroller
based systems.

TEXT BOOKS:

1. Ramesh S. Gaonkar, “Microprocessor Architecture, Programming and Applications with


8085”, Penram International Publishing reprint, 6th Edition, 2017.
2. Douglas V. Hall, “Microprocessor and Interfacing, Programming and Hardware”, Tata
McGraw Hill, Revised 2nd Edition 2006, 11th reprint 2015.
3. Muhammad Ali Mazidi, Janice Gillispie Mazidi and Rolin D. McKinley, “The 8051
Microcontroller and Embedded Systems”, 2nd Edition, Pearson Education 2008. 12th
impression 2018.

REFERENCE BOOKS:
1. Krishna Kant, “Microprocessor and Microcontroller Architecture, programming and system
design using 8085, 8086, 8051 and 8096’’, PHI, 2007, 7th Reprint, 2015.
2. Kenneth J. Ayala and Dhananjay V. Gadre, “The 8051 Microcontroller and Embedded
systems using Assembly and C, Ist Edition, Cengage Learning, 2010.
3. Kenneth J. Ayala., “The 8051 Microcontroller, 3rd Edition, Thompson Delmar Learning’,
2012
4. A.K. Ray, K.M. Bhurchandi, “Advanced Microprocessor and Peripherals’’, Tata McGraw-
Hill, 2nd Edition, 2010.
5. Barry B. Brey, “The Intel Microprocessors Architecture, Programming and Interfacing’’,
Pearson Education, 2007, 2nd impression, 2010.
6. N.Sloss, Dominic Symes, Chris Bright, ”ARM System Developer’s Guide, Designing and
Optimizing system software”, Andrew 2014 Edition, Morgan Kaufmann Publishers.
7. Lyla B Das, Embedded Systems-An Integrated approach, Pearson Education India.
CO PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
/PO
CO1 2 1 - 1 1 1
CO2 2 1 1 1 1
CO3 3 3 2 1 1 1 1
CO4 2 2 2 1 1 1
CO5 3 3 3 3 2 1 1 1
Avg 2.2 2 2.33 1.4 1.5 1 1 1
VL23502 WIRELESS COMMUNICATION TECHNOLOGIES LTPC
3 003
UNIT I FUNDAMENTALS OF WIRELESS PROPAGATION 9
Reflection, Diffraction and Scattering of EM waves -Large scale path loss - Free Space and
Two-Ray models– Small scale fading- Parameters of mobile multipath channels,
classification of multipath fading channels. Multiple Access Scheme. / Media Access
Scheme.
UNIT II WIRELESS LAN 9
Introduction to wireless LANs - IEEE 802.11 WLANs - Physical Layer- MAC sublayer- MAC
Management Sublayer- HIPERLAN- HIPERLAN-2

UNIT III WIRELESS INTERFACES – BLUETOOTH, ZIGBEE, LORA 9


Architecture and Applications - IEEE 802.15.4, Bluetooth, Zigbee, LORA, 6LOWPAN,
UNIT IV CELLULAR MOBILE COMMUNICATION
9
Introduction-Frequency Reuse-Channel Assignment Strategies-Hand off Strategies,
Interference and System Capacity-Capacity improvement techniques.
UNIT V WIRELESS STANDARDS 9
Evolution from 1G to 5G, 3G UMTS, W-CDMA, 3G services and data rates, IMT Advanced,
4G - LTE, OFDM, MIMO, Introduction to 5G Technologies- IoT- Architecture, M2M - SCADA
and RFID.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to understand the wireless channel characteristics and behavior.
CO2: Ability to understand the cellular communication techniques
CO3: Ability to understand the protocol architecture of WLAN
CO4: Ability to understand the architecture and application of different wireless interfaces “
used in real time.
CO5: Ability to understand the current trends in wireless communication

TEXT BOOKS:
1. Rappaport,T.S., “Wireless communications”, Pearson Education, Second Edition, 2010.
2. Clint Smith. P.E and Daniel Collins, “3G Wireless Networks”, 2nd Edition, Tata McGraw
Hill, 2007.
3. Vijay. K. Garg, “Wireless Communication and Networking”, Morgan Kaufmann
Publishers, http://books.elsevier.com/9780123735805, 2007.
4. Zach Shelby, Carsten Bormann,“6LoWPAN:The Wireless Embedded Internet”, John
Wiley Sons, 2009.

REFERENCE BOOKS:
1. Perry Lea, “IoT and Edge Computing for Architects: Implementing edge and IoT
systems from sensors to clouds with communication systems, analytics, and security”,
2nd Edition,2020.
ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 2 2 1 1 1
CO2 2 2 1 1 1
CO3 2 2 1 1 1
CO4 2 2 1 1 1 1
CO5 2 2 1 1 1 1
Average 2 2 1 1 1 1
UC23E01 ENGINEERING ENTREPRENEURSHIP DEVELOPMENT L T P C
2 0 2 3
COURSE OBJECTIVES:
1. Learn basic concepts in entrepreneurship, develop mind-set and skills necessary
to explore entrepreneurship
2. Apply process of problem - opportunity identification and validation through human
centred approach to design thinking in building solutions as part of engineering
projects
3. Analyse market types, conduct market estimation, identify customers, create
customer persona, develop the skills to create a compelling value proposition and
build a Minimum Viable Product
4. Explore business models, create business plan, conduct financial analysis and
feasibility analysis to assess the financial viability of a venture ideas & solutions
built with domain expertise
5. Prepare and present an investible pitch deck of their practice venture to attract
stakeholders

MODULE – I: ENTREPRENEURIAL MINDSET 4L,8P

Introduction to Entrepreneurship: Definition – Types of Entrepreneurs – Emerging Economies –


Developing and Understanding an Entrepreneurial Mindset – Importance of Technology
Entrepreneurship – Benefits to the Society.
Case Analysis: Study cases of successful & failed engineering entrepreneurs - Foster Creative
Thinking: Engage in a series of Problem-Identification and Problem-Solving tasks

MODULE – II: OPPORTUNITIES 4L,8P

Problems and Opportunities – Ideas and Opportunities – Identifying problems in society – Creation
of opportunities – Exploring Market Types – Estimating the Market Size, - Knowing the Customer
and Consumer - Customer Segmentation - Identifying niche markets – Customer discovery and
validation; Market research techniques, tools for validation of ideas and opportunities
Activity Session: Identify emerging sectors / potential opportunities in existing markets - Customer
Interviews: Conduct preliminary interviews with potential customers for Opportunity Validation -
Analyse feedback to refine the opportunity.

MODULE – III: PROTOTYPING & ITERATION 4L,8P

Prototyping – Importance in entrepreneurial process – Types of Prototypes - Different methods –


Tools & Techniques.
Hands-on sessions on prototyping tools (3D printing, electronics, software), Develop a prototype
based on identified opportunities; Receive feedback and iterate on the prototypes.

MODULE – IV: BUSINESS MODELS & PITCHING 4L,8P

Business Model and Types - Lean Approach - 9 block Lean Canvas Model - Riskiest Assumptions
in Business Model Design – Using Business Model Canvas as a Tool – Pitching Techniques:
Importance of pitching - Types of pitches - crafting a compelling pitch – pitch presentation skills -
using storytelling to gain investor/customer attention.
Activity Session: Develop a business model canvas for the prototype; present and receive
feedback from peers and mentors - Prepare and practice pitching the business ideas- Participate
in a Pitching Competition and present to a panel of judges - receive & reflect feedback

MODULE – V: ENTREPRENEURIAL ECOSYSTEM 4L,8P

Understanding the Entrepreneurial Ecosystem – Components: Angels, Venture Capitalists, Maker


Spaces, Incubators, Accelerators, Investors. Financing models – equity, debt, crowdfunding, etc,
Support from the government and corporates. Navigating Ecosystem Support: Searching &
Identifying the Right Ecosystem Partner – Leveraging the Ecosystem - Building the right
stakeholder network
Activity Session: Arrangement of Guest Speaker Sessions by successful entrepreneurs and
entrepreneurial ecosystem leaders (incubation managers; angels; etc), Visit one or two
entrepreneurial ecosystem players (Travel and visit a research park or incubator or makerspace
or interact with startup founders).

TOTAL: 60 PERIODS
COURSE OUTCOMES:
Upon the successful completion of the course, students will be able to:
CO1: Develop an Entrepreneurial Mind-set and Understand the Entrepreneurial Ecosystem
Components and Funding types
CO2: Comprehend the process of opportunity identification through design thinking, identify
market potential and customers
CO3: Generate and develop creative ideas through ideation techniques
CO4: Create prototypes to materialize design concepts and conduct testing to gather feedback
and refine prototypes to build a validated MVP
CO5: Analyse and refine business models to ensure sustainability and profitability Prepare and
deliver an investible pitch deck of their practice venture to attract stakeholders

REFERENCES:

1. Robert D. Hisrich, Michael P. Peters, Dean A. Shepherd, Sabyasachi Sinha (2020).


Entrepreneurship, McGrawHill, 11th Edition
2. Bill Aulet (2024). Disciplined Entrepreneurship: 24 Steps to a Successful Startup. John Wiley
& Sons.
3. Bill Aulet (2017). Disciplined Entrepreneurship Workbook. John Wiley & Sons.
4. Ries, E. (2011). The Lean Startup: How Today's Entrepreneurs Use Continuous Innovation
to Create Radically Successful Businesses. Crown Business
5. Blank, S. G., & Dorf, B. (2012). The Startup Owner's Manual: The Step-by-Step Guide for
Building a Great Company. K&S Ranch
6. Osterwalder, A., & Pigneur, Y. (2010). Business Model Generation: A Handbook for
Visionaries, Game Changers, and Challengers. John Wiley & Sons
7. Marc Gruber & Sharon Tal (2019). Where to Play: 3 Steps for Discovering Your Most
Valuable Market Opportunities. Pearson.
VL23601 VLSI VERIFICATION AND TESTING LTPC
2023

UNIT I FAULT MODELING 6L, 8P


Physical faults and their modeling. Fault equivalence and dominance; fault collapsing,
Fault simulation: parallel, deductive and concurrent techniques; critical path tracing.
PRACTICALS:
• Fault Simulation and Test generation for combination circuits
• Clock and reset rule check at RTL
UNIT II TEST PATTERN GENERATION 6L, 8P
Test generation for combinational circuits: Boolean difference, D-algorithm, Podem,
random etc. Exhaustive, random and weighted test pattern generation; aliasing and its
effect on fault coverage.
PRACTICALS:
• Scan Chain Insertion, DRC and ATPG
• At-Speed Patterns and On-Chip Clock Controllers (LoS and LoC)
UNIT III DELAY FAULTS AND TEST PATTERN GENERATION 6L
Delay faults and hazards; test pattern generation techniques, ATPG and its different types.
Test pattern generation for sequential circuits: ad-hoc and structures techniques scan path
and LSSD, boundary scan

UNIT IV DESIGN FOR TESTABILITY 6L


Design for Testability Basics – Testability Analysis - Scan Cell Designs – Scan
Architecture – Built- in Self-Test – Random Logic Bist – DFT for Other Test Objectives.
UNIT V BIST 6L, 14P
Built-in self-test techniques: LBIST and MBIST. Verification: logic level (combinational
and sequential circuits), RTL-level (data path and control path). Verification of embedded
systems. Use of formal techniques: decision diagrams, logic-based approaches. ASIC/IP
Verification, direct and random testing, Error detection and correction codes.

PRACTICALS:
• SDF annotated simulation
• Boundary scan test
• Testing of memories (BIST insertion, validation and BIST repair)

TOTAL: L+P: 30+30 PERIODS

COURSE OUTCOMES:
At the end of the course, students will have
CO1:Extend knowledge of the requirement of fault modeling in VLSI circuits.
CO2:Generate test vectors to test a circuit efficiently covering maximum faults.
CO3:Demonstrate the concept of Memory testing techniques
CO4:Discuss about Built-in-Self Test and its application in modern digital design
CO5:Use modern tools for testing and verification.

TEXT BOOKS:
1. Essentials of Electronic Testing, M. L. Bushnell and V. D. Agrawal,3rd Kluwer Academic
Publishers 2002

REFERENCE BOOKS:
1. Testing of Digital Systems, N. K. Jha and S. Gupta, 2nd, Cambridge University
Press. 2003
2. Digital Systems Testing and Testable Design, M. Abramovici, M. A. Breuer and
A. D. Friedman, 3rd, Wiley-IEEE Press. 1994
3. Fault Tolerant and Fault Testable P. K. Lala, 4th, Hardware Design, Prentice-Hall.
4. All-in-One Electronics Simplified, A.K. Maini & Nakul Maini, Khanna Book
Publishing

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 1 1 1
CO2 3 3 1 1 1
CO3 3 3 1 1 1
CO4 3 3 1 1 1
CO5 3 3 1 1 1
Average 3 3 1 1 1
VL23602 ELECTRO MAGNETIC INTERFERENCE AND COMPATIBILITY L T P C
FOR VLSI 3 0 0 3

UNIT I ELECTROMAGNETICS 9
Electric field and Magnetic field, Coulomb's law, Gauss's law and applications, Electric
potential, Conductors in static electric field, Ampere's law, Vector magnetic potential, Biot-
Savart law and applications - Maxwell Equations
UNIT II PLANAR TRANSMISSION LINES AND COUPLING 9
Introduction to strip line – Slot line – Coplanar waveguide - Transmission line reflections –
Lattice diagram – Time domain reflectometry – Coupled wave equation – Coupled line analysis
– Modal Analysis – Crosstalk Minimization
UNIT III BASIC CONCEPTS 7
Definition of EMI and EMC; Intra and Inter system EMI; Sources and victims of EMI,
Conducted and Radiated EMI emission and susceptibility; Transient & ESD; Case Histories;
Radiation Hazards to humans.
UNIT IV COUPLING MECHANISM 9
Common made coupling; Differential mode coupling; Common impedance coupling; Ground
loop coupling; Field to cable coupling; Cable to cable coupling; Power mains and Power supply
coupling.
UNIT V EMI MITIGATION TECHNIQUES 10
Shielding – principle, choice of materials for H, E and free space fields, and thickness; EMI
gaskets; Bonding; Grounding – circuits, system and cable grounding; Filtering; Transient EMI
control devices and applications; PCB Zoning, Component selection, mounting, trace routing.
TOTAL: 45 PERIODS
TEXT BOOKS:
1. D.K. Cheng, "Field and Wave Electro Magnetics", Pearson (India), 2 nd Edition, 1989
2. Clayton R. Paul, “Introduction to Electromagnetic Compatibility”, John wiley& sons Inc.
2006.
3. Stephen H. Hall, Howard L. Heck, "Advanced Signal Integrity For High-Speed Digital
Designs", John Wiley & Sons, 2009.
4. V.P. Kodali, “Engineering EMC Principles, Measurements and Technologies”, IEEE Press,
New York, 2 nd Edition, 2010.

REFERENCES:
1. Henry W.Ott., “Noise Reduction Techniques in Electronic Systems”, A Wiley Inter
Science Publications, John Wiley and Sons, Newyork, 2009.
2. Don R.J.White Consultant Incorporate, “Handbook of EMI/EMC”, Vol I-V, 1988 2.
Bemhard Keiser, “Principles of Electromagnetic Compatibility”, Artech house,
Norwood, 3 rd Edition, 1987
ARTICULATION MATRIX:
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 P10 P11 P12
CO1 3 3 1 2 1 1 1 1
CO2 3 3 1 2 1 1 1 1
CO3 3 3 1 2 1 1 1 1
CO4 3 3 1 2 1 1 1 1
CO5 3 3 1 2 1 1 1 1
Average 3 3 1 2 1 1 1 1
VL23S03 INTRODUCTION TO MICROFABRICATION L T PC
1 1 0 2
UNIT I: INTRODUCTION AND OXIDATION
Electronic Materials: Crystal Structures, Defects in Crystals, Si, Poly Si, Si Crystal Growth.
Clean room and Wafer Cleaning: Definition, Need of Clean Room, RCA cleaning of Si. Dry
and Wet Oxidation, Kinetics of Oxidation, Oxidation Rate Constants, Dopant Redistribution,
Oxide Charges, Device Isolation, LOCOS, Oxidation System
UNIT II: LITHOGRAPHY AND DIFFUSION:
Overview of Lithography, Radiation Sources, Masks, Photoresist, Components of Photoresist
Optical Aligners, Resolution, Depth of Focus, Advanced Lithography: E-beam Lithography, X-
ray Lithography, Ion Beam Lithography. Successive Diffusion, Lateral Diffusion, Series
Resistance, Junction Depth, Irvin’s Curves, Diffusion System
UNIT III: ION IMPLANTATION:
Problems in Thermal Diffusion, Advantages of Ion Implantation, Applications in ICs, Ion
Implantation System, Mask, Energy Loss Mechanisms, Depth Profile, Range & Straggle,
Lateral Straggle, Dose, Junction Depth, Ion Implantation Damage, Post Implantation
Annealing, Ion Channeling, Multi Energy Implantation
UNIT IV: THIN FILM DEPOSITION:
Physical Vapor Deposition: Thermal evaporation, Resistive Evaporation, Electron beam
evaporation, Laser ablation, Sputtering Chemical Vapor Deposition: Advantages and
disadvantages of Chemical Vapor deposition (CVD) techniques over PVD techniques,
reaction types, Boundaries and Flow, Different kinds of CVD techniques: APCVD, LPCVD,
Metalorganic CVD (MOCVD), Plasma Enhanced CVD etc.
UNIT V: ETCHING:
Anisotropy, Selectivity, Wet Etching, Plasma Etching, Reactive Ion Etching. Overview of
Interconnects, Contacts, Metal gate/Poly Gate, Metallization, Problems in Aluminum Metal
contacts, Al spike, Electromigration, Metal Silicides, Multi-Level Metallization, Planarization,
Inter Metal Dielectric
Foundry Familiarization workshop / MOOC virtual lab Tutorials 15 Periods
TOTAL: L+T: 15+15 PERIODS

TEXT/REFERENCE BOOKS:
1. Silicon VLSI Technology, Plummer, Deal and Griffin ,1st Edition, Pearson Education,2009
2. Fundamental of Semiconductor Fabrication, Sze and May,2nd Edition, Wiley India, 2009
3. Silicon Process Technology, S K Gandhi,2nd Edition, Wiley India,2009

COURSE OBJECTIVES:
At the end of this course students will demonstrate the ability to
1. Elucidate the CMOS process flow
2. Analyze various critical processing steps in microfabrication
3. Appreciate the advanced methods involved in IC fabrication.
4. Analyze the advancements in CMOS process fabrication with scaling in technology
PO1 PO2 PO PO PO5 PO PO PO PO9 PO10 PO11 PO12
3 4 6 7 8
CO1 3 2 1 1 1 1
CO2 3 2 1 1 1 1
CO3 3 2 1 1 1
CO4 3 2 1 1 1
CO5 3 2 1 1
Average 3 2 1 1 1 1
VL23U02 PERSPECTIVES OF SUSTAINABLE DEVELOPMENT – Electronics
Engineering (VLSI Design and Technology) L T P C
2 0 2 3

MODULE I – INTRODUCTION 6
Principles & Historical perspectives, Importance and need for sustainability in engineering and
technology, impact and implications. United Nations Sustainability Development Goals (SDG),
UN summit – Rio & outcome, Sustainability and development indicators.

MODULE II – ENVIRONMENTAL SUSTAINABILITY 6

Climate change, Biodiversity loss, Pollution and waste management, Renewable vs. non-
renewable resources, Water and energy conservation, Sustainable agriculture and forestry.
National and international policies, Environmental regulations and compliance, Ecological
Footprint Analysis

MODULE III – SOCIAL & ECONOMIC SUSTAINABILITY 9

Equity and justice, Community development, Smart cities and sustainable infrastructure,
Cultural heritage and sustainability, Ethical considerations in sustainable development.

Triple bottom line approach, Sustainable economic growth, Corporate social responsibility
(CSR), Green marketing and sustainable product design, Circular economy and waste
minimization, Green accounting and sustainability reporting.

MODULE IV 9

Role of Electronics in Net Zero Carbon emission, Power electronics - key to zero carbon
emissions, Incinerators, Electronic Waste Management and Recycling, Green Electronics,
Green Photonics, Green Communication, Reduction of Hazards due to use of Radio waves.

MODULE V – SUSTAINABILITY PRACTICES 30

Suggested Practices not limited to

• Energy efficiency – how to save energy (energy efficient equipment, energy saving
behaviours).
• Chemical use and storage - the choice of chemicals being procured, the safe
disposal of leftover chemicals, the impact of chemicals on the environment and long-
term health impacts on humans.
• Green building, green building materials, green building certification and rating:
green rating for integrated habitat assessment (GRIHA), leadership in energy and
environmental design (LEED)
• Tools for Sustainability - Environmental Management System (EMS), ISO14000, life
cycle assessment (LCA)
• Ecological footprint assessment using the Global Footprint Network spreadsheet
calculator
• Role of Electronics in National/Sub national Status of Sustainable Development
Goals
TOTAL: 60 PERIODS
REFERENCES:

1. Allen, D., & Shonnard, D. R. (2011). Sustainable engineering: Concepts, design and case
studies. Prentice Hall.

2. Munier, N. (2005). Introduction to sustainability (pp. 3558-6). Amsterdam, The Netherlands:


Springer.

3. Blackburn, W. R. (2012). The sustainability handbook: The complete management guide to


achieving social, economic and environmental responsibility. Routledge.

4. Clini, C., Musu, I., & Gullino, M. L. (2008). Sustainable development and environmental
management. Published by Springer, PO Box, 17, 3300.

5. Bennett, M., James, P., & Klinkers, L. (Eds.). (2017). Sustainable measures: Evaluation and
reporting of environmental and social performance. Routledge.

6. Seliger, G. (2012). Sustainable manufacturing for global value creation (pp. 3-8). Springer
Berlin Heidelberg.

7. Stark, R., Seliger, G., & Bonvoisin, J. (2017). Sustainable manufacturing: Challenges,
solutions and implementation perspectives. Springer Nature.

8. Davim, J. P. (Ed.). (2013). Sustainable manufacturing. John Wiley & Sons.


VL23701 ASIC DESIGN LTPC
2023
OBJECTIVES:
• The course focuses on the ASIC flow of the IC Design and introduces the principles
of design logic cells, I/O cells and interconnect architecture.
• The digital design flow is dealt with, from the circuit and layout design point of view.

UNIT I INTRODUCTION TO ASICS, CMOS LOGIC AND ASIC LIBRARY DESIGN


Types of ASICs - Design flow - CMOS transistors - Combinational Logic Cell – Sequential logic
cell - Data path logic cell - Transistors as Resistors - Transistor Parasitic Capacitance- Logical
effort.

PRACTICALS:
Combinational logic Design – Arithmetic Logic Unit (ALU)
• Write HDL code describing ALU. Extract RTL and Technology mapped and Optimized
Netlist
• Analyse Area, Power and Timing report

UNIT II PARTITIONING, FLOORPLANNING AND PLACEMENT


Floor Planning Goals and Floor planning tools, I/O and Power planning, Clock planning,
Placement Algorithms. Pin Assignment Objectives, Measurement of Delay in floor planning,.

UNIT III ROUTING, CLOCK DESIGN AND PHYSICAL VERIFICATION


Routing: Global routing, Detailed routing, Special routing, Clock Design, Clock Network
Synthesis. Power and Ground Routing, Watchdog Timer, DRC, RC Extraction, Antenna
Effect.
Physical verification: Packaging- Layout Issues-Preventing electrical overstress, Static
verification techniques-Post-layout design verification
PRACTICALS:
Sequential logic Design – Counters and Registers
• Use Layout editor
• Run DRC and RC extraction. Make sure there is no DRC error.
• Analyse area, power and timing report

UNIT IV STATIC TIMING ANALYSIS


Timing Paths, Time borrowing, Basic concepts of Setup Time and Hold Time, Setup and Hold
Violation, Delay – Timing path delay, Interconnect Delay models, Wire load model, Maximum
clock frequency, Timing Models, SDF, SDC, Design Constraints- Transition Time, Fanout,
Process Variation, Parasitic Extraction
PRACTICALS:
Sequential logic Design – Counters and Registers
• Compute Maximum clock frequency
• Exercises for solving setup and hold violations

UNIT V SYSTEM-ON-CHIP DESIGN


SoC Design Flow, Platform-based and IP based SoC Designs, Basic Concepts of Bus-
Based Communication Architectures, High performance filters using delta-sigma
modulators.

Case Studies: Digital camera, SDRAM, High speed data standards.

PRACTICALS:

• Completing ASIC design flow for a Digital Subsystem Design


(Communication / Control System / Processor) Realization

TOTAL: L+P: 30+30 PERIODS

COURSE OUTCOMES:
CO1: Ability to apply logical effort technique for predicting delay, delay minimization and
FPGA architectures.
CO2: Ability to design logic cells and I/O cells.
CO3: Ability to analyze the various resources of recent FPGAs.
CO4: Ability to use algorithms for floor planning and placement of cells and to apply
routing algorithms for optimization of length and speed.
CO5: Ability to analyze high performance algorithms available for ASICs.

TEXT BOOKS:
1. M.J.S.Smith, " Application - Specific Integrated Circuits", Pearson, 2003.
2. Steve Kilts, “Advanced FPGA Design,” Wiley Inter-Science, August 2007.
3. Roger Woods, John McAllister, Dr. Ying Yi, Gaye Lightbod, “FPGA-based Implementation
of Signal Processing Systems”, Wiley, 2nd Edition, April 2017.
4. Mohammed Ismail and Terri Fiez, "Analog VLSI Signal and Information Processing", Mc
Graw Hill, 1994.

REFERENCES:
1. Douglas J. Smith, “HDL Chip Design”, Madison, AL, USA: Doone Publications, 1996.
2. Jose E. France, Yannis Tsividis, "Design of Analog - Digital VLSI Circuits for
Telecommunication and Signal Processing", Prentice Hall, 1994
3. S.Pasricha and N.Dutt, “On-Chip Communication Architectures System on Chip
Interconnect”, Elsevier, 2008.
4. S.Pasricha and N.Dutt, “On-Chip Communication Architectures System on Chip
Interconnect”, Elsevier, 2008.
ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 1 1 1 1
CO2 3 2 1 1 1 1
CO3 3 2 1 1 1
CO4 3 2 1 1 1
CO5 3 2 1 1
Averag 3 2 1 1 1 1
e
VL23702 EMBEDDED SYSTEMS L T P C
2 0 2 3

UNIT I EMBEDDED DESIGN PROCESS AND HARDWARE


COMPONENTS
Complex Systems and RISC processors - Embedded System Design Process - Formalism for
System Design - CPU – CPU Bus–CPU performance-CPU Power Consumption – Memory
System Mechanism– Configuring and Programming Input and Output Peripherals - Supervisor
Mode, Exceptions and Traps -Coprocessors.

UNIT II SOFTWARE TOOLS AND EMBEDDED C PROGRAMMING


Compilation process - Native vs Cross-Compilers - Run-time libraries - Writing a library - Using
Standard and alternative libraries – Partitioning methods – Kernels po– Techniques for
Emulation and Debugging – Embedded C Program Structure – Data types - Operators,
expressions and control statements – Functions and Procedures - Structures and union.

UNIT III REAL TIME OPERATING SYSTEM


Concurrent Software – Foreground/Background systems, Multi-threaded Programming, shared
resources and Critical sections – Scheduling – Cyclic, Round-Robin, Priority based, Deadline
driven and Rate Monotonic schedules – Memory Management – Shared Memory -Commercial
operating systems. Evaluating operating system performance – Power optimization strategies
for processes

UNIT IV HARDWARE ACCELERATORS & NETWORKS


Multiprocessors- CPUs and Accelerators – Performance Analysis- Distributed Embedded
Architecture – Networks for Embedded Systems: - I2C, UART, CAN Bus, Ethernet, Myrinet –
Network based design – Internet enabled systems

UNIT V EMBEDDED SYSTEM APPLICATIONS- CASE STUDY


Design Methodology- Elevator controller D Design of Real time embedded systems – Case
Study using cross compiler.

PRACTICALS:
Linux Programming
• Introduction to Embedded Linux and Linux-based Embedded System Component
Stack
• System Call Overview and Anatomy of a Linux-based System
• Process Management and Configuration/Build Process
• Inter-process Communication (IPC) and Multithreading Concepts
• Networking Basics and Socket Programming
• Linux Kernel Module Programming
• Communication Between Kernel and User Space & Building a Ranging Sensor Kernel
Module
• System Debugging, and Profiling
L+P : 30+30 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Explain about different hardware components and software development tools.
CO2: Utilize the Embedded C program methodology for building real time systems.
CO3: Detail the concept and usage of RTOS in Embedded applications.
CO4: Apply the communication protocol in Embedded System development.
CO5: Design a real time embedded system.

TEXT BOOKS:
1. Wayne Wolf, "Computers as Components –Principles of Embedded Computing System
Design", Morgan Kaufmann Publishers, 2nd Edition, June2008.
2. Andrew N. Sloss, Dominic Symes, Chris Wright, "ARM System Developer's Guide-
Designing and Optimizing System Software", Morgan Kaufmann Publishers,2004.
3. SteveHeath, "Embedded Systems Design", Newnes Publications, 2nd Edition,2003.
4 Kirk Zurell, “C Programming for Embedded Systems”, R & D Books, 2000
5. K.V.K.K.Prasad, “Embedded Real-Time Systems: Concepts, Design & Programming”,
Dream tech press, 2005.
6. Tammy Noergaard, “Embedded Systems Architecture”, Elsevier, 2006.

REFERENCES:
1. Wayne Wolf, "Computers as Components –Principles of Embedded Computing
System Design", Morgan Kaufmann Publishers, 2nd Edition, June2008.
2. Andrew N. Sloss, Dominic Symes, Chris Wright, "ARM System Developer's Guide-
Designing and Optimizing System Software", Morgan Kaufmann Publishers,2004.
3. SteveHeath, "Embedded Systems Design", Newnes Publications, 2nd Edition,2003.
4. Kirk Zurell, “C Programming for Embedded Systems”, R & D Books, 2000
5. K.V.K.K.Prasad, “Embedded Real-Time Systems: Concepts, Design &
Programming”, Dream tech press, 2005.

ARTICULATION MATRIX:

P P P P P P P P P PO PO PO
O1 O2 O3 O4 O5 O6 O7 O8 O9 10 11 12
CO1 1 1 1 1 3 1 1 1
CO2 1 1 1 1 1 1 1 1
CO3 1 1 1 1 2 1 1 1
CO4 3 3 3 3 3 2 1 1 1 3 3
CO5 3 3 3 3 3 2 1 1 1 3 3
Avera 1.8 1.8 1.8 1.8 2.4 1.4 1 1 1 3 3
ge
VL23703 IDEA TO PRODUCT L T P C
0 0 4 2

Any one of the System Design


(Considered as a lab, observation is to be submitted every week starting from block diagram, Design,
Circuit Diagram, Simulation Results, Implementation results and Analysis. Finally, a Report is to be
submitted for the System Design, End Semester Exam is a Demo. Students may be grouped into
batches (3).)

1. VLSI Subsystem Design


2. Embedded System Design
3. IoT based System Design
4. AI based System Design
5. Energy Innovative Design
TOTAL: 60 PERIODS
COURSE OUTCOMES:
CO1: Ability to design VLSI System
CO2: Ability to design Embedded System
CO3: Ability to design IoT
CO4: Ability to design AI
CO5: Design low power design

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 3 2 2 1 3 1 1
CO2 3 3 2 2 3 1 1
CO3 3 3 2 2 3 1 1
CO4 3 3 2 2 3 1 1
CO5 3 2 1 1 3 1 1
Average 3 2.6 1.8 1.6 3 1 1
VL23U01 STANDARDS - ELECTRONICS ENGINEERING L T P C
(VLSI DESIGN AND TECHNOLOGY) 1 0 0 1

MODULE I – OVERVIEW OF STANDARDS 6

Basic concepts of standardization; Purpose of Standardization, marking and certification of


articles and processes; Importance of standards to industry, policy makers, trade, sustainability
and innovation. Objectives, roles and functions of BIS, Bureau of Indian Standards Act,
ISO/IEC Directives; WTO Good Practices for Standardization. Important Indian and
International Standards.

MODULE II – NATIONAL , INTERNATIONAL STANDARDS & TECHNICAL REGULATIONS


IN INDIA 9

- Objectives, Roles and Functions of BIS, Bureau of Indian Standards Act

- Important Indian and International Standards

- ISO/IEC , IAF and ILAC – Their Role in Conformity Assessment

- Product Standards , Codes of Practice, Testing Standards, National Codes on


Electricity and Lighting Management Systems Standards – Difference and industry
preferences

- Marking and Certification of articles - ISI Mark and management systems

- Regulations on Compulsory Registration Scheme for Electronics & IT Goods -


Electronics and Information Technology Goods (Requirement of Compulsory
Registration) Order, 2021

- BIS Conformity Assessment Regulation 2018


VL23801 PROJECT WORK/ INTERNSHIP L T P C
0 0 16 8
COURSE OBJECTIVES:
To train the students in
• Identifying problem and developing the structured methodology to solve the identifiedproblem in
the industry or research problem at research Institution or college.
• Conducting experiments, analyze and discuss the test results, and make conclusions.
• Preparing project reports and presentation

The students shall individually / or as group work on a specific topic approved by the Department. The
student can select any topic which is relevant to his/her specialization of the programme. The student
should continue the work on the selected topic as per the formulated methodology. At the end of the
semester, after completing the work to the satisfaction of the supervisor and review committee, a
detailed report which contains clear definition of the identified problem, detailed literature review
related to the area of work and methodology for carrying out the work, results and discussion,
conclusion and references should be prepared as per the format prescribed by the University and
submitted to the Head of the department. The students will be evaluated based on the report and viva-
voce examination by a panel of examiners as per the Regulations.

TOTAL : 240 PERIODS

COURSE OUTCOMES:
At the end of the project, the student will be able to
CO1: Formulate and analyze problem / create a new product/ process.
CO2: Design and conduct experiments to verify
CO3: Develop working/simulation model
CO4: Analyze the results and provide solution for the identified problem
CO5: Prepare project report and make presentation.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 3 2 1 1 1 1 1 1 1 1
CO2 3 3 3 2 1 1 1 1 1 1 1 1
CO3 3 3 3 2 1 1 1 1 1 1 1 1
CO4 3 2 2 2 1 1 1 1 1 1 1 1
CO5 1 1 1 1 1 1 1 1 1 3 1 1
Average 2.6 2.4 2.4 1.8 1 1 1 1 1 1.4 1 1
EC23C23 ADVANCED DIGITAL SIGNAL PROCESSING L T P C
3 0 0 3

OBJECTIVES:
• To provide a solid foundation in the physics of semiconductors that develop a sound physical and
intuitive understanding of semiconductor devices
• To understand device physics and device modeling aspects
• To prepare for research, development of device technology for digital and analog circuits for many
years to come
• To study simulators to characterize the device models

UNIT I DISRETE-TIME RANDOM SIGNALS 9

Discrete random process – Ensemble averages, Stationary and ergodic processes, Autocorrelation and
Autocovariance properties and matrices, White noise, Power Spectral Density, Spectral Factorization,
Innovations Representation and Process, Filtering random processes, ARMA, AR and MA processes.

UNIT II SPECTRUM ESTIMATION 9

Bias and Consistency, Periodogram, Modified periodogram, Blackman-Tukey method, Welch method,
Parametric methods of spectral estimation, Levinson-Durbin recursion.

UNIT III LINEAR ESTIMATION AND PREDICTION 9

Forward and Backward linear prediction, Filtering - FIR Wiener filter- Filtering and linear prediction, non-
causal and causal IIR Wiener filters.

UNIT IV ADAPTIVE FILTERS 9

Principles of adaptive filter – FIR adaptive filter – Newton‘s Steepest descent algorithm – LMS algorithm
– Adaptive noise cancellation, Adaptive equalizer, Adaptive echo cancellers.

UNIT V MULTIRATE SIGNAL PROCESSING 9

Introduction to Multirate signal processing- Decimation-Interpolation-Polyphase Decomposition of FIR


filter-Multistage implementation of sampling rate conversion - Applications of Multirate signal processing.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Analyze statistical characteristics of random signals
CO2: Identify appropriate spectrum estimation method based on type of random signal
CO3: Design optimum filters for processing random signal
CO4: Design filters for quasi stationary signals
CO5: Analyze and design systems with varying sample rate

TEXT BOOKS:
1. Monson H, Hayes, "Statistical Digital Signal Processing and Modeling", John Wiley and
Sons Inc., New York, Indian Reprint, 2008.
2. John G.Proakis, Dimitris G. Manolakis, "Digital Signal Processing", Pearson, 4th Edition,
2007
REFERENCES:
1. Sophocles J. Orfanidis, "Optimum Signal Processing, An Introduction", McGraw Hill, 2nd
Edition 2007
2. Dwight F. Mix, "Random Signal Processing", Prentice Hall, 1995.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 3 3 2 2 2 1 1 1
CO2 3 3 3 2 2 2 1 1 1
CO3 3 3 3 2 2 1 1 1 1
CO4 3 3 3 2 1 1 1 1 1
CO5 3 3 3 2 1 1 1 1 1
Averag 3 3 3 2 1.6 1.4 1 1 1
e
EC23C24 DIGITAL SPEECH PROCESSING L T P C
3 0 0 3
OBJECTIVE:
• To introduce speech production and related parameters of speech
• To show the computation and use of techniques such as short time Fourier transform, linear
predictive coefficients and other coefficients in the analysis of speech
• To understand different speech modeling procedures such as Markov and their implementation
issues
• To introduce speech recognition and synthesis techniques

UNIT I SPEECH FUNDAMENTALS 9

Articulatory Phonetics–Production and Classification of Speech Sounds; Acoustic Phonetics – Acoustics


of speech production; Review of Digital Signal Processing concepts; Short-Time Fourier Transform, Filter-
Bank and LPC Methods.

UNIT II SPEECH ANALYSIS 9

Features, Feature Extraction and Pattern Comparison Techniques: Speech distortion measures
mathematical and perceptual–Log–Spectral Distance, Cepstral Distances, Weighted Cepstral Distances
and Filtering, Likelihood Distortions, Spectral Distortion using a Warped Frequency Scale, LPC, PLP and
MFCC Coefficients, Time Alignment and Normalization –Dynamic Time Warping, Multiple Time–
Alignment Paths.

UNIT III SPEECH MODELING 9

Hidden Markov Models: Markov Processes, HMMs – Evaluation, Optimal State Sequence –Viterbi
Search, Baum-Welch Parameter Re-estimation, Implementation issues.

UNIT IV SPEECH RECOGNITION 9

Large Vocabulary Continuous Speech Recognition: Architecture of a large vocabulary continuous speech
recognition system – acoustics and language models – n-grams, context dependent subword units;
Applications and present status.

UNIT V SPEECH SYNTHESIS 9

Text-to-Speech Synthesis: Concatenative and waveform synthesis methods, sub-word units for TTS,
intelligibility and naturalness–role of prosody, Applications and present status.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able
CO1: Ability to use speech related parameters
CO2: Ability to extract significant features from speech to reduce redundancy in speech by using
several distortion measures
CO3: Ability to develop models for speech signals
CO4: Ability to develop speech recognition algorithms
CO5: Ability to develop artificial speech generation of human speech
TEXT BOOKS:
1. Lawrence Rabiner and Biing-Hwang Juang,"Fundamentals of Speech Recognition",
Pearson Education, 2003.
2. Daniel Jurafsky and James H Martin, "Speech and Language Processing–An Introduction
to Natural Language Processing, Computational Linguistics, and Speech Recognition",
Pearson Education, 3rd Edition,2018.

REFERENCES:
1. Frederick Jelinek, "Statistical Methods of Speech Recognition", MIT Press, Reprint2001
2. Steven W.Smith, "The Scientist and Engineer‘s Guide to Digital Signal Processing", California
Technical Publishing,1997.
3. Thomas FQuatieri,"Discrete-Time Speech Signal Processing Principles and Practice",
Pearson Education,2004
4. Claudio Becchetti and Lucio Prina Ricotti,"Speech Recognition", John Wiley and Sons,1999.
5. Ben Gold and Nelson Morgan, "Speech and Audio Signal Processing and Perception of
Speech and Music", Wiley

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 1 1 1
CO2 2 2 2 1 1 1 2
CO3 2 2 2 1 1 1 2
CO4 3 3 3 1 1 1 3
CO5 3 3 3 1 1 1 3
Averag 2.5 2.5 2.5 1 1 1 2.5
e
VL23001 PRINCIPLES OF DIGITAL IMAGE PROCESSING L T P C
3 0 0 3
OBJECTIVE:
• To study the formation of an image and its acquisition
• To introduce the use and application of transforms in image processing
• To study techniques for improving quality of information in spoilt images
• To introduce schemes for compressing images to save storage space

UNIT I DIGITAL IMAGE FUNDAMENTALS 9

Elements of digital image processing systems, Image sensing and Acquisition, - Elements of visual
perception, brightness, contrast, hue, saturation, mach band effect, Color image fundamentals - RGB,
HSI models, Image sampling, Quantization, dither, Two-dimensional mathematical preliminaries, 2D
transforms - DFT, DCT, KLT, SVD

UNIT II IMAGE ENHANCEMENT 9

Point processing, Histograms, Histogram equalization and specification techniques, Noise distributions,
Spatial averaging, Directional Smoothing, Median, Geometric mean, Harmonic mean, Contraharmonic
mean filters, Homomorphic filtering, Color image enhancement.

UNIT III IMAGE RESTORATION 9

Image Restoration - degradation model, Unconstrained and Constrained restoration, Inverse filtering,
Wiener filtering, Geometric transformations-spatial transformations.

UNIT IV IMAGE SEGMENTATION AND MORPHOLOGY 9

Edge detection, Canny edge detection, Harris corner detection, Edge linking via Hough transform,
Thresholding - Region based segmentation– Region growing – Region splitting and Merging, Contour
based methods, Morphological Operations – Dilation, Erosion, Opening , Closing- Segmentation by
morphological watersheds

UNIT V IMAGE COMPRESSION 9

Need for data compression, Huffman, Run Length Encoding, Shift codes, Arithmetic coding, Vector
Quantization, LZW compression, Transform coding, JPEG standard, MPEG
TOTAL: 45 PERIODS
COURSE OUTCOMES:

CO1: Ability to analyze the sampling and quantization effects in images and choose appropriate
transforms for image processing applications
CO2: Ability to utilize appropriate preprocessing techniques for manipulation of images
CO3: Ability to apply restoration techniques to recover degraded images
CO4: Ability to employ image processing algorithms for extraction of region of interest
CO5: Ability to utilize and develop image compression techniques
TEXT BOOKS:
1.
Rafael C. Gonzalez, Richard E. Woods, "Digital Image Processing"‘, Pearson, Education,
Inc., 4th Edition, 2018.
2. Anil K. Jain, "Fundamentals of Digital Image Processing"‘, Pearson Education, Inc., 2002.

REFERENCES:
1.
Kenneth R. Castleman, "Digital Image Processing", Pearson, 2006.
2. Rafael C. Gonzalez, Richard E. Woods, Steven Eddins, "Digital Image Processing using
MATLAB ", Pearson Education, Inc., 2004.
3. D,E. Dudgeon and RM. Mersereau, "Multidimensional Digital Signal Processing", Prentice
Hall Professional Technical Reference, 1990.
4. William K. Pratt, "Digital Image Processing", John Wiley, New York, 2002.
5. Milan SonkaetaI, "Image Processing, Analysis and Machine Vision", Brookes/Cole, Vikas
Publishing House, 2nd Edition, 1999.
6. Alan C. Bovik, "Handbook of image and Video Processing ", Elsevier Academic press, 2005.
7. S.Sridhar, "Digital Image Processing" Oxford University press, Edition 2011.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 1 1 1
CO2 3 3 1 1 1 1
CO3 3 2 1 1 1
CO4 3 3 1 1 1 1
CO5 3 2 1 1 1 1
Averag 3 2.4 1 1 1 1
e
EC23C15 DSP ARCHITECTURE AND PROGRAMMING (I) L T P C
2 0 2 3

UNIT I ARCHITECTURES FOR PROGRAMMABLE DSP PROCESSORS 6


Basic Architectural features, DSP Computational building blocks, Bus architecture and memory, Data
addressing capabilities, Address generation Unit, Programmability and program execution, Speed issues,
Features for external interfacing.

UNIT II TMS320C5X PROGRAMMABLE DSP PROCESSOR 6L, 6P


Architecture of TMS320C54xx DSP processors, Addressing modes – Assembly language Instructions -
Memory space, interrupts, and pipeline operation of TMS320C54xx DSP Processor, On-Chip peripherals,
Block Diagram of TMS320C54xx DSP starter kit.

PRACTICALS:
1.Real time waveform generation
2. Programming examples C and Assembly language
UNIT III TMS320C6X PROGRAMMABLE DSP PROCESSOR 6L, 8P
Commercial TI DSP processors, Architecture of TMS320C6x DSP Processor, Linear and Circular
addressing modes, TMS320C6x Instruction Set, Assembler directives, Linear Assembly, Interrupts,
Multichannel buffered serial ports, Block diagram of TMS320C67xx DSP Starter Kit and Support Tools.
PRACTICALS:
1.Programming examples using C and Linear Assembly
2. Implementation of moving average filter
3. FIR implementation with a Pseudorandom noise sequence as input to a filter
UNIT IV IMPLEMENTATION OF DSP ALGORITHMS 6, 6P
DSP Development system, On-chip, and On-board peripherals of C54xx and C67xx DSP development
boards, Code Composer Studio (CCS) and support files, Implementation of Conventional FIR, IIR, and
Adaptive filters in TMS320C54xx/TMS320C67xx DSP processors for real-time DSP applications,
Implementation of FFT algorithm for frequency analysis in real-time.

PRACTICALS:
1. Fixed point implementation of IIR filter
2. FFT of Real-Time input signal
UNIT V APPLICATIONS OF DSP PROCESSORS 6L, 10P
Voice scrambling using filtering and modulation, Voice detection and reverse playback, Audio effects,
Graphic Equalizer, Adaptive noise cancellation, DTMF signal detection, Speech thesis using LPC,
Automatic speaker recognition
PRACTICALS:
Case study – Realization of applications
THEORY : 30 PERIODS

HARDWARE & SOFTWARE SUPPORT TOOLS:


• TMS320C54xx/TMS320C67xx DSP Development board
• Code Composer Studio (CCS)
• Function Generator and Digital Storage Oscilloscope
• Microphone and speaker
PRACTICAL: 30 PERIODS
TOTAL : 60 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1:Understand the architectural features of DSP Processors.
CO2:Comprehend the organization of TMS320C54xx DSP processors
CO3:Build solutions using TMS320C6x DSP Processor
CO4:Implement DSP Algorithms
CO5:Study the applications of DSP Processors.

TEXT BOOKS:
1. Avtar Singh and S. Srinivasan, Digital Signal Processing – Implementations using DSP
Microprocessors with Examples from TMS320C54xx, Cengage Learning India Private
Limited, Delhi 2012
2. Rulph Chassaing and Donald Reay, Digital Signal Processing and Applications with the
TMS320C6713 and TMS320C6416 DSK, Second Edition, Wiley India (P) Ltd, New Delhi,
2008

REFERENCE BOOKS:
1. B.Venkataramani and M.Bhaskar, “Digital Signal Processors – Architecture,
Programming and Applications”, Tata McGraw – Hill Publishing Company Limited. New
Delhi, 2003.
2. TMS320C5416/6713 DSK user manual at https://www.ti.com

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 3 2 2 1 1 2
CO2 3 3 2 2 2 1 1 2
CO3 3 3 2 2 2 1 1 2
CO4 3 3 2 3 2 1 1 2
CO5 3 2 2 2 2 1 1 2
Average 3 2.8 2.2 2.2 2 1 1 2
EC23C19 DIGITAL CONTROL ENGINEERING L T P C
3 0 0 3
OBJECTIVE:
The objective of this course is to provide in-depth knowledge on
• To introduce the relevance of this course to the existing technology through demonstrations, case
studies, simulations, contributions of scientist, national/international policies with a futuristic vision
along with socio-economic impact and issues
• This course is extended to deliver the concepts of continuous-time control systems to digital domain
where the design and stability aspects are introduced.

UNIT I CONTINUOUS TIME SYSTEMS 9

Review of frequency and time response analysis and specifications of control systems, need for
controllers, continuous time compensations, continuous time PI, PD, PID controllers.

UNIT II SIGNAL PROCESSING IN DIGITAL CONTROL 9

Sampling, time and frequency domain descriptions, aliasing, hold operations, mathematical model of
sample and hold, zero and first order hold, factors limiting the choice of sample rate, reconstruction,
Difference equation description, Z-transform method of description, pulse transfer function, time and
frequency response of discrete time control systems.

UNIT III DESIGN OF DIGITAL CONTROL ALGORITHMS 9

Review of principle of compensator design, Z-plane specifications, digital compensator design using
frequency response plots, discrete integrator, discrete differentiator, development of digital PID controller,
transfer function, design in Z-plane.

UNIT IV STATE VARIABLE TECHNIQUES 9

Discrete State Variable concepts, Characteristic equation, Eigen values and Eigenvectors, Jordan
canonical models, Phase Variable companion forms.

UNIT V CONTROLLABILITY, OBSERVABILITY AND STABILITY 9

Definitions and Theorems of Controllability and Observability, Relationships between Controllability,


Observability and Transfer Functions, Jury, Routh, Lyapunov stability analysis, Principles of state and
output feedback.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1:
Ability to analyze the characteristics of continuous time systems and determine their
impacts on the design of digital control systems.
CO2: Ability to comprehend the basics of digital signal processing techniques in the applications
of digital control systems.
CO3: Ability to illustrate the design of various digital control algorithms and its implementation
issues in digital control systems.
CO4: Ability to analyze the discrete state variable concepts and its control system specifications
CO5: Ability to merge the concepts of controllability, observability and stability in a design of
modern digital control systems.

TEXT BOOKS:
1. Benjamin C.Kuo, Digital Control Systems, OXFORD University Press, 2nd Edition, 2007.

REFERENCES:

1. M.Gopal, "Digital Control and State Variable Methods", Tata McGraw Hill, 2nd Edition,
2007.
2. K.Ogata,"Discrete-Time Control Systems", PHI, 2nd Edition,2007.
3. Gene. F.Franklin, J.D.Powell, M.Workman, "Digital Control of Dynamic Systems", Addison
Wesley, 3rd Edition, 2000.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 2 1 1 1
CO2 3 3 3 1 1 1
CO3 3 3 3 1 1 1
CO4 3 2 1 1 1
CO5 3 2 1 1 1
Averag 3 2.4 3 1 1 1
e
EC23C25 MULTIMEDIA COMPRESSION AND NETWORKS L T P C

3 0 0 3

UNIT I MULTIMEDIA COMPONENTS 9

Introduction- Multimedia skills- Multimedia components and their characteristics- Text, sound,
images, graphics, animation, video, hardware.

UNIT II AUDIO AND VIDEO COMPRESSION 9

Audio compression–DPCM-Adaptive DPCM –adaptive predictive coding-linear Predictive


coding code excited LPC-perpetual coding – Video compression principles-H.261, H.263,
MPEG1, 2, 4.

UNIT III TEXT AND IMAGE COMPRESSION 9

Compression principles-source encoders and destination encoders-lossless and lossy


compression-entropy encoding –source encoding- text compression –static Huffman coding
dynamic Huffman coding –arithmetic coding –Lempel Ziv-Welsh Compression-image
compression

UNIT IV VoIP TECHNOLOGY 9

Basics of IP transport, VoIP challenges, H.323/ SIP –Network Architecture, Protocols, Call
establishment and release, VoIP and SS7, Quality of Service – CODEC Methods-VOIP
applicability.

UNIT V MULTIMEDIA NETWORKING 9

Multimedia networking- Applications-streamed stored and audio-making – Best Effort service


protocols for real time interactive Applications-distributing multimedia-beyond best effort
service secluding and policing Mechanisms-integrated services-differentiated Services-RSVP.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to characterize the features of multimedia components
CO2: Ability to develop audio and video processing systems
CO3: Ability to develop compression algorithms for processing text and images
CO4: Ability to tackle network issues in the transmission of text, audio and video signals
CO5: Understand the different multimedia networking and their applications.

TEXT BOOKS:
1. Fred Halshall, "Multimedia Communication - Applications, Networks, Protocols and
Standards", Pearson education, 2007

2. Tay Vaughan, "Multideai: Making It Work", TMH, 8th Edition, 2007.


REFERENCE BOOKS:
1. Kurose and W. Ross, "Computer Networking A Top Down Approach", Pearson
education,3rd Edition, 2005.
2. Marcus Goncalves ―Voice over IP Networks, McGraw Hill,
3. KR. Rao,Z S Bojkovic, D A Milovanovic, "Multimedia Communication Systems:
Techniques,Standards, and Networks", Pearson Education, 2007
4. R. Steimnetz, K. Nahrstedt, "Multimedia Computing, Communications and
Applications", Pearson Education, 1st Edition, 1995.
5. Ranjan Parekh, "Principles of Multimedia", TMH, 2006.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 1 1 1 1 1
CO2 3 2 2 1 1 2
CO3 3 3 3 2 1 1 1
CO4 3 3 3 2 1 1 2
CO5 3 3 3 2 1 1 2
Average 3 2.4 2.2 2 1 1 1.6
VL23051 COGNITIVE RADIO NETWORKS L T P C
3 0 0 3
OBJECTIVE:
The objective of this course is to provide in-depth knowledge on
• The students should be made to be understand the concepts of cognitive radio
• Learn spectrum sensing and dynamic spectrum access

UNIT I INTRODUCTION TO SOFTWARE-DEFINED RADIO AND COGNITIVE 9


RADIO

Evolution of Software Defined Radio and Cognitive radio: goals, benefits, definitions, architectures,
relations with other radios, issues, enabling technologies, radio frequency spectrum and regulations.

UNIT II COGNITIVE RADIO ARCHITECTURE 9

Cognitive Radio – functions, components and design rules, Cognition cycle – orient, plan, decide and act
phases, Inference Hierarchy, Architecture maps, Building the Cognitive Radio Architecture on Software
defined Radio Architecture, Overview of IEEE 802.22 standard for broadband wireless access in TV
bands.

UNIT III SPECTRUM SENSING AND DYNAMIC SPECTRUM ACCESS 9

Introduction – Primary user detection techniques – energy detection, feature detection, matched filtering,
cooperative detection, Bayesian Approach, Neyman Pearson fusion rule for spectrum sensing, Optimum
spectrum sensing - KullbackLeibler Divergence and other approaches, Fundamental Tradeoffs in
spectrum sensing, Spectrum Sharing Models of Dynamic Spectrum Access - Unlicensed and Licensed
Spectrum Sharing, Fundamental Limits of Cognitive Radio.

UNIT IV MAC AND NETWORK LAYER DESIGN FOR COGNITIVE RADIO 9

MAC for cognitive radios – Multichannel MAC - slotted ALOHA – CSMA, Network layer design – routing
in cognitive radios, flow control and error control techniques.

UNIT V ADVANCED TOPICS IN COGNITIVE RADIO 9

Cognitive radio for Internet of Things - Features and applications – Enabling technologies and protocols
– M2M technologies - Data storage and analysis techniques - Requirement and challenges of IOT –
Energy efficiency– MIMO Cognitive Radio – Power allocation algorithms.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to comprehend and appreciate the significance and role of this course in the present
contemporary world.
CO2: The students will be able to understand and compare different SDR architectures.
CO3: The students will be able to identify the role of SDR and Cognitive radio communication in
XG networks.
TEXT BOOKS:
1. Benjamin C.Kuo, Digital Control Systems, OXFORD University Press, 2nd Edition, 2007.

REFERENCES:
1. M.Gopal, "Digital Control and State Variable Methods", Tata McGraw Hill, 2nd Edition, 2007.
2. K.Ogata,"Discrete-Time Control Systems", PHI, 2nd Edition,2007.
3. Gene. F.Franklin, J.D.Powell, M.Workman, "Digital Control of Dynamic Systems", Addison
Wesley, 3rd Edition, 2000.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 2 2
CO2 2 1
CO3 2 3 3
Averag 1 1.3 2.3 2
e
VL23002 MICROWAVE ENGINEERING LTPC
3 0 03

UNIT I TWO PORT RF NETWORKS COMPONENTS AND PARAMETERS 9

Low frequency parameters-impedance, admittance, hybrid and ABCD. High frequency


parameters-Formulation of S parameters, properties of S parameters-Reciprocal and lossless
networks, transmission matrix, Introduction to component basics, wire, resistor, capacitor and
inductor.

UNIT II MICROWAVE AMPLIFIER STABILITY DESIGN AND MATCHING


NETWORKS 9

Amplifier power relation, stability considerations, gain considerations, noise figure, impedance
matching networks, frequency response, T and Π matching networks, microstripline matching
networks.

UNIT III PASSIVE MICROWAVE DEVICES 9

Open, short and matched terminations; coupling probes and loops; power divider; directional
coupler; attenuators; phase shifter; circulator; isolator; Impedance matching Devices– Tuning
screw, stub and quarter-wave transformers

UNIT IV MICROWAVE SOURCE DEVICES 9

High frequency effects in Tubes, Two cavity klystron amplifier; Reflex klystron oscillator; TWT
amplifier, Backwards wave oscillator; Magnetron oscillator – Theory and applications. Solid
state devices: Gunn diode oscillator; BARITT, TRAPATT and IMPATT diode oscillator and
amplifier, YIG Devices (Yttrium-Iron Garnet).

UNITV MICROWAVE MEASUREMENTS 9

Measuring Instruments – VSWR meter, Power meter, Spectrum Analyser, Network Analyser
– principles; Measurement of Impedance, frequency, power, VSWR, Q factor, dielectric
connstant, SParameter. Hazards of microwaves, permitted power levels for practical
applications.

COURSE OUTCOME

1. To learn the formulations and analysis of low frequency and high frequency circuit
representation and parameters
2. To the formulation of the microwave amplifier stability design and matching networks s
3. To understand the microwave devices operation
4. To learn the concepts of microwave generation devices
5. To do study of microwave measure and the use of simulation programs.
TEXT BOOKS:

1. Samuel Y.Liao, “Microwave Devices and Circuits”, 3rd edition, Pearson education
2. Kai Chang, “RF and Microwave Wireless Systems”, Wiley, 2015.
3. Kennedy, Davis, Prasanna, "Electronic Communication Systems", 5th Edition, Tata
McGraw Hill.

REFERNCES
1. R.E.Collin, “Foundations for microwave Engineering”, 2nd edition, Tata Mc Graw Hill,
1992.
2. Annapurna Das, Sisir.K.Das, “Microwave Engineering”, Tata McGraw Hill, 2000.

Articulation Matrix:

PO PO PO PO PO PO PO P P PO PO PO
1 2 3 4 5 6 7 O8 O9 10 11 12
CO1 3 3 2 3
CO2 3 3 3 1
CO3 3 2 1 1
CO4 3 2 1 1
CO5 2 1 1 3
Avera 2.8 2.2 1.6 1.8
ge
VL23003 PASSIVE RF AND INTEGRATED CIRCUITS LTPC
3003

UNIT I INTRODUCTION TO PLANAR TRANSMISSION LINES AND PRINT


COUPLED LINES 9
Introduction to Lumped elements – Capacitors, Inductors, Resistors, Terminations, and
Attenuators Microstrip Line- Characteristic Impedance of the Microstrip Line -Suspended
Stripline-Slotline - Finline -Coupled Striplines - Microstrip Coupled Lines- Coupled Suspended
Striplines -Coupled Slotlines and Coplanar Waveguides- Irregular Lines-Shielded – Designing
Physical dimensions for Microstrip Applications.
UNIT II NETWORKS 9

Features and Parameters of Two-Port Network, Four port network - Analysis of Two-Port
Networks - Analysis of Symmetrical Four-Port Networks - Analysis of Symmetrical Three-Port
Networks- Multiport Network.

UNIT III MICROWAVE DEVICES 9

Directional Couplers- Ring Directional Couplers- Branch-Line Directional Couplers- Coupled-


Line Directional Couplers- Dividers and Combiners- T- and Y-Junctions- Power
Dividers/combiners- Diode Phase Shifters- Ferrite Phase Shifters- Circulators and Isolators

UNIT IV FILTERS AND ATTENUATORS 9

Classification –Filter synthesis-. Low Pass Filters -High pass filters-BPF and BSF- Reflective
Attenuator-Nonreflective -Attenuators- Switched Channel Attenuators.

UNIT V DESIGN AND FABRICATION TECHNOLOGY 9

RF/Microwave Packages- Three-Dimensional Design- Fabrication Aspects –MMIC- HMICs.

TOTAL: 45 PERIODS
OUTCOMES:
CO1 Demonstrate the construction and operation of various transmission lines
CO2 Analyze and design two port and three port networks
CO3 Analyze and design passive components such as power dividers, couplers and phase
shifters .
CO3 Design and analyze stability of amplifiers and oscillators
CO4 design and analyse the filters and attenuators
CO5 Exhibit an understanding on MMIC fabrication and product development

TEXT BOOKS
1. Leo G maloratsky, “RF and Microwave Integrated Circuits Passive Components and
Control Devices”Elseiver 2004.
2. David M. Pozar, “Microwave Engineering”, II Edition, John Wiley & Sons, 1998.
3. Guillermo Gonzalez, “Microwave Transistor Amplifiers – Analysis and Design”, II
Edition, Prentice Hall, New Jersy.
REFERENCES:
1. Thomas H.Lee, “Planar Microwave Engineering”, Cambridge University Press, 2004.
2. ArjunaMarzuki, Ahmad Ismat Bin Abdul Rahim, MouradLoulou, “Advances in
Monolithic Microwave Integrated Circuits for Wireless Systems: Modeling and Design
Technologies” Engineering Science Reference, 2012
Articulation Matrix:

PO PO PO PO PO PO PO P P PO PO PO
1 2 3 4 5 6 7 O8 O9 10 11 12
CO1 3 3 2 1 1 1
CO2 3 3 3 2 1 1
CO3 3 2 1 1 1 1
CO4 3 2 1 1 1 1
CO5 2 1 1 1 1 1
Avera 2.8 2.2 1.6 1.2 1 1
ge
VL23004 RF IC DESIGN LTPC
3003
OBJECTIVES:
• To study the various impedance matching techniques used in RF circuit design.
• To understand the functional design aspects of LNAs, Mixers, PLLs and VCO.
• To understand frequency synthesis.
UNIT I IMPEDANCE MATCHING IN AMPLIFIERS 9
Definition of „Q‟, series parallel transformations of lossy circuits, impedance matching using
„L‟, „PI‟ and T networks, Integrated inductors, resistors, Capacitors, tunable inductors,
transformers

UNIT II AMPLIFIER DESIGN 9


Noise characteristics of MOS devices, Design of CG LNA and inductor degenerated LNAs.
Principles of RF Power Amplifiers design,

UNIT III ACTIVE AND PASSIVE MIXERS 9


Qualitative Description of the Gilbert Mixer - Conversion Gain, and distortion and noise ,
analysis of Gilbert Mixer – Switching Mixer - Distortion in Unbalanced Switching Mixer -
Conversion Gain in Unbalanced Switching Mixer - Noise in Unbalanced Switching Mixer - A
Practical Unbalanced Switching Mixer. Sampling Mixer - Conversion Gain in Single Ended
Sampling Mixer - Distortion in Single Ended Sampling Mixer - Intrinsic Noise in Single Ended
Sampling Mixer - Extrinsic Noise in Single Ended Sampling Mixer.

UNIT IV OSCILLATORS 9
LC Oscillators, Voltage Controlled Oscillators, Ring oscillators, Delay Cells, tuning range in
ring oscillators, Tuning in LC oscillators, Tuning sensitivity, Phase Noise in oscillators, sources
of phase noise

UNIT V PLL AND FREQUENCY SYNTHESIZERS 9


Phase Detector/Charge Pump, Analog Phase Detectors, Digital Phase Detectors, Frequency
Dividers, Loop Filter Design, Phase Locked Loops, Phase noise in PLL, Loop Bandwidth,
Basic Integer-N frequency synthesizer, Basic Fractional-N frequency synthesizer
TOTAL: 45 PERIODS
OUTCOMES:
CO1: Understand the principles of operation of an RF receiver front end
CO2: Design and apply constraints for LNAs, Mixers and frequency synthesizers
CO3: Analyze and design mixers
CO4: Design different types of oscillators and perform noise analysis
CO5: Design PLL and frequency synthesizer

REFERENCES:
1. B.Razavi ,”RF Microelectronics” , Prentice-Hall ,1998
2. Bosco H Leung “VLSI for Wireless Communication”, Pearson Education, 2002
3. Behzad Razavi, “Design of Analog CMOS Integrated Circuits” McGraw-Hill, 1999
4. Jia-sheng Hong, "Microstrip filters for RF/Microwave applications", Wiley, 2001
5. Thomas H.Lee, “The Design of CMOS Radio –Frequency Integrated Circuits‟,
Cambridge University Press ,2003

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO P P P
1 2 3 4 5 6 7 8 9 O O O
10 11 12
CO1 3 3 1 2 2 1 2
CO2 3 3 1 2 2 1 2
CO3 3 3 1 2 2 1 2
CO4 3 3 1 2 2 1 2
CO5 3 3 1 2 2 1 2
Avera 2.5 2.5 2.5 1 1 1 2
ge
VL23005 ANALOG IC DESIGN L T P C
3 0 0 3
OBJECTIVES:

• To study the DC biasing conditions and small signal model of various MOS amplifier configurations
• To understand gm/Id design methodology of various MOS circuits
• To study the noise modeling and analysis procedure associated with various MOS circuits
• To study stability conditions and various compensation techniques in OPAMP and negative feedback
amplifiers
UNIT I BASIC BUILDING BLOCKS 9

NMOS and PMOS device operation in saturation and sub-threshold regions, device transconductance, output
impedance and equivalent circuit. Introduction to Device models for simulation. CG, CG, and source follower
circuits. gm/Id design methodology.

UNIT II MULTIPLE TRANSISTOR STAGES 9

Cascode circuits. folded cascode circuits, , Differential amplifier circuits, quantitative analysis of differential pair,
CMRR, Differential pair with MOS loads, Gilbert Cell, Current Mirrors.

UNIT III FREQUENCY RESPONSE, NOISE 9

Frequency response of CS and CG stages. Miller effect and association of poles with nodes. Characteristics of
noise – thermal and flicker noise. Noise in CS, CG, Cascode and source follower stages.

UNIT IV OPERATIONAL AMPLIFIERS 9

Two stage op-amps, gain boosting, common mode feedback, input range limitation, slew rate, power supply
rejection, noise in op-amps.

UNIT V FEEDBACK AND STABILITY 9

Properties of feedback circuits, topologies, effect of loading and noise in feedback circuits. Stability in multipole
systems, phase margin, frequency compensation in two stage op-amps, other compensation techniques.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
Students who complete this course would be in a position
CO1: To carry out design of the various building blocks used in CMOS analog ICs. These include current
mirror, cascades, common source amplifiers, differential amplifiers, two stage OTAs, source
followers.
CO2: To carry out the paper design based on hand calculations for the above important building blocks. This
is normally the first mandatory step in the complete design and fabrication of CMOS Analog ICs, and
enables the student to carry out circuit simulations and layout design. In conjunction with other similar
courses in this area.
CO3: To pursue design and/or research carriers in the broad field of electronics and communication.
TEXT BOOKS:
1. B.Razavi, “Design of CMOS Analog Integrated Circuits", Tata McGraw Hill, 2002.
2. P.R.Gray, Hurst and Meyer "Analysis and Design of Analog Integrated Circuits", John Wiley, 5th
Edition, 2009.

REFERENCES:
1. Willy Sansen , “Analog Design Essentials:", Springer, 2006
2. NPTEL Course: http://nptel.ac.in/courses/117106030/#

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 1 1 1 1 3 1 1 1
CO2 1 1 1 1 1 1 1 1
CO3 1 1 1 1 2 1 1 1
Averag 1.8 1.8 1.8 1.8 2.4 1.4 1 1 1 3 3
e
EC23C12 MIXED SIGNAL IC DESIGN L T PC
3003

OBJECTIVES:
To know about mixed-signal devices and the need for testing these devices.
• To study the various techniques for testing.
• To learn about ADC and DAC based testing.
• To understand the Clock and Serial Data Communications Channels
• To study the general purpose measuring devices.

UNIT I MIXED – SIGNAL TESTING 9

Common Types of Analog and Mixed- Signal Circuits – Applications of Mixed-Signal Circuits - PostSilicon
Production Flow - Test and Packing – Characterization versus Production Testing - Test and Diagnostic
Equipment - Automated Test Equipments – Wafer Probers – Handlers – E-Beam Probers – Focused Ion Beam
Equipments – Forced –Temperature
UNIT II YIELD, MEASUREMENT ACCURACY, AND TEST TIME 9
Yield - Measurement Terminology - Repeatability, Bias, and Accuracy - Calibrations and Checkers - Tester
Specifications - Reducing Measurement Error with Greater Measurement Time – Guardbands - Effects of
Measurement Variability on Test Yield - Effects of Reproducibilty and Process Variation on Yield - Statistical
Process Control
UNIT III DAC TESTING 9
Basics of Data Converters -Principles of DAC and ADC Conversion, Data Formats, Comparison of DACs and
ADCs, DAC Failure Mechanisms - Basic DC Tests - Transfer Curve Tests - Dynamic DAC Tests - Tests for
Common DAC Applications
UNIT IV ADC TESTING 9
ADC Testing Versus DAC Testing - ADC Code Edge Measurements - Edge Code Testing Versus Center Code
Testing, Step Search and Binary Search Methods, Servo Method, Linear Ramp Histogram Method, Histograms
to Code Edge Transfer Curves, Rising Ramps Versus Falling Ramps, Sinusoidal Histogram Method - DC Tests
and Transfer Curve Tests - Dynamic ADC Tests - Tests for Common ADC Applications
UNIT V CLOCK AND SERIAL DATA COMMUNICATIONS CHANNEL MEASUREMENT 9
Synchronous and Asynchronous Communications - Time-Domain Attributes of a Clock Signal - Frequency-
Domain Attributes of a Clock Signal - Communicating Serially Over a Channel - Bit Error Rate Measurement -
Methods to Speed Up BER Tests in Production - Deterministic Jitter Decomposition - Jitter Transmission Tests.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
The student who undergoes this course will be able to
CO1: Learn the fundamentals of mixed signal circuits.
CO2: Define the various measurement terminologies.
CO3: Acquire knowledge of Analog to Digital Converters.
CO4: Learn testing of Analog to Digital Converters.
CO5: Comprehend the attributes of a clock signal.
TEXT BOOKS:
1. Gordon W.Roberts, Friedrich Taenzler, Mark Burns, “An Introduction to Mixed-signal IC Test and
Measurement” Oxford University Press, Inc.2012 (Unit I - V)
2. M.L.Bushnell and V.D.Agrawal, “Essentials of Electronic Testing for Digital, Memory and Mixed-Signal
VLSI Circuits”, Kluwer Academic Publishers, 2002. (Unit - III)
3. BapirajuVinnakota, “Analog and mixed-signal test”, Prentice Hall, 1998.(Unit - II)

REFERENCES:
1. Digital and Analogue Instrumentation: Testing and Measurement by NihalKularatna

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 3 3 3 3 2 2 2
CO2 3 3 2 2 1 2 2
CO3 3 3 2 2 2 2 2
CO4 3 3 3 2 2 1 2
CO5 3 3 3 2 2 2 3
Ave 3 3 2.8 2.2 1.8 1.8 2.2
VL23006 DATA CONVERTERS L T P C
3 0 0 3
OBJECTIVES:
• To study the DC biasing conditions and small signal model of various MOS
amplifier configurations
• To understand gm/Id design methodology of various MOS circuits
• To study the noise modeling and analysis procedure associated with various
MOS circuits
• To study stability conditions and various compensation techniques in OPAMP
and negative feedback amplifiers

UNIT I INTRODUCTION 9

Quantization noise, anti aliasing filters, gain and offset errors, definitions of INL and DNL,
SNR, SFDR, ENOB of ADC/DACs, finite duration pulse aperture effects, transistor
matching, Bandgap reference design.

UNIT II D/A CONVERTER DESIGN, SAMPLE AND HOLD 9


CIRCUITS

Current Steering DACs, current cell design issues. Properties of MOS Switches, charge
injection, bootstrapping, sampling jitter, thermal noise, Quantization noise and nonlinearity
effects.

UNIT III COMPARATOR DESIGN 9

Comparator architectures, metastibility and yield, Clock feed through effects, switched
capacitor amplifiers and offset cancellation.

UNIT IV ADC/DAC ARCHITECTURES 9

SAR, Flash, Pipeline and time interleaved ADC topologies and their CMOS realizations
issues. Error correction procedures for ADCs.

UNIT V FEEDBACK AND STABILITY 9

Delta sigma modulators, alternative modulator architectures, quantization and noise


shaping, decimation filtering, implementation of Delta sigma modulators, delta sigma DACs.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
Students who complete this course would be in a position
CO1: To carry out the design of the various building blocks used in mixed signal (A/D
and D/A converters) CMOS IC Design. These include sample and hold circuits,
comparators and switched capacitor amplifiers, and simple designs of flash
ADCs, pipeline ADCs, Current Steering DACs and sigma delta converters.
CO2: To carry out the paper design based on hand calculations for the above important
functional blocks and enables the student to carry out circuit simulations and
layout design.
CO3: To pursue design and/or research carriers in the broad field of electronics and
communication.

TEXT BOOKS:
1. Marcel Pelgrom, "Analog to Digital Conversion", Springer Verlag, 2nd Edition,
2013.
2. Shanthi Pavan, Richard Schreier, Gabor C. Temes , “Understanding Delta-
Sigma Data Converters”, Willey –IEEE Press, 2nd Edition, 2017.

REFERENCES:
1. Franco Malobreti "Data Converters", Springer Verlag, 2007

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO P P P
1 2 3 4 5 6 7 8 9 O O O
1 1 1
0 1 2
CO1 3 3 2 3 2 1 1 2
CO2 3 3 3 2 2 1 1 2
CO3 3 3 2 2 2 1 1 2
CO4 3 3 3 3 2 1 1 2
CO5 3 2 3 3 2 1 1 2
Avera 3 2.8 2.6 2.6 2 1 1 2
ge
VL23007 POWER MANAGEMENT AND CLOCK DISTRIBUTION CIRCUITS LTPC
3003
OBJECTIVES:
• To design of reference circuits and low dropout regulators for desired specifications
• To understand oscillators choice and requirements for clock generation circuits
• To design clock generation and recovery in the context of high speed systems

UNIT I VOLTAGE AND CURRENT REFERENCES 9

Current mirrors, self-biased current reference, startup circuits, VBE based current reference, VT based
current reference, band gap reference , supply independent biasing, temperature independent biasing,
PTAT current generation, constant Gm biasing.

UNIT II LOW DROP OUT REGULATORS 9

Analog building blocks, negative feedback, performance metrics, AC design, stability, internal and
external compensation, PSRR – internal and external compensation circuits

UNIT III OSCILLATOR FUNDAMENTALS 9

General considerations, ring oscillators, LC oscillators, Colpitts oscillator, jitter and phase noise in ring
oscillators, impulse sensitivity function for LC & ring oscillators, phase noise in differential LC
oscillators.

UNIT IV CLOCK DISTRIBUTION CIRCUITS 9

PLL fundamental, PLL stability, noise performance, charge-pump PLL topology, CPPLL building
blocks, jitter and phase noise performance, DLL fundamentals.

UNIT V CLOCK AND DATA RECOVERY CIRCUITS 9

CDR architectures, transimpedance amplifiers and limiters, CMOS interface, linear half rate
CMOS CDR circuits, wide capture range CDR circuits.
TOTAL : 45 PERIODS

COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1: Design band gap reference circuits and low drop out regulator for a given specification.
CO2: Understand specification related to supply and clock generation circuits of IC
CO3: Choose oscillator topology and design meeting the requirement of clock generation circuits.
CO4: Design clock generation circuits in the context of high speed I/Os, high speed broad band
communication circuits and data conversion circuits.
CO5: Design clock distribution circuits

TEXT BOOKS:
1. Gabriel.a. Rincon-Mora, "Voltage References from Diode to Precision Higher Order Band gap
circuits”, John Wiley & Sons Inc, 2002.
2. Gabriel.a. Rincon-Mora, “Analog IC Design with Low-Dropout Regulators”, Mcgraw-Hill
Professional Pub, 2009.
REFERENCES:
1. Behzad Razavi, “Design of Analog CMOS Integrated Circuits”, Tata Mcgraw Hill, 2001
2. Floyd M. Gardner ,”Phase Lock Techniques” John Wiley& Sons, Inc 2005.
3. Michiel Steyaert, Arthur H.M. Van Roermund, Herman Casier, “Analog Circuit Design: High
Speed Clock and Data Recovery, High-Performance Amplifiers Power Management”, Springer,
2008.
4. Behzadrazavi, “Design of Integrated Circuits for Optical Communications”, McGraw Hill, 2003.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 3 1 1
CO2 3 3 3 1 1
CO3 3 3 3 1 1
CO4 3 3 3 1 1
CO5 3 3 3 1 1
Average 3 3 3 1 1
VL23008 DESIGN OF ANALOG FILTERS AND SIGNAL L T P C
CONDITIONING CIRCUITS
3 0 0 3
OBJECTIVES:

• This course deals with CMOS circuit design of various Analog Filter
architectures. The required signal conditioning techniques in a Mixed signal IC
environment are also dealt in this course.

UNIT I FILTER TOPOLOGIES 9

The Bilinear Transfer Function - Active RC Implementation, Transconductor-C


Implementation, Switched Capacitor Implementation, Biquadratic Transfer Function, Active
RC implementation, Switched capacitor implementation, High Q, Q peaking and instability,
Transconductor-C Implementation, the Digital Biquad.

UNIT II INTEGRATOR REALIZATION 9

Lowpass Filters, Active RC Integrators – Effect of finite Op-Amp Gain Bandwidth Product,
Active RC SNR, gm-C Integrators, Discrete Time Integrators.

UNIT III SWITCHED CAPACITOR FILTER REALIZATION 9

Switched capacitor Technique, Biquadratic SC Filters, SC N-path filters, Finite gain and
bandwidth effects, Layout consideration, Noise in SC Filters.

UNIT IV SIGNAL CONDITIONING TECHNIQUES 9

Interference types and reduction, Signal circuit grounding, Shield grounding, Signal
conditioners for capacitive sensors, Noise and Drift in Resistors, Layout Techniques.

UNIT V SIGNAL CONDITIONING CIRCUITS 9

Isolation Amplifiers, Chopper and Low Drift Amplifiers, Electrometer and Transimpedance
Amplifiers, Charge Amplifiers, Noise in Amplifiers
TOTAL : 45 PERIODS

COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1: Apply the operational and design principles for all the important active analog filter
configurations.
CO3: Design and analyze the switched capacitor circuits
CO2: Gain working knowledge of signal conditioning techniques and the necessary guide
lines in a Mixed signal IC environment.
TEXT BOOKS:
1. Ramson Pallas-Areny, John G. Webster “Sensors and Signal Conditioning” , A
wiley Inter sciencePublication, John Wiley & Sons INC,2001.
2. R.Jacob Baker, ”CMOS Mixed-Signal Circuit Design”, John Wiley & Sons,
2008.
3. Schauman, Xiao and Van Valkenburg, “Design of Analog Filters”, Oxford
University Press, 2009.

REFERENCES:
1. Design with Operational Amplifiers and Analog Integrated Circuits, 3rd Edition;
Sergio Franco; Tata Mcgraw Hill Education Pvt. Ltd.; 2002
2. Analog integrated circuit applications; J. Michael Jacob; Prentice Hall, 2000

ARTICULATION MATRIX:

P P P P P P P P P PO PO PO
O1 O2 O3 O4 O5 O6 O7 O8 O9 10 11 12
CO1 3 3 3 1 1
CO2 3 3 3 1 1
CO3 3 3 3 1 1
CO4 3 3 3 1 1
CO5 3 3 3 1 1
Avera 3 3 3 1 1
ge
VL23009 LOW POWER VLSI DESIGN TECHNIQUES LTPC
3 003
OBJECTIVES:
• Identify the power reduction techniques based on technology
independent and technology dependent methods
• Identify suitable techniques to reduce the power dissipation.
• Estimate Power dissipation of various MOS logic circuits.
• Develop algorithms for low power dissipation.
UNIT I POWER DISSIPATION IN CMOS 9
Hierarchy of limits of power – Sources of power consumption – Physics of power
dissipation in MOS devices – Basic principle of low power design.
UNIT II POWER OPTIMIZATION 9
Logic level power optimization – Circuit level low power design – Gate level low
power design –Architecture level low power design – VLSI subsystem design of
low power adders and multipliers.
UNIT III DESIGN OF LOW POWER CIRCUITS 9
Computer arithmetic techniques for low power system – reducing power
consumption in combinational logic, sequential logic, memories – low power clock
UNIT IV POWER ESTIMATION 9
Power Estimation techniques, circuit level, gate level, architecture level,
behavioral level, – logic power estimation – Simulation power analysis –
Probabilistic power analysis.
UNIT V SYNTHESIS AND SOFTWARE DESIGN FOR LOW POWER 9
Synthesis for low power – Behavioral level transform –Algorithms for low power
– software design for low power.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
CO1: Ability to find the power dissipation of MOS circuits
CO2: Ability to Design and analyse various MOS logic circuits
CO3: Ability to Apply low power techniques for low power dissipation
CO4: Able to estimate the power dissipation of ICs
CO5: Ability to develop algorithms to reduce power dissipation by software.

TEXT BOOKS:
1. Kaushik Roy and S.C.Prasad, “Low power CMOS VLSI circuit design”, Wiley,
January 2009.
2. J.B.Kulo and J.H Lou, “Low voltage CMOS VLSI Circuits”, Wiley 1999.
3. A.P.Chandrasekaran and R.W.Broadersen, “Low power digital CMOS design”,
Kluwer, October 2012.
REFERENCES:
1. Gary Yeap, “Practical low power digital VLSI design”, Kluwer, October 2012.
2. Abdelatif Belaouar, Mohamed.I.Elmasry, “Low power digital VLSI design”, Kluwer,
September 2012.
3. James B.Kulo, Shih-Chia Lin, “Low voltage SOI CMOS VLSI devices and
Circuits”, JohnWiley and sons,inc. 2001.
4. J.Rabaey, “Low Power Design Essentials (Integrated Circuits and Systems)”,
Springer, 2009.

Articulation Matrix:
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 1 3 2 1
CO2 3 2 1 3 2 1
CO3 3 2 1 3 2 1
CO4 3 2 1 3 3 1
CO5 3 2 1 3 2 1
Average 3 2 1 3 3 1
VL23010 SIGNAL INTEGRITY FOR HIGH-SPEED DESIGN L T P C
3 0 0 3
UNIT I SIGNAL PROPAGATION ON TRANSMISSION LINES 9

Transmission line equations, wave solution, wave vs. circuits, initial wave, delay time, Characteristic
impedance , wave propagation, reflection, and bounce diagrams Reactive terminations – L, C , static field
maps of micro strip and strip line cross-sections, per unit length parameters, PCB layer stackups and
layer/Cu thicknesses, cross-sectional analysis tools, Zo and Td equations for microstrip and stripline
Reflection and terminations for logic gates, fan-out,logic switching , input impedance into a transmission-
line section, reflection coefficient, skin- effect, dispersion.

UNIT II MULTI-CONDUCTOR TRANSMISSION LINES AND CROSS-TALK 9

Multi-conductor transmission-lines, coupling physics, per unit length parameters ,Near and far- end cross-
talk, minimizing cross-talk (stripline and microstrip) Differential signalling, termination, balanced circuits
,S-parameters, Lossy and Lossless models.

UNIT III NON-IDEAL EFFECTS 9

Non-ideal signal return paths – gaps, BGA fields, via transitions , Parasitic inductance and capacitance ,
Transmission line losses – Rs, tanδ , routing parasitic, Common-mode current, differential-mode current
, Connectors.

UNIT IV POWER CONSIDERATIONS AND SYSTEM DESIGN 9

SSN/SSO , DC power bus design , layer stack up, SMT decoupling ,, Logic families, power consumption,
and system power delivery , Logic families and speed Package types and parasitic ,SPICE, IBIS models
,Bit streams, PRBS and filtering functions of link-path components , Eye diagrams , jitter , inter-symbol
interference Bit-error rate ,Timing analysis.

UNIT V CLOCK DISTRIBUTION AND CLOCK OSCILLATORS 9

Timing margin, Clock slew, low impedance drivers, terminations, Delay Adjustments, canceling parasitic
capacitance, Clock jitter.

TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1: Ability to identify sources affecting the speed of digital circuits.
CO2: Ability to identify methods to improve the signal transmission characteristics
CO3: Ability to analyze non-ideal effects
CO4: Ability to analyze system power dissipation
CO5: Ability to analyze clocking strategies
TEXT BOOKS:
1. H. W. Johnson and M. Graham, High-Speed Digital Design: A Handbook of Black Magic,
Prentice Hall, January 2003.
2. Douglas Brooks, Signal Integrity Issues and Printed Circuit Board Design, Prentice HallPTR ,
November 2012.
REFERENCES:
1. S. Hall, G. Hall, and J. McCall, High-Speed Digital System Design: A Handbook of
Interconnect Theory and Design Practices, Wiley-Interscience, January 2014.
2. Eric Bogatin, Signal Integrity – Simplified , Prentice Hall PTR, 3rd Edition, June 2018.

ARTICULATION MATRIX:
PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 2 3 1
CO2 2 3 1
CO3 2 3 1
CO4 2 3 1
CO5 2 3 1
Averag 2 3 1
e
VL23011 MICROCONTROLLER BASED SYSTEM DESIGN LTPC
2023

OBJECTIVES:
• To teach the architecture of PIC Microcontroller and RISC processor.
• To compare the architecture and programming of 8,16, 32 bit RISC processor.
• To teach the implementation of DSP in ARM processor.
• To discuss on memory management, application development in RISC processor.
• To involve Discussions/ Practice/Exercise onto revising & familiarizing the concepts
acquired over 5 Units of the subject for improved employability skills.
UNIT I PIC MICROCONTROLLER 6L

Architecture – memory organization – addressing modes – instruction set – PIC programming in


Assembly & C –I/O port, Data Conversion, RAM & ROM Allocation, Timer programming, practice in MP-
LAB.

UNIT II ARM ARCHITECTURE 6L

Architecture – memory organization – addressing modes –The ARM Programmer’s model -Registers –
Pipeline - Interrupts – Coprocessors – Interrupt Structure

UNIT III PERIPHERALS OF PIC AND ARM MICROCONTROLLER 6L,18P

PIC: ADC, DAC and Sensor Interfacing –Flash and EEPROM memories. ARM: I/O Memory – EEPROM
– I/O Ports – SRAM –Timer –UART - Serial Communication with PC – ADC/DAC Interfacing.
PRACTICALS:
1. Interfacing LED, LCD, Switch and 4x4 Keypad
2. Buzzer and Relay control
3. Writing to LED Array
4. Stepper and DC motor control
5. Temperature sensor interface and monitoring
UNIT IV ARM MICROCONTROLLER PROGRAMMING 6L

ARM general Instruction set – Thumb instruction set –Introduction to DSP on ARM – Implementation
example of Filters

UNIT V DESIGN WITH PIC AND ARM MICROCONTROLLERS 6L,12P

PIC implementation - Generation of Gate signals for converters and Inverters - Motor Control – Controlling
DC/ AC appliances – Measurement of frequency - Stand alone Data Acquisition System –ARM
Implementation- Simple ASM/C programs- Loops –Look up table- Block copy- subroutines-Hamming
Code.
PRACTICALS:
1. Real Time Clock
2. PWM generator
3. PID controller
TOTAL: 60 PERIODS
COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1: Understand the basics and requirement of processor functional blocks.
CO2: Observe the specialty of RISC processor Architecture.
CO3: Incorporate I/O hardware interface of a processor-based automation for consumer
application with peripherals.
CO4: Incorporate I/O software interface of a processor with peripherals.
CO5: Improved Employability and entrepreneurship capacity due to knowledge up gradation on
recent trends in commercial embedded processors

TEXT BOOKS:
1. Steve Furber, ‘ARM system on chip architecture’, Addision Wesley,2010.
2. Andrew N. Sloss, Dominic Symes, Chris Wright, John Rayfield ‘ARM System Developer’s Guide
Designing and Optimizing System Software’, Elsevier 2007.
3. Muhammad Ali Mazidi, Rolin D. Mckinlay, Danny Causey ‘PIC Microcontroller
and Embedded Systems using Assembly and C for PIC18’, Pearson Education 2008.
4. John Iovine, ‘PIC Microcontroller Project Book’, McGraw Hill 2000
5. William Hohl, ‘ ARMAssebly Language’ Fundamentals and Techniques,2009.

REFERENCES:
1. Rajkamal,”Microcontrollers Architecture, Programming, Interfacing, & System Design,
Pearson,2012
2. ARM Architecture Reference Manual, LPC213x User Manual
3. www.Nuvoton .com/websites on Advanced ARM Cortex Processors

Articulation Matrix:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 1 2 1 2 1 1 1 1
CO2 2 2 1 2 1 1 1 1
CO3 2 2 1 2 1 1 1 1
CO4 2 2 1 3 1 1 1 1
CO5 2 2 1 2 1 1 1 1
Average 2 2 1 3 1 1 1 1
VL23012 EMBEDDED ARTIFICIAL INTELLIGENCE LTPC
300 3
COURSE OBJECTIVES:
• Understand the fundamentals of embedded systems and their constraints.
• Learn AI techniques applicable to embedded systems, such as machine learning models
optimized for low-power consumption and real-time performance.
• Gain proficiency in programming embedded devices using AI frameworks and tools.
• Explore case studies and applications of embedded AI in various domains (e.g., IOT, robotics,
automotive, healthcare).
• Develop skills in deploying, testing, and optimizing embedded AI solutions.

UNIT I INTRODUCTION TO EMBEDDED AI 9


Definition and Importance of Embedded AI, Overview of Edge Computing in Embedded AI, Real-world
applications of AI in embedded systems, AI at the Edge vs. Cloud Computing, Introduction to common
embedded hardware platforms used for AI applications, such as microcontrollers, system-on-chip (SoC)
boards (e.g., Raspberry Pi, NVIDIA Jetson), and FPGA-based solutions & its comparisons, Selection
criteria for hardware platforms based on specific application requirements, GPUs, TPUs, and other
accelerators for inference tasks
UNIT II FUNDAMENTALS OF MACHINE LEARNING FOR EMBEDDED AI 9
Definition and Importance of Machine Learning; Types of Machine Learning - Supervised, Unsupervised,
Reinforcement Learning; Machine Learning Workflow - Data pre-processing, Model Training, Evaluation,
Deployment; Machine Learning Algorithms for Embedded Systems – Regression, Classification,
Clustering, Dimensionality Reduction ; Algorithm Selection Criteria - Suitability for Embedded Systems:
Computational Complexity, Memory Requirements; Performance Metrics: Accuracy, Speed, Resource
Efficiency
UNIT III FUNDAMENTALS OF DEEP LEARNING 9
Neural Networks: Structure and Functionality, Convolutional Neural Networks (CNNs) for Image and
Signal Processing, Recurrent Neural Networks (RNNs) for Sequential Data, Training and Optimization –
Back propagation and Gradient Descent, Hyper parameter Tuning, Regularization Techniques.
UNIT IV PRACTICAL CONSIDERATIONS FOR EMBEDDED AI 9
Embedded System Constraints - Memory, Power Consumption, Size Constraints, Real-time Processing
Requirements, Low Latency Inference; Deployment of ML Models on Embedded Platforms - Frameworks
and Tools for Deployment, Optimization Techniques: Quantization, Pruning, Compression, Techniques
for optimizing AI algorithms for performance and power efficiency
UNIT V EMERGING TECHNOLOGIES, CASE STUDIES IN APPLICATION-ORIENTED
EMBEDDED AI 9
Emerging Technologies: Quantum Computing and Neuromorphic Computing; Case Studies -
Autonomous Vehicles, AI-driven Control Systems, Robotics and Drones, Industrial IOT and Predictive
Maintenance, Smart Home.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
On successful completion of this course, the student will be able to
CO1: Understand the fundamentals of embedded systems and their constraints.
CO2: Learn AI techniques applicable to embedded systems, such as machine learning models
optimized for low-power consumption and real-time performance.
CO3: Gain proficiency in programming embedded devices using AI frameworks and tools.
CO4: Explore case studies and applications of embedded AI in various domains (e.g., IOT, robotics,
automotive, healthcare).
CO5: Develop skills in deploying, testing, and optimizing embedded AI solutions.

TEXT BOOKS
1. Joseph Yiu, The Definitive Guide to the ARM CORTEX M3/M4, Second Edition, Elsevier, 2010.
2. Andrew N Sloss, Dominic Symes, Chris Wright, ARM System Developers Guide Designing and
Optimising System Software, Elsevier, 2006

REFERENCES
1. Michael J Flynn and Wayne Luk, Computer System Design, System On Chip, Wiley India 2011.

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 2 2 1 1 1 2 2
CO2 2 3 2 2 2 3 2
CO3 3 3 3 3 3 2 2
CO4 3 3 3 3 3 2 2
CO5 3 3 3 3 3 2 2
Average 2.6 2.8 2.4 2.4 2.4 2.2 2
VL23013 INTRODUCTION TO INDUSTRY 4.0 LTPC
3 003
OBJECTIVES:

• Understand Industry 4.0


• Apply IOT and IOT for Industry 4.0
• Understand CPS for Industry 4.0
UNIT I INDUSTRY 4.O 9
Introduction to Industry 4.0 The Various Industrial Revolutions - Digitalization and the Networked
Economy - Drivers, Enablers, Compelling Forces and Challenges for Industry 4.0 - Comparison of
Industry 4.0 Factory and Today's Factory - Trends of Industrial Big Data and Predictive Analytics for Smart
Business Transformation
UNIT II INDUSTRIAL IOT AND INTERNET OF SERVICES 9
Road to Industry 4.0 - Internet of Things (IOT) & Industrial Internet of Things (IIOT) & Internet of Services
- Smart Manufacturing - Smart Devices and Products - Smart Logistics - Smart Cities - Predictive Analytics
UNIT III INDUSTRY 4.0 SYSTEM AND TECHNOLOGIES 9
System, Technologies for enabling Industry 4.0–Cyber Physical Systems - Robotic Automation and
Collaborative Robots - Support System for Industry 4.0 - Mobile Computing - Cyber Security
UNIT IV DATA AND CLOUD COMPUTING 9
Role of data, information, knowledge and collaboration in future organizations – Resource based view of
a firm - Data as a new resource for organizations - Harnessing and sharing knowledge in organizations -
Cloud Computing Basics -Cloud Computing and Industry 4.0
UNIT V IIOT CASE STUDIES 9
Industry 4.0 IIOT case studies - Opportunities and Challenges - Future of Works and Skills for Workers
in the Industry 4.0 Era - Strategies for competing in an Industry 4.0 world – Society 5.0
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1: Use Industry 4.0 for Industrial Applications
CO2: Use IOT and iIOT for Industry 4.0
CO3: Apply smart devices Industrial Applications
CO4: Connect numerous IOT applications with the physical world of humans and real life
problem solving.
CO5: Design and implement IOT applications that manage big data
TEXT BOOKS:
1. Gilchrist, Alasdair. Industry 4.0: the industrial internet of things. Apress, 2016.
2. Bahga, Arshdeep, and Vijay Madisetti. Internet of Things: A hands-on approach. Vpt, 2014.
REFERENCES:
1. Jean-Claude André, ―Industry 4.0‖, Wiley- ISTE, July 2019, ISBN: 781786304827,2019.
2. Diego Galar Pascual, Pasquale Daponte, Uday Kumar, ―Handbook of Industry 4.0 and SMART
Systems‖ Taylor and Francis,2020

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 2 2 1 2 1 1 1 2
CO2 3 2 2 2 1 2 1 1 1 2
CO3 3 2 2 2 2 2 1 1 1 2
CO4 3 2 3 2 3 2 1 1 1 2
CO5 3 3 3 3 3 3 1 1 1 1
Averag 3 2.2 2.4 2.2 2 2.2 1 1 1 1.8
e 5
VL23014 IOT PROCESSORS LTPC
300 3
COURSE OBJECTIVES:
• Learn the architecture and features of ARM.
• Study the exception handling and interrupts in CORTEX M3
• Program the CORTEX M3
• Learn the architecture of STM 32L15XXX ARM CORTEX M3/M4 microcontroller.
• Understand the concepts of System – On – Chip(SoC)

UNIT I OVERVIEW OF ARM AND CORTEX-M3 9


ARM Architecture – Versions, Instruction Set Development, Thumb 2 and Instruction Set
Architecture, Cortex M3 Basics: Registers, Stack Pointer, Link Register, Program Counter,
Special Registers, Operation Mode, Exceptions and Interrupts, Vector Tables, Stack Memory
Operations, Reset Sequence , CORTEX M3 Instruction Sets: Assembly Basics, Instruction
List, Instruction Descriptions, CORTEX M3 – Implementation Overview: Pipeline, Block
Diagram. Bus Interfaces, I – Code Bus, D – Code Bus, System Bus- External PPB and DAP
Bus.
UNIT II CORTEX EXCEPTION HANDLING AND INTERRUPTS 9
Exception Types, Priority, Vector Tables, Interrupt Inputs and Pending behaviour, Fault
Exceptions, Supervisor Call and Pendable Service Call, NVIC: Nested Vector Interrupt
Controller, Overview, Basic Interrupts, SYSTICK Time, Interrupt Behaviourm
Interrupt/Exception Sequences, Exception Exits, Nested Interrupts, Tail – Chaining Interrupts,
Late Arrivals and Interrupt Latency.

UNIT III CORTEX M3/M4 PROGRAMMING 9


Cortex M3/M4 Programming: Overview, Typical Development Flow, Using C, CMSIS Using
Assembly, Excepiton Programming Using Interrupts, Exception/Interrupt Handlers, Software
Interrupts, Vector Table Relocation, Memory Protection Unit and other CORTEX M3 Features,
MPU Registers, Setting up the MPU, Power Management, Multiprocessor Configuration.

UNIT IV STM32L15XXX ARMCORTEX M3/M4 MICROCONTROLLER AND


DEBUGGING TOOLS 9
STM32L15XXX ARM CORTEX M3/M4 Microcontroller: Memory and Bus Architecture, Power
Control, Reset and Clock Control, STM32L15XXX Peripherals: GPIOs, System Configuration
Controller, NVIC, ADC, Comparators, GP Timers, USART Development and Debugging
Tools: Software and Hardware tools like Cross Assemblerm Compiler, Debugger, Simulator,
In – Circuit Emulator(ICE), Logic Analyser.
UNIT V INTRODUCTION TO SYSTEM – ON – CHIP 9
System Architecture: An Overview, Components of the System Processors, Memories and
Interconnects, Processor Architectures, Memory and Addressing, System Level
Interconnection – An Approach for SOC Design – Chip basics – Cycle Time – Die Area –
Power and Cost – Area, Power and Time Trade – Offs in Processor Design – Reliability and
Configurability – SOC Design Approach – Application Studies – AES, 3D Graphics Processor.
Image Compression and Video Compression.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
On successful completion of this course, the student will be able to
CO1: Explain the architecture and features of ARM.
CO2: List the concepts of exception handling.
CO3: Write a program using ARM CORTEX M3/M4.
CO4: Learn the architecture of STM32L15XXX ARM CORTEX M3/M4.
CO5: Design an SoC for any application.

TEXT BOOKS

1. Joseph Yiu, The Definitive Guide to the ARM CORTEX M3/M4, Second Edition,
Elsevier, 2010.(Unit – I, II)
1. Andrew N Sloss, Dominic Symes, Chris Wright, ARM System Developers Guide
Designing and Optimising System Software, Elsevier, 2006 (Unit – III, IV)
2. Michael J Flynn and Wayne Luk, Computer System Design, System On Chip, Wiley
India 2011.(Unit – V)

REFERENCES
1. Steve Furber, ARM System – on – Chip Architecture, 2nd Edition, Pearson, 2015.
CORTEX M Series ARM Reference Manual
2. CORTEX M3 Technical Reference Manual
3. STM32L152XX ARM CORTEX M3 Microcontroller Reference Manual 5/97

CO’s-PO’s MAPPING

C PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


O 1 2 3 4 5 6 7 8 9 0 1 2
1 3 3 3 2 2 2 - - - - - 3
2 3 3 3 3 2 2 - - - - - 2
3 3 3 3 3 2 2 - - - - - 2
4 3 3 2 2 2 2 - - - - - 2
5 3 3 2 2 2 1 - - - - - 3
C 3 3 2.6 2.4 2 1.8 - - - - - 2.4
O
VL23015 DATA ANALYTICS FOR IOT L T P C
3 0 0 3
COURSE OBJECTIVES
• To learn the concepts of big data analytics.
• To get exposure on IOT cloud analytics environment.
• To be familiar with general strategies on IOT analytics.
• To get exposure on social impact of multimedia.
• To identify applications that makes use of multimedia Big Data and IOT.

UNIT I INTRODUCTION TO TECHNOLOGICAL DEVELOPMENTS 9

Defining IOT Analytics and Challenges- Defining IOT analytics, IOT analytics challenges, Business
value concerns, IOT Devices and Networking Protocols- IOT devices, Networking basics, IOT
networking connectivity protocols, Analyzing data, IOT Analytics for the Cloud- Building elastic
analytics, Designing for scale, Cloud security and analytics, The AWS, Microsoft Azure, The
ThingWorx overview

UNIT II CLOUD ANALYTICS ENVIRONMENT 9

The AWS Cloud Formation, The AWS Virtual Private Cloud (VPC), terminate and clean up the
Environment, data processing for analytics, big data technology to storage, Apache Spark for data
processing, Handling change, Exploring and visualizing data, Techniques to understand data quality
Techniques to understand data quality, R and RStudio.

UNIT III GENERAL STRATEGIES ON EXTRACTING VALUE FROM DATASETS 9

Decorating Your Data, Communicating with Others Visualization and Dashboarding, Applying
Geospatial Analytics to IOT Data, Data Science for IOT Analytics- Machine learning (ML), deep
learning

UNIT IV SOCIETAL IMPACT OF MULTIMEDIA BIG DATA 9

Multimedia Social Big Data Mining, Process Model, SWOT Analysis, Techniques for Social Big Data
Analytics, Advertisement Prediction, MMBD Sharing on Data Analytics Platform , Legal/Regulatory
Issues.

UNIT V APPLICATION ENVIRONMENTS 9

Big Data Computing for IOT Applications-Precision Agriculture, Machine Learning in Improving
Learning Environment, Network-Based Applications of Multimedia Big Data Computing, Recent
Trends in IOT-Based Analytics and Big Data, Future Directions and Challenges of Internet of Things.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Describe big data and IOT. L2
CO2: Define cloud based IOT analytic environment. L1
CO3: Apply various Big data strategies. L3
CO4: Analyse social impact of multimedia big data. L4
CO5: Design smart IOT systems with big data. L5

TEXT BOOKS:

1. Andrew Minteer, “Analytics for the Internet of Things (IOT): Intelligent analytics for your
intelligent devices”, Packt Publishing, first edition, July 2017.
2. Sudeep Tanwar, Sudhanshu Tyagi, Neeraj Kumar, “Multimedia Big Data Computing for
IOT Applications:Concepts, Paradigms and Solutions”, Springer, 2020.

REFERENCES:
1.
John Soldatos, “Building Blocks for IOT Analytics”, River Publishers Series In Signal,
Image and Speech Processing, 2017.
2. Nilanjan Dey, Aboul Ella Hassanien, Chintan Bhatt, Amira S. Ashour, Suresh Chandra
Satapathy, “Internet of Things and Big Data Analytics Toward Next-Generation
Intelligence”, Springer International Publishing, 2018.
3. Stackowiak, R., Licht, A., Mantha, V., Nagode, L.,” Big Data and The Internet of Things
Enterprise Information Architecture for A New Age”, Apress, 2015.
4. John Soldatos, “Building Blocks for IOT Analytics”, River Publishers Series In Signal,
Image and Speech Processing, 2017.
5. Nilanjan Dey, Aboul Ella Hassanien, Chintan Bhatt, Amira S. Ashour, Suresh Chandra
Satapathy, “Internet of Things and Big Data Analytics Toward Next-Generation
Intelligence”, Springer International Publishing, 2018.

ARTICULATION MATRIX:

P PO2 PO PO PO PO PO PO PO PO1 PO1 PO1


O1 3 4 5 6 7 8 9 0 1 2
CO1 2 2 2 2 1 1 1 1 1 1 1 1
CO2 1 1 1 1 1 1 1 1 1 1 1 1
CO3 3 2 2 2 1 1 1 1 1 1 1 1
CO4 3 3 3 2 1 1 1 1 1 1 1 1
CO5 3 3 3 3 1 1 1 1 1 1 1 1
Avg 2.4 2.2 2.2 2 1 1 1 1 `1 1 1 1
VL23016 SENSOR FOR IOT APPLICATION L T P C
3 0 0 3
COURSE OBJECTIVES:
• To introduce the basics of technology and its applications.
• To understand the concept of M2M (machine to machine) interfacing with necessary
protocols
• To develop the Python Scripting Language for IOT devices
• To familiarize with the Raspberry PI platform based IOT applications.
• To provide the knowledge on web based services using IOT devices.

UNIT - I INTRODUCTION TO INTERNET OF THINGS 9


Definition and Characteristics of IOT, Sensors, Actuators, Physical Design of IOT – IOT
Protocols, Logical design of IOT – IOT communication models, IOT Communication APIs, IOT
enabled Technologies – Wireless Sensor Networks, Cloud Computing, Embedded Systems,
IOT Levels and Templates, Domain Specific IOTs – Home Automation, City, Environment,
Energy, Agriculture, Industry and Health & Life style.

UNIT -II IOT and M2M 9


Introduction, M2M, Software defined networks, network function virtualization, difference
between SDN and NFV for IOT, IOT System Management with NETCONF - YANG –Need for
IOT System Management, SNMP, NETCONF, YANG, NETOPEER.

UNIT - III IOT SYSTEMS AND IOT PHYSICAL DEVICES & ENDPOINTS 9
Introduction to python, Introduction to Arduino and Raspberry Pi- Installation, Interfaces
(serial, SPI, I2C), Programming – Python program with Raspberry PI with focus on interfacing
external gadgets, controlling output, and reading input from pins.

UNIT - IV PREPARING OUR IOT PROJECTS AND HARDWARE DESIGN 9


Creating the sensor project, Creating the actuator project, Connecting LED, Buzzer, Switching
High Power devices with transistors, Light sensor, temperature sensor with thermistor, voltage
sensor, ADC and DAC, Temperature and Humidity Sensor DHT11, Motion Detection Sensors,
Wireless Bluetooth Sensors, Level Sensors, USB Sensors, Embedded Sensors, Distance
Measurement with ultrasound sensor.

UNIT - V IOT PHYSICAL SERVERS AND CLOUD OFFERINGS 9


Introduction to Cloud Storage models and communication APIs Webserver – Web server for
IOT, Cloud for IOT, Python web application framework Designing a RESTful web API, Amazon
Web service for IOT
TOTAL: 45 PERIODS
COURSE OUTCOMES:
Students able to
CO1 Relate IOT application areas and technologies involved. L1
CO2 Explain IOT sensors and technological challenges. L5
CO3 Apply Python program with Raspberry PI on IOT devices. L3
CO4 Analyze Market forecast for IOT devices L4
CO5 Design Internet of Things based projects using Raspberry Pi. L5

TEXT BOOKS:
1. Peter Waher, 'Learning Internet of Things', Packt Publishing, 2015.
2. Internet of Things - A Hands-on Approach, Arshdeep Bahga and Vijay Madisetti,
Universities Press, 2015.
REFERENCES:
1. Getting Started with Raspberry Pi, Matt Richardson & Shawn Wallace, O'Reilly
(SPD), 2014.
2. Raspberry Pi Cookbook, Software and Hardware Problems and solutions, Simon
Monk, O'Reilly (SPD), 2016.

MAPPING OF COs WITH POs AND PSOs

CO’s PO’s
1 2 3 4 5 6 7 8 9 10 11 12
1-L1 1 1 1 1 1 1 1 1 1 1 1 1
2-L5 3 3 3 3 1 1 1 1 1 1 1 1
3-L3 3 2 2 2 1 1 1 1 1 1 1 1
4-L4 3 3 3 2 1 1 1 1 1 1 1 1
5-L5 3 3 3 3 1 1 1 1 1 1 1 1
VL23017 IOT FOR SMART AGRICULTURE L T P C
3 0 0 3
COURSE OBJECTIVES:
• To understand soil science and sensors used
• To study about functions of actuators for automation and control.
• To explain the role of telemetry system in agriculture
• To impart knowledge on plant heath
• To learn various technologies used in smart farming system.

UNIT I INTRODUCTION TO SOIL SCIENCE AND SENSORS 9

Soil Science: Nature and origin of soil; soil minerals, classification and composition, soil reaction, soil
properties including structure, pH, surface tension and soil nutrient.
Sensors: Classification and characteristics, Smart sensors, Colorimetry based detection, MEMS
Electrochemical Sensors, Dielectric Soil Moisture Sensors, Weather Sensors, Proximity Sensors,
Electromagnetic Sensors, Optical Sensors, Mechanical Sensors, Airflow Sensors, Acoustic Sensors,
Signal conditioning and converters.

UNIT II ACTUATORS FOR AUTOMATION 9

A.C.-D.C. Motors, Stepper motor, Solenoid actuators, Piezoelectric motors, Electric drives, Hydraulic
and Pneumatic actuators. IOT based Automated Irrigation System-IOT based Smart Irrigation.

UNIT III TELEMETRY 9

Wireless communication modules and topology, Zig-bee, Bluetooth, LORA, RFID, Zero power
devices, Energy Harvesting technology.

UNIT IV PLANT HEALTH MONITORING 9

Measurement of leaf health, chlorophyll detection, ripeness level, crop mapping, fertilizing, Drone
technology for soil field analysis and assistive operations.

UNIT V TECHNOLOGIES FOR FARMING 9

Water quality monitoring, micro-irrigation system, solar pump and lighting system, Fencing, Android
based automation, Agricultural Robots, Climate Conditions, Precise Farming(livestock monitoring,
vehicle tracking, field observation and inventory monitoring), Smart Greenhouses, Agricultural
Drones, Automatic watering system.
TOTAL : 45 PERIODS

COURSE OUTCOMES:
Students able to
CO1: Express nature of soil science and the various sensors used. L2
CO2: Explain Sensors and actuators used for farming tools. L2
CO3: Analyse sensor data acquisition and telemetry system. L4
CO4: Understand plant anatomy and health monitoring system. L2
CO5: Design Advanced technologies for smart farming. L5

TEXT BOOKS:
1. Measurement Systems; Application and Design: Doeblin, D.O. McGraw Hill,
1984.
2. The nature and properties of Soils: Eurasia Publishing House Pvt Ltd, New
Delhi Brady, Nyle C. (1988).
3. Agricultural Internet of Things and Decision Support for Precision Smart
Farming 1st Edition: Annamaria Castrignano, Gabriele Buttafuoco, Raj Khosla,
Abdul Mouazen, Dimitrios Moshou, Olivier Naud. Academic Press; 1st edition
(January 28, 2020)
4. Cloud IOT Systems for Smart Agricultural Engineering: Saravanan Krishnan, J
Bruce Ralphin Rose, N R Rajalakshmi, Narayanan Prasanth. Published
February 14, 2022 by Chapman and Hall/CRC

REFERENCES:
1. Photo-voltaic energy systems: Design and Installation: Buresch, Mathew. 1983.
McGraw- Hill Book Company, New York.
2. Brian Wahlin and Darell Zimbelman, Canal Automation for Irrigation Systems,
American Society of Civil Engineers, 2014
3. Darell D.Zimbelman, Planning, Operation, Rehabilitation and Automation of
Irrigation water delivery system, American Society of Agricultural Engineers,1987
4. Davcev, D., Mitreski, K., Trajkovic, S., Nikolovski, V., & Koteli, N. (2018, June).
I O T agriculture system based on LoRaWAN. In 2018.
5. Farooq, M. S., Riaz, S., Abid, A., Abid, K., & Naeem, M. A.. A Survey on the Role of
IOT in Agriculture for the Implementation of Smart Farming, 2019
6. Balaceanu, C. M., Marcu, I., & Suciu, G.. Telemetry system for smart agriculture, 2019.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO PO PO


10 11 12
CO1 2 2 2 1 1 1 1 1 1 1 1 1
CO2 2 2 2 2 1 1 1 1 1 1 1 1
CO3 3 3 3 2 1 1 1 1 1 1 1 1
CO4 2 2 2 2 1 1 1 1 1 1 1 1
CO5 3 3 3 3 1 1 1 1 1 1 1 1
Average 2.4 2.4 2.4 2 1 1 1 1 `1 1 1 1
VL23018 IOT AND EDGE COMPUTING L T P C
3 0 0 3
COURSE OBJECTIVES:
• To discuss the fundamental concepts of IOT and Edge computing
• To examine the concept of communication and information theory.
• To understand edge routing and networking layers.
• To describe the fog topologies in IOT
• To Discuss the security issues of protocols in IOT
UNIT I IOT AND EDGE COMPUTING 9

IOT- History, definition, IOT Architecture and Core IOT Modules- Sensing devices, High
performance IOT endpoints, Energy sources and power management.

UNIT II COMMUNICATIONS AND INFORMATION THEORY 9

Communication theory, Information Theory, The radio spectrum, Non-IP Based WPAN, IP-
Based WPAN and WLAN, Long-Range Communication Systems and Edge to Cloud Protocols.

UNIT III EDGE COMPUTING 9

Edge purpose and definition, Edge hardware architectures, Operating systems, Edge
platforms Edge Routing and Networking, Edge to Cloud Protocols.

UNIT IV CLOUD AND FOG TOPOLOGIES 9

Cloud services model, Public, private, and hybrid cloud, Constraints of cloud architectures for
IOT, Fog computing- Open Fog reference architecture, Fog topologies, Data Analytics and
Machine Learning- Basic data analytics, Machine learning- Convolutional neural networks,
Recurrent neural networks, IOT data analytics and machine learning comparison

UNIT V IOT AND EDGE SECURITY 9

Cybersecurity- Attack and threat terms, definitions of different cyber defense mechanisms and
technologies, Anatomy of IOT cyber-attacks, Physical and hardware security, Cryptography,
Blockchain and cryptocurrencies in IOT, Consortiums and Communities
TOTAL : 45 PERIODS

COURSE OUTCOMES:
Students able to
CO1:Identify the evolving IOT Standards. L1
CO2:Explain the functions of communication and information theory in IOT. L2
CO3:Practice the concept of edge computing protocols. L3
CO4:Analyze the purpose of machine learning in IOT. L4
CO5:Construct hardware security for IOT applications. L5
TEXT BOOKS:
1. Perry Lea, IOT and Edge Computing for Architects Implementing edge and IOT
systems from sensors to clouds with communication systems, analytics, and security,
2nd Edition, Packt Publishing, 2020.
2. Geng, Hwaiyu. "Internet of Things and Data Analytics in the Cloud with Innovation
and Sustainability." The Internet of Things & Data Analytics Handbook, 2017.

REFERENCES:
1.K. Anitha Kumari, G. Sudha Sadasivam, D. Dharani, M. Niranjanamurthy, Edge Computing
Fundamentals, Advances and Applications,CRC Press, 2021.
2.Rajkumar Buyya, Satish Narayana Srirama , Fog and Edge Computing: Principles and Paradigms ,
wiley publication, 2019
3.David Jensen, “Beginning Azure IOT Edge Computing: Extending the Cloud to the Intelligent Edge,
MICROSOFT AZURE.
4.Li, H., Ota, K., & Dong, M. Learning IOT in edge: Deep learning for the Internet of Things with edge
computing, 2018.
5. Singh, J., Bello, Y., Hussein, A. R., Erbad, A., & Mohamed, A. Hierarchical security paradigm for
IOT multiaccess edge computing, 2020.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO PO PO


10 11 12
CO1 1 1 1
CO2 2 2 2 1 1 1 2
CO3 2 2 2 1 1 1 2
CO4 3 3 3 1 1 1 3
CO5 3 3 3 1 1 1 3
Average 2.5 2.5 2.5 1 1 1 2.5
VL23019 IOT SECURITY L T P C
3 0 0 3
COURSE OBJECTIVES:
• To understand the security requirements in IOT.
• To learn the fundamentals of cryptographic in IOT
• To understand the working of embedded devices in the IOT
• To familiarize with IOT protocols in security
• To realize security issues for various applications using case studies

UNIT I INTRODUCTION 9

Introduction to IOT Security – Vulnerabilities, Attacks and Countermeasures. Information


Assurance. Attack types. New security threats and vulnerabilities. Fault Trees and CPS. Threat
Modeling. Attack, Defense, and Network Robustness of Internet of Things, A Solution-Based
Analysis of Attack Vectors on Smart Home Systems.

UNIT II SECURITY MANAGEMENT & CRYPTOLOGY 9

Building security in to design and development, Safety and security design, Security
Management & Cryptology- Security Controls - Authentication, Confidentiality, Integrity; Access
Control, Key Management, Communication and messaging Protocols, Cipher – Symmetric Key
Algorithms, Public Private Key Cryptography; Attacks – Dictionary and Brute Force, Lookup Tables,
Reverse Look Tables, Rainbow Tables, Hashing – MDS, SHA256. SHA 512, Ripe MD, WI, Data
Mining.

UNIT III EMBEDDED DEVICES 9

Attack Surface and Threat Assessment – Embedded Devices – UART, SPI, I2C, JTAG,
Attacks– Software and cloud components, Firmware devices, Web and Mobile Applications.

UNIT IV IOT PROTOCOLS 9

IOT Protocol Built-in Security Features – Transport Layer, COAP, UDP, TCP, MQTT, SSL/TLS,
DTLS, LIGHT WEIGHT M2M, XMPP, Zigbee, LoRa, BLE, Kerberos, Cloud security for IOT.

UNIT V IOT APPLICATIONS 9

Case Studies and Discussion: Smart Agriculture, Cities, Grid, Healthcare, Smart Homes, smart street
lighting, Smart building, Smart parking, smart irrigation, Supply Chain, and Transportation,
Application of Security Concepts to Create IOT system.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
Students able to
CO1: Define the security requirements in IOT Architecture.L1
CO2: Explain the different cryptographic techniques in IOT Security. L5
CO3: Classify various embedded devices related to IOT. L2
CO4: Analyze IOT protocols. L4
CO5: Interpret IOT applications in several fields. L3
TEXT BOOKS:
1. Brian Russell, Drew Van Duren, “Practical Internet of Things Security”, Packt Publishing
Limited, 2nd Edition,2018.
2. Fei Hu, “Security and Privacy in Internet of Things (IOTs): Models, Algorithms, and
Implementations,” CRC Press (Taylor & Francis Group), 2016, ISBN:978-1-4987- 23190.
3. Sunil Cheruvu, Anil Kumar, Ned Smith, David M. Wheeler, “Demystifying Internet of Things
Security”, 2020.

REFERENCES:
1. Shancang Li and Li Da Xu, “Securing the Internet of Things”, Elsevier, 2017.
2. Sridipta Misra, Muthucumaru Maheswaran, Salman Hashmi, “Security Challenges and
Approaches in Internet of Things,” Springer, 2016.
3. Arshdeep Bahga, Vijay Madisetti, “Internet of Things – A Hands-on approach,” VPT
Publishers, 2014, ISBN: 978-0996025515.
4. IOT PROTOCOLS - https://www.avsystem.com/blog/IOT-protocols-and-standards/
5. IOT APPLICATIONS - https://www.jigsawacademy.com/top-uses-of-IOT/

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 1 1 1 1 1 1 1 1 1 1 1 1
CO2 3 3 3 3 1 1 1 1 1 1 1 1
CO3 2 2 2 2 1 1 1 1 1 1 1 1
CO4 3 3 3 2 1 1 1 1 1 1 1 1
CO5 3 2 2 2 1 1 1 1 1 1 1 1
Averag 2.4 2.2 2.2 2 1 1 1 1 `1 1 1 1
e
SOFT COMPUTING AND ITS APPLICATIONS
EC23C18 L T P C
3 0 0 3

UNIT I FUZZY SET THEORY 10

Introduction to Neuro-Fuzzy and Soft Computing - Fuzzy Sets - Basic Definition and Terminology - Set-
theoretic Operations - Member Function Formulation and Parameterization - Fuzzy Rules and Fuzzy
Reasoning - Extension Principle and Fuzzy Relations - Fuzzy If -Then Rules - Fuzzy Reasoning - Fuzzy
Inference Systems - Mamdani Fuzzy Models - Sugeno Fuzzy Models - Tsukamoto Fuzzy Models.

UNIT II OPTIMIZATION 8

Derivative based Optimization - Descent Methods - The Method of Steepest Descent - Classical
Newton‘s Method - Step Size Determination - Derivative free Optimization - Genetic Algorithms -
Simulated Annealing - Random Search - Downhill Simplex Search.

UNIT III ROUGH SETS 9

Rough sets - Rough set theory - Set approximation - Rough membership - Attributes- Dependency of
attributes - Rough equivalence - Reducts - Rough Reducts based on SVM - Hybrid set systems - Fuzzy
rough sets.

UNIT IV HYBRID TECHNIQUES 9

ANN concepts - Adaptive Neuro - Fuzzy Inference Systems - Architecture - Hybrid Learning Algorithm -
Coactive Neuro Fuzzy Modeling - Neuro-Fuzzy Spectrum - Neuro- Fuzzy- GA systems and case studies.

UNIT V APPLICATIONS OF COMPUTATIONAL INTELLIGENCE 9

Neural networks for facial and emotion recognition - Detection of brain disorders - Study of cardiac
conditions - Soft Computing for detection of Chest disorders.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
Upon completion of the course, the student should be able to:
CO1: Work on fuzzy logic and design inference systems
CO2: Apply various derivative and non-derivative optimization schemes
CO3: Understand concepts related to rough sets
CO4: Discuss hybrid soft computing with case studies
CO5: Develop different soft computing frame works for Engineering applications

TEXT BOOKS:
1. J.S.R.Jang, C.T.Sun and E.Mizutani, "Neuro Fuzzy and Soft Computing", Pearson
Education, 2015.
2. N.P.Padhy, "Artificial Intelligence and Intelligent Systems", Oxford University Press, 2005.
REFERENCES:
1. Timothy J.Ross, "Fuzzy Logic with Engineering Applications", McGraw-Hill, 3ed., 2011.
2. Davis E.Goldberg, "Genetic Algorithms: Search, Optimization and Machine Learning,
Addison Wesley, N.Y., 1989.
3. S. Rajasekaran and G.A.V.Pai, "Neural Networks, Fuzzy Logic and Genetic Algorithms",
PHI, 2013.
4. R.Eberhart, P. Simpson and R. Dobbins, "Computational Intelligence - PC Tools", Academic
Press Professional, Boston, 1996.
5. Dr.S.N.Sivanandam and S.N.Deepa, "Principles of Soft Computing", Wiley India, 3rd Edition,
2018.
6. Vladik Kreinovich and Nguyen Hoang Phuong , “Soft Computing for Biomedical Applications
and Related Topics”, Springer, 2021

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 - 3 3 - - 1 1 1
CO2 3 3 - 3 - 1 1 1
CO3 - 3 3 - - 1 1
CO4 3 3 3 3 - 1 1 1
CO5 3 - 3 3 1 1 1 1 1 1
Averag 3 3 3 3 1 1 1 1 1 1
e
EC23C20 BIO-INSPIRED COMPUTING L T P C
3 0 0 3
UNIT I EVOLUTIONARY COMPUTATION & GENETIC ALGORITHM 9

Evolutionary Computation (EC), Features of EC, Genetic Algorithms, Crossover and Mutation Operators,
Selection Mechanism – Fitness Proportionate- Ranking and Tournament selection- Building Block –
Hypothesis and Schema Theorem- Applications.

UNIT II ANT COLONY OPTIMIZATION 9

Ant Colony Optimization - From real to artificial ants, ACO Algorithm, ACO and model based search,
ACO Pheromone Updation and Evaporation, Applications.

UNIT III PARTICLE SWARM OPTIMIZATION 9

Particle Swarm Optimization-Anatomy of a Particle, Velocity and Position Updation, PSO topologies,
Control Parameters, Applications

UNIT IV MULTI-OBJECTIVE OPTIMIZATION 9

Multi-Objective Optimization- Ranking and Diversity, Classical Multi-Objective Optimization Methods,


Non-Dominated Genetic Algorithm, Strength Pareto Evolutionary algorithm, Performance assessment of
Multi-Objective EC Techniques

UNIT V RECENT ADVANCES IN SWARM INTELLIGENCE TECHNIQUES 9

Grey-Wolf Optimization- Crow Search Optimization, Salp Swarm Algorithm, Case Studies on Hybrid
Optimization Methods for Neural Networks Evolution for real-world application.
TOTAL : 45 PERIODS
COURSE OUTCOMES:

CO1: Ability to implement and apply genetic algorithms


CO2: Ability to build and apply ANT colony optimization technique
CO3: Ability to implement and apply particle swarm optimization algorithm
CO4: Ability to implement and apply multi-objective optimization methods
CO5: Ability to apply hybrid optimization in the Neural Network Models for real-world applications

TEXT BOOKS:
1.
David E.Goldberg, “Genetic Algorithms in search, Optimization & Machine Learning”,
Pearson Education,2006
2. Kenneth A De Jong, “Evolutionary Computation A Unified Approach”, Prentice Hall of India,
New Delhi,2006.
3. Xin Xin-She Yang, “Recent Advances in Swarm Intelligence and Evolutionary Computation”,
Springer International Publishing, Switzerland, 2015.
REFERENCES:
1.
Marco Dorigo and Thomas Stutzle, “Ant Colony optimization”, Prentice Hall of India, New
Delhi, 2004
2. N P Padhy, “Artificial Intelligence and Intelligent Systems”,Oxford University Press, 2005.
3. Engel brecht, A.P., “Fundamentals of Computational Swarm Intelligence” ,Wiley,2005.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO PO
1 2 3 4 5 6 7 8 9 0 11 12
CO1 3 2 2 3 1 1 1
CO2 3 3 2 3 1 1 1
CO3 3 3 2 3 1 1 1
CO4 3 3 2 3 1 1 1
CO5 3 3 3 3 1 1 1
Averag 3 2.8 2.2 3 1 1 1
e
VL23020 MACHINE LEARNING IN VLSI SYSTEM DESIGN LTPC
2023
COURSE OBJECTIVES:

• To provide an insight of different machine learning algorithms


• To provide essentials on key hardware building blocks of neural networks
• To develop realization of dedicated VLSI systems for deep neural networks
UNIT I INTRODUCTION TO MACHINE LEARNING ARCHITECTURE 9
Artificial Neural Networks – Artificial Neuron and its mathematical model - Neural network
architecture: single layer and multilayer feed forward networks, Learning Paradigms-
Supervised, Unsupervised and reinforcement Learning, Architecture for Multiply and
Accumulate unit, Special function unit for Sigmoid and ReLu activation functions.
UNIT II SUPERVISED LEARNING AND UNSUPERVISED LEARNING 9
Multilayer Perceptron - Back propagation learning algorithm, Radial-basis function
Networks Kernels and Support vector machines, Unsupervised learning - K Nearest
Neighbors , Self-organizing Feature Maps.
UNIT III VLSI IMPLEMENTATION OF NEURAL NETWORKS 9
Processing element model, PE row, PE array design - Processing element tile design -
Direct,
FFT-based, Winograd-based, Matrix multiplication based convolutional strategies
UNIT IV DEEP NEURAL NETWORKS 9
Convolutional Neural basics: kernels, padding, stride, channels, activation maps - Standard
CNN architectures: LeNet, AlexNet, VGG, Inception, ResNet, GoogleNet, DenseNet;
Performance comparison of different CNN architectures.

UNIT V VLSI ARCHITECTURE FOR DEEP NEURAL NETWORKS 9


VLSI architecture for deep neutral networks, data and instruction flow in 2D systolic array
architecture - Processing optimization in 2D systolic array - Hardware Accelerator.

Total 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to build hardware blocks for neurons model
CO2: Ability to implement machine learning techniques
CO3: Ability to analyze digital implementations of Neural Network
CO4: Ability to implement deep neural networks
CO5: Ability to design energy-efficient machine learning hardware for deep neural network
Models

TEXT BOOKS:
1. Bishop, C. (2006). Pattern Recognition and Machine Learning. Berlin:
Springer-Verlag.
2. Ethem Alpaydin, Introduction to Machine Learning, PHI
3. Jose G. Delgado-Frias, William R. Moore, “VLSI For Artificial Intelligence And Neural
Networks”, Springer Science Business Media, LLC, 2001.
4. Mohamed I. Elmasry, “VLSI Artificial Neural Networks Engineering”, Springer
Science Business Media, LLC, 2000.

REFERENCES
1. Sied Mehdi Fakhraie, Kenneth C. Smith, “VLSI - Compatible Implementations for
Artificial Neural Networks”, Springer Science Business Media, LLC, 1996
2. Elfadel, Ibrahim M., Duane S. Boning, and Xin Li, eds. Machine Learning in VLSI
Computer-Aided Design. Springer, 2019. VLS 5234: Physical D

ARTICULATION MATRIX:
PO PO PO PO PO PO PO PO PO PO1 P P
1 2 3 4 5 6 7 8 9 0 O O
1 1
1 2
CO1 2 3 3 1
CO2 2 3 3 1
CO3 2 3 3 1
CO4 2 3 3
CO5 2 3 3
Avera 2 3 3 0.6
ge
VL23021 NEUROMORPHIC COMPUTING AND DESIGN LTPC
3003
COURSE OBJECTIVES:

• To introduce students to the area of Neuromorphic Engineering, implementations and


bio-Inspired computing systems
• To provide an insight of neuromorphic electronic devices, circuits and system design
• To provide essentials on key hardware building blocks of neuromorphic Systems
• To develop different design and simulation techniques of electronic circuits modelling
biological brain
• To understand commercial neuromorphic systems and processors for practical
• applications
UNIT I INTRODUCTION TO NEUROMORPHIC ENGINEERING 9
Introduction to neuromorphic engineering, Non-von Neumann computing approach, Synaptic
plasticity rules, Signaling and operation of Biological neurons, Neuron models- LIF, IF, HH.
UNIT II SENSORY SYSTEMS AND LEARNING 9
Silicon retina, silicon cochlea, electronic nose, learning in silicon – supervised and
unsupervised learning, Hebbian learning in silicon and cognitive functions in silicon, Hybrid
Neuron-Silicon system - Hodgkin-Huxley Model.
UNIT III NEUROMORPHIC COMPUTING
9
Spiking Neural Networks , Advanced Nanodevices for Neuron Implementation, Synaptic
emulation - non-volatile memory, Flash, RRAM, memristors, CNT, Interconnection Networks
UNIT IV NEUROMORPHIC HARDWARE IMPLEMENTATION 9
Hardware Implementation: Electronic synapses, Hardware Implementation of Neuron circuits,
Hardware Implementation of Synaptic and Learning circuits, and System Design: Analysis of
digital neuromorphic system design, architecture and performance characteristics of
neuromorphic systems.
UNIT V NETWORK DESIGN 9
Network Design, Network design example for visual application, auditory application, full
system level power/energy dissipation considerations
TOTAL 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to learn how electronics circuits mimic biological neurons
CO2: Ability to implement learning techniques and cognitive functions in Silicon
CO3: Ability to build power-saving hardware building blocks for neuromorphic systems
CO4: Ability to design and develop neuromorphic circuits
CO5: Ability to implement commercial neuromorphic system design for various real-world
applications
TEXT BOOKS:

1. Eric Kandel, James Schwartz, Thomas Jessell, Steven Siegelbaum, A.J. Hudspeth,
Principles of neural science, McGraw Hill 2012, ISBN 0071390111
2. Dale Purves, Neuroscience, Sinauer, 2008, ISBN 0878936971
3. Shih-Chii Liu, Jörg Kramer, Giacomo Indiveri, Tobias Delbrück, Rodney Douglas,
Analog VLSI: circuits and principles, MIT press, 2002, ISBN 0262122553
4. Carver Mead, Analog VLSI and neural systems, Addison-Wesley, 1989,
ISBN0201059924
5. Kozma, R., (2012), Advances in Neuromorphic Memristor Science, Springer

REFERENCES
1. Carver Mead, Analog VLSI and neural systems, Addison-Wesley, 1989,
ISBN0201059924
2. Kozma, R., (2012), Advances in Neuromorphic Memristor Science, Springer

ARTICULATION MATRIX:
PO PO PO PO PO PO PO P P PO PO PO
1 2 3 4 5 6 7 O8 O9 10 11 12
CO1 2 2
CO2 2 2
CO3 2 2
CO4 2 3 3 3
CO5 2 3 3 3
Avera 2 1.2 2.4 1.2
ge
VL23022 CYBER SECURITY L T P C
3 0 0 3
OBJECTIVES:

• To understand the Industrial security environment and cyberattacks


• To analyze and assess risks in the industrial environment
• To access, design and implement cybersecurity
• To test and troubleshoot the industrial network security system

UNIT I INTRODUCTION 9

Industrial security environment-Industrial automation and control system(IACS) culture Vs IT Paradigms-


Cyberattacks: Threat sources and steps to successful cyberattacks

UNIT II RISK ANALYSIS 9

Risk identification, classification, and assessment, Addressing risk: Cybersecurity Management System
(CSMS), organizational security, physical and environmental security, network segmentation, access
control, risk management and implementation.

UNIT III ACCESSING THE CYBERSECURITY OF IACS 9

Identifying the scope of the IACS- generation of cybersecurity information-identification of vulnerabilities-


risk assessment-evaluation of realistic threat scenarios- Gap assessment- capturing Ethernet traffic-
documentation of assessment results

UNIT IV CYBERSECURITY DESIGN AND IMPLEMENTATION 9

Cybersecurity lifecycle- conceptual design process- detailed design process- firewall designremote
access design- intrusion detection design

UNIT V TESTING AND MAINTENANCE 9

Developing test plans- cybersecurity factory acceptance testing- site acceptance testing- network and
application diagnostics and troubleshooting- cybersecurity audit procedure- IACS incident response
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1 Apply basis of science and engineering to understand Industrial security
environment and cyberattacks.
CO2 Analyze and assess risks in the industrial environment.
CO3 Access the cybersecurity of IACS
CO4 Design and implement cyber security
CO5 Identify the tests and troubleshoots of industrial network security system

TEXT BOOKS:
1. Ronald L and Krutz, Industrial Automation and Control System Security Principles,ISA, 2013.
2. David J.Teumim, Network Security, Second edition,ISA,2010
REFERENCES:
1. Edward J.M. Colbert and Alexander Kott, Cyber-security of SCADA and other industrial control
systems, Springer, 2016.
2. Perry S. Marshalland John S. Rinaldi, Industrial Ethernet, Second edition, ISA, 2004
3. Christopher Hadnagy and Seth Schulman, Human Hacking,Win Friends, Influence People, and
Leave Them Better Off for Having Met You, Harper Buisness. January 2021

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 2 2 1 1 1 1 1 1 1 2
CO2 3 3 3 2 1 1 1 1 1 1 1 2
CO3 3 2 2 2 1 1 1 1 1 1 1 2
CO4 3 2 2 2 1 1 1 1 1 1 1 2
CO5 1 1 1 1 1 1 1 1 1 1 1 2
Average 2 2 1 1 1 1 1 1 1 1 1 2
VL23023 RECONFIGURABLE COMPUTING SYSTEM AND APPLICATIONS LTPC
3 003
UNIT I RECONFIGURABLE COMPUTING SYSTEM AND ARCHITECTURES 9

Introduction to Reconfigurable Computing Systems Evolution and Characteristics of Reconfigurable


Systems Advantages and Challenges in Reconfigurable Computing

UNIT II PROGRAMMING RECONFIGURABLE COMPUTING SYSTEMS 9

Compute Models and System Architectures FPGA Programming with Verilog HDL Compiling C for FPGA
Streaming FPGA Applications using Simulink Block Diagrams Operating System Support for
Reconfigurable Computing

UNIT III DESIGNS MAPPING FOR RECONFIGURABLE PLATFORMS 9

Technology Mapping-FPGA Design Optimization Strategies-Datapath Composition Circuit Layout


Specification on FPGAs-PathFinder: Performance-driven FPGA Routing-Retiming and Repipelining
Techniques-Configuration Bitstream Generation-Fast Compilation Techniques

UNIT IV FPGA APPLICATION DEVELOPMENT 9

Implementing Applications with FPGAs-Precision Analysis for Fixed-point Computation-Distributed


Arithmetic-CORDIC Architectures for FPGA Computing-Hardware/Software Partitioning

UNIT V CASE STUDIES OF FPGA APPLICATIONS 9

SPIHT Image Compression-Automatic Target Recognition Systems-Multi-FPGA Systems: Logic


Emulation-Floating Point Considerations-Network Packet Processing-Memory-centric Computation
(Active Pages)
TOTAL: 45 PERIODS
COURSE OUTCOMES:
CO1: Ability to apply the fundamentals of reconfigurable computing and reconfigurable
architectures.
CO2: Ability to articulate the design issues involved in reconfigurable computing systems with a
specific focus on Field Programmable Gate Arrays (FPGAs) both at theoretical and
application levels.
CO3: Ability to develop the performance trade-offs involved in designing a reconfigurable
computing platform with a specific focus on the architecture of a configurable logic block and
the programmable interconnect.
CO4: Ability to explore the state of the art reconfigurable computing architectures spanning fine
grained (look up table based processing elements) to coarse grained (arithmetic logic unit
level processing elements) architectures.
CO5: Ability to design the architect reconfigurable systems and utilize them for solving challenging
computational problems.

TEXT BOOKS:
1. Scott Hauck and Andre` DeHon, “Reconfigurable Computing: The Theory and Practice of
FPGA-Based Computation”, Morgan Kaufmann, July 2010.
2. Stephen M. Trimberger, “Field – programmable Gate Array Technology”, Springer, 2007.
REFERENCES:
1. CliveMaxfield, “The Design Warrior’s Guide to FPGAs: Devices, Tools and Flows”, Newnes,
Elsevier, 2006.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 3 2 1 1
CO2 3 3 3 1 1
CO3 3 3 3 1 1
CO4 3 3 3 1 1
CO5 3 3 3 1 1
Averag 3 3 2.8 1 1
e
VL23024 ALOGORITHMS FOR VLSI DESIGN L T P C
3 0 0 3
OBJECTIVES:
• To introduce the VLSI Design Methodologies and Design Methods.
• To introduce Data Structures and Algorithms required for VLSI Design.
• To study Algorithms for Partitioning and Placement.
• To study Algorithms for Floor planning and Routing.
• To study Algorithms for Modelling, Simulation and Synthesis.

UNIT I INTRODUCTION 9

Introduction to VLSI Design Methodologies – VLSI Design Cycle – New Trends in VLSI Design Cycle –
Physical Design Cycle – New Trends in Physical Design Cycle – Design Styles – Review of VLSI Design
Automation Tools.

UNIT II DATA STRUCTURES AND BASIC ALGORITHMS 9

Introduction to Data Structures and Algorithms – Algorithmic Graph Theory and Computational
Complexity – Tractable and Intractable Problems – General Purpose Methods for Combinatorial
Optimization.

UNIT III ALGORITHMS FOR PARTITIONING AND PLACEMENT 9

Layout Compaction – Problem Formulation – Algorithms for Constraint Graph Compaction – Partitioning
– Placement – Placement Algorithms.

UNIT IV ALGORITHMS FOR FLOORPLANNING AND ROUTING 9

Floorplanning – Problem Formulation – Floorplanning Algorithms – Routing – Area Routing – Global


Routing – Detailed Routing.

UNIT V MODELLING, SIMULATION AND SYNTHESIS 9

Simulation – Gate Level Modeling and Simulation – Logic Synthesis and Verification – Binary Decision
Diagrams – High Level Synthesis.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
CO1: Use various VLSI Design Methodologies and Design Methods.
CO2: Understand different Data Structures and Algorithms required for VLSI Design.
CO3: Develop Algorithms for Partitioning and Placement.
CO4: Develop Algorithms for Floorplanning and Routing.
CO5: Design Algorithms for Modelling, Simulation and Synthesis.

TEXT BOOKS:
1. Sabih H. Gerez, “Algorithms for VLSI Design Automation”, Second Edition, Wiley-India,
2017.
2. Naveed A. Sherwani, “Algorithms for VLSI Physical Design Automation”, 3rd Edition, Springer,
2017.
REFERENCES:
1. Charles J. Alpert, Dinesh P. Mehta and Sachin S Sapatnekar, “Handbook of Algorithms for
Physical Design Automation, CRC Press, 1st Edition,

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 2 3 3 2 2 1 1
CO2 2 3 3 2 2 1 1
CO3 2 3 3 2 2 1 1
CO4 2 3 3 2 2 1 1
CO5 2 3 3 2 2 1 1
Averag 2 3 3 2 2 1 1
e
VL23025 HARDWARE AND SOFTWARE ASPECTS OF EMBEDDED SYSTEMS L T P C
3003

OBJECTIVES:
• To acquire the knowledge about system specification and modelling
• To learn the formulation of partitioning
• To study the different technical aspects about prototyping and emulation
UNIT I INTRODUCTION TO SYSTEM SPECIFICATION AND MODELING 9

Embedded Systems Overview, Hardware/Software Co-Design, Co-Design for System


Specification and Modeling, Co-Design for Heterogeneous Implementation- Processor
Architectures Models of Computation, Requirements for Embedded System Specification.
UNIT II HARDWARE/SOFTWARE PARTITIONING 9
The Hardware/Software Partitioning Problem, Hardware-Software Cost Estimation,
Generation of the Partitioning Graph, Optimization in HW/SW Partitioning-Overview of
optimization techniques-Heuristic scheduling for partitioning-Genetic algorithms for
partitioning
UNIT III HARDWARE/SOFTWARE CO-SYNTHESIS 9
The Co-Synthesis Problem, State-Transition Graph, Refinement and Controller Generation,
Distributed System Co-Synthesis.
UNIT IV PROTOTYPING AND EMULATION FUNDAMENTALS 9
Introduction, Prototyping and Emulation Techniques-Prototyping and Emulation
Environments- Future Developments in Emulation and Prototyping- Target Architectures and
Application System Classes- Architectures for Different System Types
UNIT V ADVANCED TOPICS IN DESIGN SPECIFICATION AND VERIFICATION 9
Concurrency and Coordinating Concurrent Computations, Verification Languages for
System-Level Specification and Design, Heterogeneous Specification and Multi-Language
Co-simulation
TOTAL: 45 PERIODS

COURSE OUTCOMES:
CO1: Describe the broad range of system architectures and design methodologies that
currently exist and define their fundamental attributes.
CO2: Discuss the dataflow models as a state-of-the-art methodology to solve co-design
problems and to optimize the balance between software and hardware.
CO3: Understand in translating between software and hardware descriptions through co-
design methodologies.
CO4: Understand the state-of-the-art practices in developing co-design solutions to problems
using modern hardware/software tools for building prototypes.
CO5: Understand the concurrent specification from an algorithm, analyze its behavior and
partition the specification into software (C code) and hardware (HDL) components
TEXT BOOKS:
1. Patrick Schaumont, “A Practical Introduction to Hardware/Software
Codesign”, Springer,2010.
2. Ralf Niemann, “Hardware/Software Co-Design for Data Flow Dominated
Embedded Systems”, Kluwer Academic Publisher, 1998.
3. Jorgen Staunstrup, Wayne Wolf, “Hardware/Software Co-Design: Principles and
Practice”, Kluwer Academic Publisher,1997.

REFERENCES:
1. Giovanni De Micheli, Rolf Ernst Morgon, “Reading in Hardware/Software Co-
Design”, Kaufmann Publisher, 2001.

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 2 1 3 1
CO2 3 2 1 1
CO3 1 3 2 1
CO4 3 2 3
CO5 3 1 1
Averag 1.4 2.4 0.8 1.4 0.4 0.4
e
VL23026 SCRIPTING LANGUAGES AND VERIFICATION L T P C
3 0 0 3
OBJECTIVES:

• To introduce various verification techniques


• To write scripts for automation.
• To develop UVM test bench environment
UNIT I PERL 9

History and Concepts of PERL - Scalar Data - Arrays and List Data - Control structures – Hashes - Basics
I/O - Regular Expressions – Functions - Miscellaneous control structures – Formats, Directory access -
File and Directory manipulation - Process Management - Packages and Modules.

UNIT II TCL 9

An Overview of TCL and Tk -Tcl Language syntax – Variables – Expressions – Lists – Control flow –
procedures - Errors and exceptions - String manipulations, Accessing files- Processes. Applications -
Controlling Tools - Basics of Tk.

UNIT III SYSTEM VERILOG 9

Introduction to System Verilog – Literal values-data Types – Arrays - Data Declarations- attributes-
operators – expressions - procedural statements and control flow. Processes in System Verilog – Task
and functions - assertions.

UNIT IV VERIFICATION TECHNIQUES 9

Introduction to Verification - Testing Vs Verification - Verification Technologies - Functional Verification-


Code coverage – Functional coverage. Testbench – Linear Testbench - Linear Random Testbench - Self-
checking Testbench – Regression - RTL Formal Verification.

UNIT V UNIVERSAL VERIFICATION METHODOLOGY 9

Introduction to UVM - Verification components - Transaction level modeling – Developing reusable


verification components - Using Verification components and functional coverage - Register classes.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1: Understand the verification methodology of VLSI circuits
CO2: Develop scripts for VLSI design automation.
CO3: Design UVM test bench

TEXT BOOKS:
1. Larry Wall, Tom Christiansen, John Orwant, “Programming PERL”, Oreilly Publications, Fourth
Edition, 2012.
2. Christian B Spear, “System Verilog for Verification: A guide to learning the Testbench language
features”, Springer publications, Third Edition, 2012.
REFERENCES:
1. John K. Ousterhout, Ken Jones, “Tcl and the Tk Toolkit”, Pearson Education, Second
Edition, 2010.
2. Ray Salmei, “The UVM Primer: A Step-by-Step Introduction to the Universal Verification
Methodology” Boston Light Press; First edition, 2013.
3. Vanessa R. Copper, “Getting started with UVM: A Beginner’s Guide”, Verilab Publishing,
First Edition, 2013.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 3 2 3 1
CO2 3 3 3 2 3 1
CO3 3 3 3 2 3 1
Average 3 3 3 2 3 1
VL23052 ROBOTICS L T P C
3 0 0 3

UNIT I SCOPE OF ROBOTS 9

The scope of industrial Robots - Definition of an industrial robot - Need for industrial
robots –Economic and Social Issues- applications.

UNIT II ROBOT COMPONENTS 9

Fundamentals of Robot Technology- Automation and Robotics- Robot anatomy-Work


volume-Precision of movement- End effectors- Sensors.

UNIT III ROBOT PROGRAMMING 9

Robot Programming - Methods - interlocks textual languages. Characteristics of Robot


level languages, characteristic of task level languages.

UNIT IV ROBOT WORK CELL 9

Robot Cell Design and Control- Remote Center compliance-Safety in Robotics.

UNIT V FUTURE TRENDS 14 9

Telepresence robot, Autonomous mobile robots, Walker Robots, Solar-ball Robot,


Underwater bots, Aerobots, Advanced robotics in Space –Specific features of space
robotics systems-long-term technical developments, Next generation robots.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to comprehend and appreciate the significance and role of robotics in
the present contemporary world
CO2: Ability to know about robotic components.
CO3: Ability to develop robot programming
CO4: Ability to design robot cell with safety measures.
CO5: Ability to understand future trends in robot technology.
TEXT BOOKS:
1. Barry Leatham-Jones, "Elements of industrial Robotics", Pitman
Publishing,1987.
2. J.M.Selig,"IntroductoryRobotics",Prentice Hall,1992.
3. John Iovine,"Robots, Android and Animatronics", 2ndEdition, McGraw-
Hill,2012.
4. JohnM.Holland, "Designing Autonomous Mobile Robots-Inside the mind of an
Intelligent Machine", Newnes Publication,2004.
5. Robert J.Schilling, "Fundamentals of Robotics-Analysis and Control",
Pearson Education,2006

REFERENCE BOOKS:
1. Mikell P. Groover, Mitchell Weiss, Roger N.Nagel Nicholas G.Odrey,
"Industrial Robotics Technology, Programming and Applications",
McGraw Hill Book Company, 1986.
2. FuK.S.Gonzaleaz R.C. and LeeC.S.G., "Robotics Control Sensing, Vision
and Intelligence", McGraw Hill, International Editions,1987.
3. Bernard Hodges and Paul Hallam, "Industrial Robotics", British Library
Cataloging in Publication,1990.
4. Deb, S.R., "Robotics Technology and Flexible Automation", Tata
McGraw Hill, 1994.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 2 1 1 1 1 1 1 1 1 1
CO2 2 2 2 2 1 1 1 1 1 1
CO3 2 2 2 2 1 1 1 1 1 1
CO4 2 2 2 2 1 1 1 1 1 1
CO5 2 1 1 1 1 1 1 1 1 1
Average 2 1.6 1.6 1.6 1 1 1 1 1 1
EC23C09 BIOMEDICAL INSTRUMENTATION L T P C
3 0 0 3
OBJECTIVES:
To understand the basic theory of Bio Potential Electrodes and Bio potential measurement.
To design Bio potential amplifiers for acquisition of bio signals.
To study the various non-electrical physiological parameter measurement and bio chemical
measurements
UNIT I BIOPOTENTIAL ELECTRODES 9

Origin of bio potential and its propagation. Electrode-electrolyte interface, electrode– skin interface, half-
cell potential, impedance, polarization effects of electrode – non polarizable electrodes. Types of
electrodes - surface, needle and micro electrodes and their equivalent circuits. Recording problems -
measurement with two electrodes

UNIT II BIOPOTENTIAL MEASUREMENT 9

Bio signal characteristics– frequency and amplitude ranges. ECG – Einthoven‘s triangle, standard 12 lead
system, block diagram. Measurements of heart sounds - PCG. EEG – 10-20 electrode system, unipolar,
bipolar and average mode, Functional block diagram. EMG – unipolar and bipolar mode, block diagram,
EOG and ERG.

UNIT III BIOPOTENTIAL AMPLIFIER 8

Need for bio-amplifier - single ended bio-amplifier, differential bio-amplifier – right leg driven ECG
amplifier. Band pass filtering, isolation amplifiers – transformer and optical isolation - isolated DC amplifier
and AC carrier amplifier. Artifacts and removal.

UNIT IV NON ELECTRICAL PHYSIOLOGICAL PARAMETER MEASUREMENT 10

Temperature, respiration rate and pulse rate measurements, Plethysmography, Pulse oximetry, Blood
Pressure: direct methods - Pressure amplifiers - systolic, diastolic, mean detector circuit, indirect methods
- auscultatory method, oscillometric method, ultrasonic method. Blood flow - Electromagnetic and
ultrasound blood flow measurement. Cardiac output measurement- Indicator dilution, dye dilution and
thermodilution method

UNIT V BIOCHEMICAL MEASUREMENT 9

Biochemical sensors - pH, pO2 and pCO2, Ion selective Field Effect Transistor (ISFET), immunologically
sensitive FET (IMFET), Blood glucose sensors - Blood gas analyzers, colorimeter, flame photometer,
spectrophotometer, blood cell counter, auto analyzer.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, the student will be able to:
CO1: Describe the electrode behavior and circuit models.
CO2: Describe the fundamentals of Bio potential recording
CO3: Design various bio amplifiers
CO4: Measure various nonelectrical physiological parameters.
CO5: Measure various biochemical parameters
TEXT BOOKS:
1. Joseph J. Carr and John M. Brown, “Introduction to Biomedical equipment
technology”, Pearson Education, 4th Edition, 2014.
2. John G.Webster, “Medical Instrumentation Application and Design”, John Wiley
and Sons, New York, 4th Edition, 2009.

REFERENCES:
1. Khandpur R.S, “Handbook of Biomedical Instrumentation”, Tata McGraw Hill, New
Delhi, 3rd Edition, 2014.
2. L.A Geddes and L.E.Baker, “Principles of Applied Biomedical Instrumentation”,
John Wiley and Sons, 3rd Edition, Reprint 2008.
3. Leslie Cromwell, Fred J. Weibell, Erich A. Pfeiffer, Biomedical Instrumentation and
Measurements, Pearson Education India, 2nd Edition, 2015.
4. Myer Kutz, “Standard Handbook of Biomedical Engineering & Design”, McGraw-
Hill Publisher, 2003

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 3 2
CO2 3 3 3 2
CO3 3 3 3 2
CO4 3 3 3 2
CO5 3 3 3 2
Average 3 3 3 2
EC23C07 BIOMEDICAL ASSIST DEVICES L T P C
3 0 0 3

UNIT I CARDIAC AND NEUROLOGICAL EQUIPMENT 10

Electrocardiograph, Cardiac Pacemaker- Internal and External Pacemaker, types, Batteries. AC and DC
Defibrillator- Internal and External, types, Precautions. Patient monitoring systems, Radio Telemetry
(single, multi). Clinical significance of EEG, Multi-channel EEG recording system and applications,
Evoked Potential–Visual, Auditory and Somatosensory.

UNIT II DIATHERMY 9

IR and UV lamp - application. Need for different diathermy units, Short wave diathermy, ultrasonic
diathermy, Microwave diathermy. Electro surgery machine - Current waveforms, Tissue Responses,
Electro surgical current level, Hazards and safety procedures

UNIT III ASSIST DEVICES 9

Heart Lung Machine-Condition to be satisfied by the H/L System. Different types of Oxygenators, Pumps,
Pulsatile and Continuous Types, Monitoring Process. Hemodialyser – Indication and principle of
hemodialysers, Monitoring Systems, Wearable Artificial Kidney, Implanting Type. Types of Ventilators –
Pressure, Volume, and Time controlled. Flow, Patient Cycle Ventilators, Humidifiers, Nebulizers,
Inhalators.

UNIT IV RESPIRATORY MEASUREMENT 8

Lung Volume and vital capacity, Spirometer, measurements of residual volume. Pneumo tachometer –
Airway resistance measurement, Whole body plethysmography. Intra- Alveolar and Thoracic pressure
measurements, Apnea Monitor

UNIT V PATIENT SAFETY 9

Physiological effects of electricity – important susceptibility parameters – Macro shock, Micro shock
hazards, Patient’s electrical environment, GFI units, Earthing Schemes. Electrical safety codes and
standards, Basic Approaches to protection against shock, Protection equipment design, Electrical safety
analyzer – Testing the Electrical safety of medical equipment, Biomedical Laser Safety
TOTAL : 45 PERIODS
COURSE OUTCOMES:
After studying this course students will be able to
CO1: Describe the working and recording setup of cardiac and neurological equipment.
CO2: Explain about measurements of parameters related to the respiratory system.
CO3: Design and demonstrate the therapeutic effects of diathermy
CO4: Demonstrate the function of assist devices.
CO5: Test the electrical safety of medical equipment in the hospital environment.
TEXT BOOKS:
1.
John G. Webster, “Medical Instrumentation Application and Design”, Wiley India Pvt.Ltd, New
Delhi, 4th edition, 2015.
2. Joseph J. Carr and John M. Brown, “Introduction to Biomedical Equipment Technology”,
Pearson education, 2012.

REFERENCES:
1. Myer Kutz, Standard Handbook of Biomedical Engineering and Design, McGraw Hill, 2003.
2. L.A Geddes and L. E. Baker, “Principles of Applied Biomedical Instrumentation”, 3rd Edition,
2008.
3. Leslie Cromwell, “Biomedical Instrumentation and measurement”, Prentice Hall of India,
New Delhi, 2nd edition, 2015.
4. Antony Y. K. Chan, “Biomedical Device Technology, Principles and design”, Charles
Thomas Publisher Ltd, Illinois, USA, 2008.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11
12
CO1 3 2 2 2 2 3 1 1 1
CO2 3 2 2 2 2 3 2 1 1
CO3 3 2 3 2 1 2 3 2 1 1
CO4 3 2 2 2 2 3 1 1 1
CO5 3 2 2 2 2 3 1 1 1
Averag 3 2 2.2 2 1.8 2.8 1.6 1.2 1
1
e
VL23027 BIOSIGNAL PROCESSING LTPC
3003

OBJECTIVES:
The student should be made to:
• To study the characteristics of different biosignals
• To learn linear and non-linear filtering techniques to extract desired
information
• To understand various techniques for automated classification and decision
making to aid diagnosis

UNIT I BIOSIGNAL AND SPECTRAL CHARACTERISTICS 9


Characteristics of some dynamic biomedical signals, Noises- random, structured and
physiological noises. Filters- IIR and FIR filters. Spectrum – power spectral density function,
cross-spectral density and coherence function, cepstrum and homomorphic filtering.
Estimation of mean of finite time signals.
UNIT II TIME SERIES ANALYSIS AND SPECTRAL ESTIMATION 9
Time series analysis – linear prediction models, process order estimation, lattice
representation, non-stationary process, fixed segmentation, adaptive segmentation,
application in EEG, PCG signals, Time varying analysis of Heart-rate variability, model based
ECG simulator. Spectral estimation –Blackman Tukey method, periodogram, and model
based estimation. Application in Heart rate variability, PCG signals.
UNIT III ADAPTIVE FILTERING AND WAVELET DETECTION 9
Filtering – LMS adaptive filter, adaptive noise canceling in ECG, improved adaptive filtering in
ECG, Wavelet detection in ECG – structural features, matched filtering, adaptive wavelet
detection, detection of overlapping wavelets.
UNIT IV BIOSIGNAL CLASSIFICATION AND RECOGNITION 9
Signal classification and recognition – Statistical signal classification, linear discriminant
function, direct feature selection and ordering, Back propagation neural network based
classification. Application in Normal versus Ectopic ECG beats.
UNIT V TIME FREQUENCY AND MULTIVARIATE ANALYSIS 9
Time frequency representation, spectrogram, Wigner distribution, Time-scale representation,
scalogram, wavelet analysis – Data reduction techniques, ECG data compression, ECG
characterization, Feature extraction- Wavelet packets, Multivariate component analysis-PCA,
ICA.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
On successful completion of this course, the student will be able to
CO1: Preprocess the Biosignals.
CO2: Analyze biosignals in time domain & to estimate the spectrum. CO3: Apply wavelet
detection techniques for biosignal processing.
CO3: Classify Biosignals using neural networks and statistical classifiers. CO5: Extract the
features using multivariate component analysis.
TEXT BOOKS
1. Rangaraj M. Rangayyan, “Biomedical Signal Analysis-A case study approach”, Wiley,
2nd Edition, 2016.
2. Willis J. Tompkins, “Biomedical Digital Signal Processing”, Prentice Hall of India,
New Delhi, 2003.
3. Arnon Cohen, “Bio-Medical Signal Processing Vol I and Vol II”, CRC Press Inc., Boca
Rato, Florida, 1999.

REFERENCES
1. Kayvan Najarian and Robert Splerstor, “Biomedical signals and Image processing’’,
CRC – Taylor and Francis, New York, 2nd Edition, 2012.
2. K.P.Soman, K.Ramachandran, “Insight into wavelet from theory to practice”, PHI,
New Delhi, 3rd Edition, 2010.
3. D.C.Reddy, “Biomedical Signal Processing – Principles and Techniques’’, Tata
McGraw-Hill Publishing Co. Ltd, 2005.
4. John L.Semmlow, “Biosignal and Biomedical Image Processing Matlab Based
applications’’, Taylor& Francis Inc, 2004.

MAPPING OF COs WITH POs AND PSOs


ARTICULATION MATRIX:

CO’s PO’s
1 2 3 4 5 6 7 8 9 10 11 12
1 3 1 1 1 1
2 3 1 1 1 1
3 3 1 1 1 1
AVg. 3 1 1 1 1
BM23C01 BODY AREA NETWORK LTPC
3003
INTRODUCTION 9
UNIT I

Definition, BAN and Healthcare, Technical Challenges- Sensor design, biocompatibility, Energy Supply,
optimal node placement, number of nodes, System security and reliability, BAN Architecture –
Introduction.

HARDWARE FOR BAN 9


UNIT II

Processor-Low Power MCUs, Mobile Computing MCUs, Integrated processor with radio transceiver,
Memory , Antenna-PCB antenna, Wire antenna, Ceramic antenna, External antenna, Sensor Interface,
Power sources- Batteries and fuel cells for sensor nodes.

WIRELESS COMMUNICATION AND NETWORK 9


UNIT III

RF communication in Body, Antenna design and testing, Propagation, Base Station-Network topology-
Stand-Alone BAN, Wireless personal Area Network Technologies-IEEE 802.15.1, IEEE P802.15.13, IEEE
802.15.14, Zigbee

COEXISTENCE ISSUES WITH BAN 9


UNIT IV

Interferences – Intrinsic - Extrinsic, Effect on transmission, Counter measures on physical layer and data
link layer, Regulatory issues-Medical Device regulation in USA and Asia, Security and Self-protection-
Bacterial attacks, Virus infection, Secured protocols, Self-protection.
9
UNIT V APPLICATIONS OF BAN

Monitoring patients with chronic disease, Hospital patients, Elderly patients, Cardiac arrythmia
monitoring, Multi patient monitoring systems, Multichannel Neural recording, Gait analysis, Sports
Medicine, Electronic pill.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
CO1:Understand the BAN Architecture and the technical challenges
CO2:Select the suitable hardware for BAN
CO3:Assess the efficiency of communication and the security parameters.
CO4:Understand the need for medical device regulation and regulations followed in various
regions.
CO5: Analyze various BAN Applications
CO6: Design a BAN for appropriate application in medicine.

TEXT BOOKS:
1. Annalisa Bonfiglio, Danilo De Rossi, "Wearable Monitoring Systems", Springer, 2011.
2. Sandeep K.S. Gupta,Tridib Mukherjee, Krishna Kumar Venkata Subramanian, “Body Area
Networks Safety, Security, and Sustainability’’, Cambridge University Press, 2013.
REFERENCES:
1. Zhang, Yuan-Ting, “Wearable Medical Sensors and Systems’’, Springer, 2023.
2. Guang-Zhong Yang (Ed.), “Body Sensor Networks’’, Springer, 2014.
3. Mehmet R. Yuce, Jamil Y.Khan, “Wireless Body Area Networks Technology, Implementation,
and Applications”, Pan Stanford Publishing Pte. Ltd., Singapore, 2012

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 3 1 2 1
CO2 3 3 1 2 1
CO3 3 3 1 2 1
CO4 3 3 1 2 1
CO5 3 3 1 2 1
CO6 3 3 1 2 1
Averag
3 3 1 2 1
e
EC23C08 BRAIN COMPUTER INTERFACE AND ITS APPLICATIONS L T P C
3 0 0 3

UNIT I INTRODUCTION TO BCI 9

Brain Computer Interface system, Classification of BCI- Dependent, Independent, Hybrid BCI, Invasive,
Non-invasive and Partially invasive BCI, Synchronous and Asynchronous BCI, Neuronal Activity in brain
cortex.

UNIT II SOURCES FOR BCI 9

EEG signal acquisition – Signal Preprocessing – Artifacts removal, MEG, Signals reflecting brain
metabolic activity- PET, fNIRS, fMRI. EEG-Event related potential- P300, Mu band ,Sensory Motor
Rhythm- Event Related Desynchronization, Event related synchronization, Motor Imagery signals, Visual
Evoked potential, Steady state Visual Evoked potential, Slow cortical potential.

UNIT III FEATURE EXTRACTION 9

Power spectral density, Band power, Wavelet features, Spatial filters- Common Average Reference Filter,
Laplacian filter, Common Spatial Pattern, PCA and ICA

UNIT IV CLASSIFICATION 9

Linear Discriminant Analysis, k Nearest Neighbor classifier, Support Vector Machine, Regression, Deep
Neural networks- Transfer learning, Convolution Neural Network.

UNIT V APPLICATIONS OF BCI 9

Speller based on P300, Speller based on SSVEP, SSVEP based wheelchair control, Motor imagery
based control of Exoskeleton, Neurorehabilitation, Gaming, Neuromarketing, Case studies on
Applications of BCI.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
On completion of this course, the student will be able to
CO1: Acquire and analyse the brain signal from different regions of brain cortex for specific BCI
Application
CO2: Apply suitable preprocessing technique to the brain signal
CO3: Analyze the event related potentials
CO4: Extract discriminant features from brain signals
CO5: Classify and derive the control signals for BCI applications
CO6: Design a BCI system for various applications

TEXT BOOKS:
1. Jonathan Wolpaw, Elizabeth Winter Wolpaw, “Brain Computer Interfaces: Principles and
Practice”, Oxford University Press,2012.
2. R. Spehlmann, “EEG Primer”, Elsevier Biomedical Press, 1999.
3. Bernhard Graimann, Brendan Allison, GertPfurtscheller, “Brain-Computer Interfaces:
Revolutionizing Human - Computer Interaction”, Springer, 2010.

REFERENCES:
1. Arnon Cohen,” Biomedical signal processing Vol 1 Time and Frequency Domain Analysis”,
CRC Press, 1986.
2. Arnon Cohen, “Biomedical Signal Processing Vol 2: Compression and automatic recognition”,
CRC Press Inc., 2021.
3. Bishop C.M., “Neural Networks for Pattern Recognition”, Oxford, Clarendon Press, 1995.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 3 3 1 2 1
CO2 3 3 3 1 2 1
CO3 3 3 3 1 2 1
CO4 3 3 3 1 2 1
CO5 3 3 3 1 2 1
CO6 3 3 3 1 2 1
Averag
3 3 3 1 1 2 1
e
VL23028 MICROFLUIDIC BIOMEDICAL DEVICES L T PC
3 0 03

UNIT I INTRODUCTION TO MICROFLUIDICS 9


Microfluidics Versus Traditional Fluidics, properties of fluids, classification of fluids, pressure
driven flow, continuity equation, momentum equation, equation of motion, laminar flow in
circular conduits, fluid flow in micro conduits, in sub micrometer and nanoscale. Microscale
fluid, expression for liquid flow in a channel - surface tension, contact angle and Electro
Wetting, Navier Strokes equation. Electrokinetic phenomena- Electro-Osmosis, Di
electrophoresis, Electric double layer, Debye length, capillary flow, flow through porous
media, Diffusion.

UNIT II FABRICATION TECHNIQUES OF MICROFLUIDIC DEVICES 9


Materials, Clean room, Silicon crystallography, Miller indices. Silicon-Based Micromachining
Techniques- Silicon Bulk Micromachining, Silicon Surface Micromachining, Polymer-Based
Micromachining Techniques- Thick Resist Lithography, Polymeric Surface Micromachining,
Soft Lithography, Micro stereo Lithography, Micro molding., Hot embossing, Fluid
interconnections.

UNIT III COMPONENTS OF MICROFLUIDIC DEVICES 9


Design considerations and applications – Micromixers, Microvalves, Micropumps,
Microchannels, Microflow sensors. Droplet generators - Microreactors, Liquid phase reactors,
PCR reactors. Microparticle separator - Principles of separation and sorting of microparticles.
Mathematical modeling of microfluidic devices and systems, Practical aspects of testing flow
through microfluidic channels, Digital Microfluidics.

UNIT IV MICROFLUIDICS BIOCHIP 9


Microfluidic for Flow cytometry, cell sorting, cell trapping, Cell culture in microenvironment.
Bioreactors on Microchips, Enzyme assay and inhibition, Chemical synthesis in
microreactors, Sequential reaction and Parallel reaction in micro reactors, chemical
separation, liquid chromatography. Immunosensors - Nucleic acid sensors, DNA amplification
platforms.
UNIT V APPLICATIONS OF MICROFLUIDIC DEVICES IN HEALTHCARE 9
Diagnostic applications - In vitro diagnostics, Point - of - care diagnostics, Controlled drug
delivery using microfluidic devices, Microneedles for drug delivery and monitoring,
Microfluidic devices for cell manipulation, single-cell trapping, automated micro-robotic
injection, Microfluidic devices for stem cell analysis and genetic analysis, Immunosensing,
Microfluidic devices for radio chemical synthesis, paper-based microfluidic biomedical
devices.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
On completion of this course the student will be able to:
CO1 Describe the fundamental principles and concepts of microfluidics.
CO2 Explain the different types of materials and fabrication techniques used
in developing microfluidic devices.
CO3 Elaborate the design considerations of various microfluidic devices.
CO4 Illustrate the bioanalytical applications of microfluidic devices.
CO5 Elucidate the diagnostic and therapeutic applications of microfluidic devices.

TEXT BOOKS:
1. Tabeling, P., “Introduction to microfluidics”, Oxford University Press Inc., 2005.
2. Oosterbroek and van den Berg, “Lab-on-a-chip: Miniaturized Systems for (Bio) Chemical
Analysis and Synthesis”. Elsevier, 2003.
3. Gescheke et al, “Microsystems Engineering of Lab-on-a-Chip Devices”. Wiley, 2004.

REFERENCES:
1. Nguyen, N. T., Werely, S. T., “Fundamentals and Applications of Microfluidics”, Artech house
Inc., 2002.
2. Madou, M. J., Manufacturing Techniques for Microfabrication and Nanotechnology (Vol. 2),
CRC Press, Boca Raton, FL, 2011.
3. Kirby, B. J., Micro- and Nanoscale Fluid Mechanics: Transport in Microfluidic Devices,
Cambridge University Press, 2010.
4. Chakraborty, S., Microfluidics and microfabrication, Springer, New York, NY, 2010.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 2 3 2 1
CO2 3 3 2 1
CO3 3 3 2
CO4 2 3 2 1
CO5 3 3 2 3 2
Averag
`1.8 1.2 1.2 3 2 0.6
e
VL23029 HUMAN ASSIST DEVICES LTPC
3003
UNIT I HEART LUNG MACHINE AND ARTIFICIAL HEART 9
Condition to be satisfied by the H/L System. Different types of Oxygenators, Pumps,
Pulsatileand Continuous Types, Monitoring Process, Shunting, The Indication for
Cardiac Transplant, Driving Mechanism, Blood Handling System, Functioning and
different types of Artificial Heart, Mock test setup for assessing its functions.

UNIT II CARDIAC ASSIST DEVICES 9


Synchronous Counter pulsation, assisted through Respiration Right Ventricular
Bypass Pump, Left Ventricular Bypass Pump, Open Chest and closed Chest type,
Intra-Aortic Balloon Pumping Veno Arterial Pumping, Prosthetic Cardio Valves,
Principle and problem, Biomaterials for implantable purposes, its characteristics and
testing.

UNIT III ARTIFICIAL KIDNEY 9


Indication and Principle of Hemodialysis, Membrane, Dialysate, Different types of
hemodialyzers, Monitoring Systems, Wearable Artificial Kidney, Implanting Type.

UNIT IV PROSTHETIC AND ORTHOTIC DEVICES 9


Spinal orthotics and Prosthesis, Splint – Static and Dynamic. Hand and Arm
Replacement - Different Types of Models Externally Powered Limb Prosthesis, Lower
Limb and Upper limb orthotic devices, Functional Electrical Stimulation, Sensory Assist
Devices, Materials for Prosthetic and orthotic devices, Haptic Devices.

UNIT V RESPIRATORY AND HEARING AIDS 9


Ventilator and its Types-Intermittent positive pressure, Breathing Apparatus Operating
Sequence, Electronic IPPB unit with monitoring for all respiratory parameters. Types
of Deafness, Basic Audiometer- Pure tone audiometer-Bekesy audiometer system,
hearing aids - types, tonometer, Hearing Aids, Construction and Functional
Characteristics.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
On completion of this course the student will be able to:
CO1 Get knowledge about the importance of Heart lung machine and artificial
Heart.
CO2 Familiarize about the importance of different types of assist devices and
related issues.
CO3 Understand about the implantation of artificial kidney
CO4 Explore the different types of models for Prosthetic and orthotic purpose
CO5 Perceive the knowledge in different types of respiratory and hearing aids
TEXT BOOKS:
1. Kolff W.J., “Artificial Organs”, John Wiley and Sons, New York, 1979.
2. Andreas.F. Von racum, “Hand book of Biomaterial Evaluation”, Mc-Millan publishers,
1980.
3. Albert M. Cook and Webster J.G., “Therapeutic Medical Devices”, Prentice Hall
Inc., New Jersey,2020
4. Gray E Wnek, Gray L Browlin, “Encyclopedia of Biomaterials and biomedical
Engineering” Marcel Dekker Inc New York 2004.

REFERENCES:
1. Gerr M. Craddock, “Assistive Technology-Shaping the future”, IOS Press, 1st edition,
2003.
2. Tracy, K, Willem Kolff and the Invention of the Dialysis Machine. Mitchell Lane Pub,
2003.
3. Yadin David, Wolf W. von Maltzahn, Michael R. Neuman, Joseph.D, Bronzino,
“Clinical Engineering,” CRC Press, 1st edition,2010.

CO-PO MAPPING:
ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 2 2 2 3 1 1 1
CO2 3 2 2 2 2 3 2 1 1
CO3 3 2 3 2 1 2 3 2 1 1
CO4 3 2 2 2 2 3 1 1 1
CO5 3 2 2 2 2 3 1 1 1
Averag
3 2 2.2 2 1.8 2.8 1.6 1.2 1 1
e
VL23030 MEDICAL IMAGING SYSTEMS AND RADIO THERAPY LTPC
300 3
UNIT I X-RAY PROJECTION RADIOGRAPHY AND TOMOGRAPHY 10
Physics of Radiography. X-ray Tube- Principle and production of X-rays, Line Focus
Principle, Anode Heel effect, X-ray Machine- filter, collimator, Bucky Diaphragm, Cassette,
film. Digital Radiography. mammography, dental X- ray. Fluoroscopic Technique- Image
Intensifiers, Angiography- Cine Angiography, Digital Subtraction Angiography. Computed
Tomography - Principles of tomography, CT Generations, Gantry, Detectors, Slip rings, CT
Numbers, Imaging Artifacts, Spiral CT, Ultra-fast CT Scanners, 3D Imaging. Image
reconstruction techniques- back projection, Fourier slice Theorem and iterative method.
Case studies on recent development in CT.

UNIT II EMISSION IMAGING 8


Radioactivity- Radioactivity decay law, Alpha, Beta, Gamma Emission, RadIOTracers,
different types of Radiation Detectors- Gas-filled, Scintillation and Semiconductor
detectors. Planar Scintigraphy- Collimators, Scintillation Crystal, Photomultiplier Tubes,
Positioning Logic, Pulse Height Analyzer, Gating Circuit, Image Capture. PET and SPECT-
Principle and Instrumentation, Combined PET/CT Systems.

UNIT III MAGNETIC RESONANCE IMAGING 9


Principle of MRI- Precession, NMR, Longitudinal and transverse magnetization, Relaxation
processes and their measurements, Spin echo, Pulse sequencing. MR image acquisition,
Imaging parameters- TE, TR and image contrast, Slice selection, frequency encoding and
phase encoding, MRI instrumentation- Magnets, gradient coils, Radio Frequency coils and
shim coils. Imaging Different Sections of the Body, Tissue Characterization, MR
Spectroscopy, Functional MRI.

UNIT IV ULTRASOUND IMAGING AND THERMOGRAPHY 9


Wave propagation and interaction in biological tissues, Acoustic radiation fields,
Reflection and Refraction at Plane Interfaces, Transmission and Reflection Coefficient,
Attenuation, Scattering, Doppler effect, continuous and pulsed excitation, Transducers
and imaging systems, Scanning methods, Imaging Modes-A, B & M, Principles and theory
of image generation. Thermography- Principle, detectors and applications. Case studies
on 3D, 4D ultrasound imaging

UNIT V EFFECTS AND THERAPEUTIC APPLICATIONS OF RADIATION 9


Biological effects of Radiation- DNA, Cellular, tissue, organ and Whole-body level.
Radiation Therapy- Linear accelerator, Stereotactic radiosurgery, IGRT, IMRT,
Cyberknife, Tele gamma Machine, Brachytherapy, Proton beam therapy. Radiation
Dosimetry- Exposure, Dose, Kerma, Absorbed, Equivalent and Effective dose. Automatic
Treatment Planning, ICRP regulation, Allowed Levels, Protection Methods.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
On completion of this course the student will be able to:
CO1 Describe the physics of various medical imaging techniques.
CO2 Demonstrate the Instrumentation of different imaging
techniques
CO3 Understand and apply the image reconstruction concepts.
CO4 Explain the principle of different Radiation therapy equipment and radiation
detectors.
CO5 Discuss the effects of radiation, radiation safety and the principle of Radio
therapy Techniques.
CO6 Discuss the recent developments in medical imaging technology

TEXT BOOKS:
1. Jerrold T. Bushberg, J. Anthony Seibert, Edwin M. Leidholdt, John M. Boone, “The
Essential Physics of Medical Imaging”, Lippincott Williams and Wilkins; Third
Edition, 2012.
2. Jerry L. Prince and Jonathan M. Links, “Medical Imaging Signals and Systems”,
Pearson Education Inc. 2014.
3. D.N. Chesney and M.O. Chesney, “Radio graphic imaging”, CBS Publications, New
Delhi, 1987.
4. Alexander, Kalender and Linke, “Computed Tomography: Assessment Criteria, Ct
System Technology, Clinical Applications”, John Wiley, Chichster, 1986.

REFERNCES
1. Steve Webb, “The Physics of Medical Imaging”, Adam Hilger, Philadelpia,1988.
2. Donald Graham, Paul Cloke, Martin Vosper, “Principles of Radiological physics”,
Churchill Livingston, 6th Edition, 2011.
3. Donald W. Mc Robbice, Elizabeth A. Moore, Martin J. Grave and Martin R. Prince,
“MRI from picture to proton”, 2nd Edition, Cambridge University press, New York
2006.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 3 3 3 2 2 1 1 1
CO2 3 3 3 3 2 2 1 1 1
CO3 3 3 3 3 2 2 1 1 1
CO4 3 3 3 3 2 2 1 1 1
CO5 3 3 3 3 2 2 3 1 1
CO6 3 3 3 3 2 2 1 1 1
Averag
`3 3 3 3 2 2 1.3 1 1
e
EC23C17 INTRODUCTION TO NANO ELECTRONICS L T P C
3 0 0 3

UNIT I BASICS OF NANO ELECTRONICS 9

Scaling to nano-Light as a wave and particle- Electrons as waves and particles- origin of quantum
mechanics-General postulates of quantum mechanics-Spin and angular momentum-Wave packets and
uncertainty

UNIT II ELECTRONS CONFINEMENT IN LOW DIMENSIONAL STRUCTURES 9

Statistics of the electrons in solids and nanostructures, Density of states in nanostructures, Time
independent Schrodinger wave equation- Electron confinement-Quantum dots, electron confinement
Quantum wires, electron confinement Quantum wells.

UNIT III COULOMB BLOCKADE AND SINGLE ELECTRON TRANSISTOR 9

Coulomb blockade-Coulomb blockade in Nano capacitors - Coulomb blockade in tunnel junctions- Single
electron transistors, Semiconductor nanowire SETs, Molecular SETs and molecular electronics

UNIT IV NANOELECTRONIC DEVICES 9

Field-effect transistors, Quantum Cellular automata, Tunneling effect- Tunneling element - Tunneling
diode Resonant Tunneling Devices- Light emitting diodes and lasers

UNIT V SPIN BASED DEVICES 9

Ferro magnetic devices, Giant magnetoresistance devices, Magnetic tunnel junction devices, Spin
transfer torque devices
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to familiarise the fundamental underpinnings of nano electronics.
CO2: Ability to analyse the electron properties of traditional low dimensional structures
CO3: Ability to comprehend the mechanism behind single electronic transistors.
CO4: Ability to analyse the key performance of nano electronic devices.
CO5: Ability to explore the basics of spin based devices.

TEXT BOOKS:
1. Hanson, “Fundamentals of Nanoelectronics”, Pearson education, 2009.
2. V. Mitin, V. Kochelap, and M. Stroscio, Introduction to Nanoelectronics: Science,
Nanotechnology, Engineering, and Applications, Cambridge University Press, 2008
REFERENCES:
1. Jan Dienstuhl, Karl Goser, and Peter Glösekötter, “Nanoelectronics and Nanosystems: From
Transistors to Molecular and Quantum Devices”, Springer-Verlag, 2004. (Unit II, IV & V)
2. Mircea Dragoman, Daniela Dragoman, Nanoelectronics: Principles and Devices, Artech
House, 2009.
3. Robert Puers, Livio Baldi, Marcel Van de Voorde, Sebastiaan E. van Nooten,
Nanoelectronics: Materials, Devices, Applications, Wiley, 2017.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 1 1
CO2 3 2 2 1 1
CO3 3 2 1 1
CO4 3 1 1
CO5 3 1 1
Averag 3 2 2 1 1
e
VL23031 ADVANCED MOSFET MODELING LTPC
3 0 0 3

UNIT I MOS ELECTROSTATIC 9


MOS Electrostatics – 1D – 2D MOS Electrostatics, MOSFET Current Voltage Characteristics
– CMOS Technology – Ultimate limits, double gate MOS system – gate voltage effect -
semiconductor thickness effect – asymmetry effect – oxide thickness effect – electron tunnel
current – two-dimensional confinement, scattering.
UNIT II DESIGN OF NANOMOSFET 9
MOSFET scaling, short channel effects - channel engineering - source/drain engineering -
Halo implants, Retrograde channel profile, Shallow source/drain extensions, high k dielectric
- copper interconnects - strain engineering, SOI MOSFET, multi-gate transistors – single gate
– double gate – triple gate – surround gate, quantum effects, gate stack – gate patterning –
threshold voltage and gate workfunction requirements.
UNIT III DESIGNING WITH FINFETS 9
FinFET structure for VLSI circuits and systems, basic features - Large geometry FinFET
device operation – drain current formulation – short channel effects on threshold voltage,
subthreshold leakage currents, quantum mechanical effects, surface mobility, high field
effects.
UNIT IV NANOWIRE AND NANOTUBE FET 9
Silicon nanowire MOSFETs, Evaluation of I-V characteristics – The I-V characteristics for
nondegenerate carrier statistics – The I-V characteristics for degenerate carrier statistics
Carbon nanotube – Band structure of carbon nanotube – Band structure of graphene –
Physical structure of nanotube – Band structure of nanotube – Carbon nanotube FETs –
Carbon nanotube MOSFETs – Schottky barrier carbon nanotube FETs – Electronic
conduction in molecules

UNIT V TRANSISTORS AT THE MOLECULAR SCALE 9


Electronic conduction in molecules – General model for ballistic nano transistors – MOSFETs
with 0D, 1D, and 2D channels – Molecular transistors – Single electron charging – Single
electron transistors. Spintronics: Spin tunneling devices - Magnetic tunnel junctions-
Tunneling spin polarization, -spin diodes - Magnetic tunnel transistor - Memory devices and
sensors - ferroelectric random-access memory- MRAMS
TOTAL 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Illustrate the physics and 1D and 2D electrostatistics of MOS devices and it
ultimate limits
CO2: Mitigate the short channel effects and design issues of transistors.
CO3: Design and analysis of nano structure and nanoelectronic devices using
MOSFET, FINFETs, CNTFETs.
CO4: Develop analytical model for novel FETs
CO5: Demonstrate the spin-dependant electron transport in magnetic devices.
TEXT BOOKS:
1. Mark Lundstrom, Jing Guo, "Nanoscale Transistors: Device Physics, Modeling and
Simulation", Springer, 2006
2. J P Colinge, "FINFETs and other multi-gate transistors", Springer –
Series on integrated circuits and systems, 2008
3. SadamichiMaekawa, ―Concepts in Spin Electronics‖, Oxford University
Press (2006)

REFERENCES
1. Saha, Samar K. FinFET devices for VLSI circuits and systems. CRC
Press, 2020.

ARTICULATION MATRIX:

P P P P P P P P P PO PO PO
O1 O2 O3 O4 O5 O6 O7 O8 O9 10 11 12
CO1 3 3 3 2 1
CO2 3 3 3 2 1
CO3 3 3 3 2 1
CO4 3 3 3 2 1
CO5 3 3 3 2 1
Avera 3 3 3 2 1
ge
VL23032 COMPOUND SEMICONDUCTOR DEVICES L T P C
3 0 0 3

UNIT I INTRODUCTION 9

Important parameters governing the high-speed performance of devices and circuits: Transit time of
charge carriers, junction capacitances, ON-resistances and their dependence on the device geometry
and size, carrier mobility, doping concentration and temperature; important parameters governing the
high-power performance of devices and circuits: Break down voltage, resistances, device geometries,
doping concentration and temperature

UNIT II MATERIALS PROPERTIES 9

Merits of III –V binary and ternary compound semiconductors (GaAs, InP, InGaAs, AlGaAs, SiC, GaN
etc.), different SiC structures, silicon-germanium alloys and silicon carbide for high speed devices, as
compared to silicon based devices, outline of the crystal structure, dopants and electrical properties such
as carrier mobility, velocity versus electric field characteristics of these materials, electric field
characteristics of materials and device processing techniques, Band diagrams, homo and hetro junctions,
electrostatic calculations, Band gap engineering, doping, Material and device process technique with
these III-V and IV – IV semiconductors.

UNIT III METAL SEMICONDUCTOR CONTACTS AND METAL INSULATOR 9


SEMICONDUCTOR AND MOS DEVICES

Native oxides of Compound semiconductors for MOS devices and the interface state density related
issues. Metal semiconductor contacts, Schottky barrier diode

UNIT IV METAL SEMICONDUCTOR FIELD EFFECT TRANSISTORS (MESFETS) 9


Pinch off voltage and threshold voltage of MESFETs. D.C. characteristics and analysis of drain current.
Velocity overshoot effects and the related advantages of GaAs, InP and GaN based devices for high
speed operation. Sub threshold characteristics, short channel effects and the performance of scaled down
devices

UNIT V HIGH ELECTRON MOBILITY TRANSISTORS (HEMT): 9

Hetero-junction devices. The generic Modulation Doped FET (MODFET) structure for high electron
mobility realization. Principle of operation and the unique features of HEMT, InGaAs/InP HEMT structures:
Hetero junction Bipolar transistors (HBTs): Principle of operation and the benefits of hetero junction BJT
for high-speed applications. GaAs and InP based HBT device structure and the surface passivation for
stable high gain high frequency performance. SiGe HBTs and the concept of strained layer devices; High
Frequency resonant – tunneling devices, Resonant-tunneling hot electron transistors
TOTAL : 45 PERIODS
COURSE OUTCOMES:
On completion of this course, the student will be able to
CO1: Apply the important parameters governing the high-power performance of devices and circuits
CO2: Compare and contrast the characteristics of compound semiconductors for high-speed
devices with silicon-based MOS devices
CO3: Illustrate the physics and principle of operation of MOS transistors and high electron mobility
transistor
CO4: Design the reliable HEMT structure using compound semiconductors for high-speed
application

TEXT BOOKS:
1. C.Y. Chang, F. Kai, GaAs High-Speed Devices: Physics, Technology and Circuit Applications,
Wiley & Sons.
2. Cheng T. Wang, Ed., Introduction to Semiconductor Technology: GaAs and Related
Compounds, John Wiley & Sons.
3. David K. Ferry, Ed., Gallium Arsenide Technology, Howard W. Sams & Co., 1985
4 Avishay Katz, Indium Phosphide and Related materials: Processing, Technology and
Devices, Artech House, 1992.
5. S.M. Sze, High Speed Semiconductor Devices, Wiley (1990) ISBN 0-471-62307-5

REFERENCES:
1. Ralph E. Williams, Modern GaAs Processing Methods, Artech (1990), ISBN 0-89006-343-5,
2. Sandip Tiwari, Compound Semiconductor Device Physics, Academic Press (1991), ISBN 0-
12-691740- X.
3. G.A. Armstrong, C.K. Maiti, TCAD for Si, SiGe and GaAs Integrated Circuits, The Institution of
Engineering and Technology, London, United Kingdom, 2007, ISBN 978-0-86341-743-6.
4. Ruediger Quay, Gallium Nitride Electronics, Springer 2008, ISBN 978-3-540-71890-1,
(Available on NITC intranet in Springer eBook section).
5. Prof. Dr. Alessandro Birolini, Reliability Engineering Theory and Practice, Springer 2007,
ISBN-10 3- 540-40287-X, Available on NITC intranet in Springer eBook section).

Articulation Matrix
PO PO PO PO PO PO PO PO PO P P P
1 2 3 4 5 6 7 8 9 O O O
10 11 12
CO1 3 3 3 3 2 2 1
CO2 3 3 3 3 2 2 1
CO3 3 3 3 3 2 2 1
CO4 3 3 3 3 2 2 1
Avera
`3 3 3 3 2 2 1
ge
VL23033 NANOSENSORS AND DEVICES LTPC
3 003

UNIT I FUNDAMENTALS OF NANOELECTRONICS & NANOTECHNOLOGY 9


Top down approach - Bottom up approach - Scaling to Nano, Particles and waves - Quantum mechanics
- Time independent Schrodinger wave equation - Quantum dots - Wires and Well.
UNIT II NANO MATERIALS SYNTHESIS 9
Introduction and basic properties of Nanomaterials - Methods to produce nanomaterials, Applications of
Nanomaterials - Synthesis and application of Carbon Nano materials – Graphene – Quantum Dots.
UNIT III NANO MATERIAL CHARACTERIZATION 9
Optical microscopy - Scanning electron microscopy - Transmission electron microscopy - Atomic force
microscopy - Scanning tunneling microscopy-X-Ray Diffraction
UNIT IV NANO ELECTRONIC SENSORS AND DEVICES 9
Single electron transistors - Carbon nanotube FETs and SETs - Semiconductor nanowire FETs and
SETs - Molecular SETs and molecular electronics - Quantum well infrared photo detectors
UNIT V NANO BIOSENSORS 9
Nanoparticle-Based Electrochemical Biosensors, Glucose Biosensor, Cholesterol Biosensor, DNA and
Protein Detection, Biofunctionalization of the Microcantilever Surface
COURSE OUTCOMES:
On completion of this course, the student will be able to
CO1: Understand the basic of nanoelectronics and nanotechnology
CO2: Identify appropriate synthesis methods to produce stable nanomaterials for applications
CO3: Design and analysis of Nano electronics sensors using CNTFET, SETs, nanowire FET and
moelecular electronics based devices
CO4: Explore various nano biosensors for various applications

TEXT BOOKS:
1. Nanotubes and Nanowires- CNR Rao and A Govindaraj RCS Publishing.
2. Novel Nanocrystalline Alloys and Magnetic Nanomaterials- Brian Cantor
3. Martin A Green, Solar cells: Operating principles, technology and system applications,
Prentice Hall Inc, Englewood Cliffs, NJ, USA, (1981).

REFERENCES:
1. H J Moller, Semiconductor for solar cells, Artech House Inc, MA, USA, (1993).
2. Nanosensors: Physical, Chemical, and Biological by Vinod Kumar Khanna, Publisher: CRC
Press.
3. Biosensors: A Practical Approach, J. Cooper & C. Tass, Oxford University Press, 2004
4. Nanomaterials for Biosensors, Cs. Kumar, Wiley – VCH, 2007.
ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 3 3 3 2 2 1
CO2 3 3 3 3 2 2 1
CO3 3 3 3 3 2 2 1
CO4 3 3 3 3 2 2 1
Averag
`3 3 3 3 2 2 1
e
EC23C16 INTRODUCTION TO MEMS AND NEMS L T P C
3 0 0 3

UNIT I INTRODUCTION TO MEMS AND NEMS 9

MEMS, Microsystem and microelectronics, Applications of MEMS, Materials for MEMS: Silicon, silicon
compounds, polymers, metals. Introduction to NEMS, Nano scaling, classification of nano structured
materials, Applications of nanomaterials.

UNIT II FABRICATION OF MEMS AND NEMS 9

Photolithography, Ion Implantation, Diffusion, Oxidation. Thin film depositions: LPCVD, Sputtering,
Evaporation, Electroplating; Etching techniques: Dry and wet etching; Micromachining: Bulk
Micromachining, Surface Micromachining, LIGA

UNIT III MICRO AND NANO SENSORS 9

Acoustic sensor – Quartz crystal microbalance, Surface acoustic wave, Flexural plate wave, shear
horizontal; Vibratory gyroscope, Pressure sensors, Quantum well infrared photodetectors

UNIT IV MICRO AND NANO ACTUATORS 9

Electrostatic actuators, piezoelectric actuators, Thermal actuators, Actuators using shape memory
alloys, Microgrippers, Micromotors, Microvalves, Micropumps

UNIT V PACKAGING AND CHARACTERIZATION OF MEMS AND NEMS 9

Micro / nano systems packaging, Essential packaging technologies, Selection of packaging materials;
Nano material characterization - SEM, TEM, AFM, STM.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Familiarize the basics of micro/nano electromechanical structures, devices and systems
including their theoretical foundations, applications and advantages
CO2: Recognize the use of materials in micro/nano fabrication and describe the fabrication
processes including surface micromachining, bulk micromachining and LIGA
CO3: Analyze the key performance aspects of micro/nano electromechanical transducers including
sensors
CO4: Analyze the key performance aspects of micro/nano electromechanical transducers including
actuators
CO5: Explore the techniques for characterization and packaging requirements of MEMS/NEMS

TEXT BOOKS:
1.
Ran Hsu, MEMS and Microsystems Design and Manufacture, Tata Mcgraw Hill, 2002.
2. Murty B.S, Shankar P, Raj B, Rath, B.B, Murday J, Textbook of Nanoscience and
Nanotechnology, Springer publishing, 2013.

REFERENCES:
1. Sergey Edward Lyshevski, “MEMS and NEMS: Systems, Devices, and Structures”, CRC
Press, 2002
2. Chang Liu, “Foundations of MEMS”, Pearson education India limited, 2006
3. Vinod Kumar Khanna, “Nanosensors: Physical, Chemical, and Biological”, CRC press,
2012.
4. Mahalik N P, MEMS, Tata McGraw Hill, 2007.
5. Manouchehr E Motamedi, “MOEMS: Micro-Opto-Electro-Mechanical Systems”, SPIE press,
First Edition, 2005.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO PO
1 2 3 4 5 6 7 8 9 0 11 12
CO1 3 3 2 1 1 1 1
CO2 3 3 2 1 1 1 1
CO3 3 3 2 1 1 1 1
CO4 3 3 2 2 1 1 1
CO5 3 3 2 2 1 1 1
Averag 3 3 2 1.4
1 1 1
e
VL23034 NANOPHOTONIC DEVICES LTPC
3 003

UNIT I BASICS OF NANOPHOTONICS 9


Modern optical science and technology and the diffraction limit – Breaking through the
diffraction limit – Nanophotonics and its true nature. Optical near fields and effective
interactions as a base for nanophotonics – Principles of operations of nanophotonic devices
using optical near fields – Principles of nanofabrication using optical near fields

UNIT II FUNDAMENTALS OF NANOPHOTONIC DEVICES 9


Excitation energy transfer – Device operation: nanophotonic AND gate & nanophotonic OR
gate –Interconnection with photonic devices – Room temperature operation.

UNIT III FUNDAMENTALS OF NANOPHOTONIC FABRICATION 9


Adiabatic nanofabrication – Nonadiabatic nanofabrications: near field optical CVD and near
field photolithography – Self assembling method via optical near field interactions – Regulating
the size and position of nanoparticles using size dependent resonance – Size controlled,
position controlled and separation controlled alignment of nanoparticles.

UNIT IV FUNDAMENTALS OF NANOPHOTONIC SYSTEMS 9


Introduction – Optical excitation transfer and system fundamentals – Parallel architecture
using optical excitation transfer – Interconnections for nanophotonics – Signal transfer and
environment – tamper resistance – Hierarchy in nanophotonics and its system fundamentals.

UNIT V FUNDAMENTALS OF NANO BIOPHOTONICS 9


Introduction – The cell: scale and constituents – Origin and optical contrast mechanisms –
Classical contrast mechanisms: bright field, dark field, phase contrast and interferometric
contrast – Fluorescence contrast mechanism – Nonlinear microscopy based on second
harmonic generation and coherent anti-Stokes Raman scattering – Reduction of the
observation volume – Far field methods: 4Pi microscopy, microscopy on a mirror and
stimulated emission depletion – Near field methods.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
On completion of this course, students will be able to
CO1: Demonstrate the basic principles of nanophotonics and nanofabrication using optical
near fields
CO2: Analyse the performance of nanophotonic devices and systems
CO3: Identify and assess the nonadiabatic nanofabrication process using optical near fields
CO4: Design the nanophotonic system by assembling the nanophotonic devices
CO5: Describe the fundamentals of nano biophotonics
TEXT BOOKS:
1. Motoichi Ohtsu, Kiyoshi Kobayashi, Tadashi Kawazoe, Takashi Yatsui and Makoto
Naruse, Principles of Nanophotonics. New York, USA: CRC Press-Taylor & Francis
Group, 2008
2. Hiroshi Masuhara, Satoshi Kawata and Fumio Tokunaga, Nano Biophotonics. Oxford,
UK: Elsevier, 2007.

REFERENCES:

1. Herve Rigneault, Jean-Michel Lourtioz, ClaudeDelalande and Ariel Levenson,


Nanophotonics. London, UK: ISTE Ltd., 2006.
2. Paras. N. Prasad, Nanophotonics. New Jersey, USA: John Wiley & Sons Inc.,2004.

ARTICULATION MATRIX

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 3 3 2 1 1 1
CO2 3 3 3 2 1 1 1
CO3 3 3 3 2 1 1 1
CO4 3 3 3 2 1 1 1
CO5 3 3 3 2 1 1 1
Averag 3 3 3 2 1 1 1
e
VL23035 ORGANIC SEMICONDUCTORS, POLYMERS AND MOLECULAR L T P C
ELECTRONICS
3 0 0 3
UNIT I ORGANIC SEMICONDUCTORS 10

Organic semiconducting (small) molecules; orbitals and conjugation; Excitations: excitons and polarons;
Exciton spin: singlets and triplets; Synopsis electronic and optical processes; Optical properties: a few
examples EG (Energy Gap) vs. molecular weight Electron-phonon coupling: vibrational structure and
thermochromism, Förster transfer and Site selective spectroscopy; Summary of optical properties.

UNIT II ORGANIC LIGHT EMITTING DEVICES (OLED) 10

OLED principle - HOMO and LUMO levels - Organic p-n junction-Factors affecting OLED performance -
Energy level diagram-radiative and non-radiative recombination decay of excitons. White light emitting
device (WOLED). Fabrication technology: the advantage of solution processability; thermal evaporation -
Spin-coating, Ink-jet printing (IJP); Screenprinting and other examples; State of the art devices and future
prospects.

UNIT III POLYMER-BASED PHOTOVOLTAIC DIODES (PVD) 10

Fundamental process; Exciton absorption; Exciton dissociation; Charge collection; Characterization of


PVDs; Relevant performance parameters; Examples of polymer-based PVDs; Polymer-polymer
heterojunctions; Enhanced dissociation at type II heterojunctions; Preparation methods: polymer blends
and spontaneous phase separation; C60-polymer structures; Heterojunctions with nanocrystals,
nanorods, etc; State of the art devices and future properties.

UNIT IV ORGANIC FIELD EFFECT TRANSISTORS (OFET) 10

Polymer-based field-effect transistors, FETs Structure Fundamental processes; Channel formation;


Charge transport Characterization; Relevant performance parameters; Examples of successful strategies.

UNIT V FABRICATION OF OFET AND MOLECULAR ELECTRONICS 10

Fabrication process of OFETs –operation and characteristics - organic thin film transistors (OTFTs),
Molecular Electronics: Overview - organic vs inorganic devices-Rectifiers- Molecular wires-Molecular
switches-Data storage –molecular engineering of doped polymers for optoelectronics
TOTAL : 50 PERIODS
Course Outcomes:
On completion of this course, students will be able to
CO1: Analyse the fundamental electronic and optical properties of organic electronic materials
CO2: Use the organic materials to develop electronic and optoelectronic devices for various
applications
CO3: Illustrate the device physics and working principles of, PVD, OLED and OFET
CO4: Identify the fabrication process for developing organic devices
TEXT BOOKS:

1. G. Cumberti and G. Fagas, Introducing molecular electronics, Spinger, 2005.


2. S.C. Levshevski, Nano and molecular electronics hand book, CRC press, 2007.
3. Wolfgang Brütting, Physics of Organic Semiconductors, Wiley - VCH, 2006.

REFERENCE:
1. Drobny, Jiri George, Polymers for Electricity and Electronics: Materials, Properties and
Applications

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 3 2 3 3 1 2 2 1
CO2 3 2 3 3 1 2 2 1
CO3 3 2 3 3 1 2 2 1
CO4 3 2 3 3 1 2 2 1
Average 3 2.2 3 3 1 2 2 1
VL23036 SOLAR PV FUNDAMENTAL AND APPLICATIONS L T P C
3 0 0 3
COURSE OBJECTIVES:
1. To various solar PV and solar thermal technologies
2. To know the basic parameters of solar PV panels and systems
3. To familiarize the standard test conditions under which the parameters are measured
4. To design of solar PV system for electrical energy requirements, sizing of PV
modules, battery, electronics, etc.
5. To design of solar thermal system for given thermal energy requirements

UNIT I OVERVIEW OF SEMICONDUCTOR 9

Review of Semiconductor Physics, Charge carrier generation and recombination, p-n junction
model and depletion capacitance, Current voltage characteristics in dark and light, Equivalent
Circuits of Solar Cells, Fill Factor, Fabrication Process of Semiconductor Grade Silicon

UNIT II SOLAR PV TECHNOLOGY 9

Device Physics of Solar Cells, Principle of solar energy conversion, Conversion efficiency,
Single, Tandem multi-junction solar cells, Numerical solar cell modelling Principle of cell
design, Crystalline silicon and III-V solar cells, Thin film solar cells: Amorphous silicon,
Quantum Dot solar cells.

UNIT III DESIGN OF SOLAR PV SYSTEM 9

Design of solar hot water system: solar thermal system components, use of thermo syphon
effect, estimation of the energy required for heating water, collector area, typical losses in
conversion, efficiency equation of solar thermal system, solar PV system components, block
diagram of simple (no storage, no electronics) and complicated systems (grid tied with diesel
and wind generators), sizing solar PV, battery and power conditioning units required in solar
system, configuration of battery and panels, fixing input and output parameters of all system
components.

UNIT IV FABRICATION OF ORGANIC SOLAR CELLS 9

Introduction to Dye Sensitized Solar Cells, Fabrication of Dye Sensitized Solar Cells' Design of
novel dye, Design of solid electrolytes materials, Counter electrode engineering Introduction to
Organic Solar Cells, Physics of Bulk Hetero junction (BHJ) Solar Cells, Morphology and charge
separation in BHJ, Design of low band gap polymers, Novel architecture in BHJ.

UNIT V FABRICATION OF PEROVSKITE SOLAR CELLS 9

Perovskite Solar Cells, Fabrication of perovskite solar cells ,Photophysics in perovskite solar
cells, Stability in perovskite solar cells, Lead free perovskite solar cells Photovoltaic system
engineering, Thermo- Photovoltaic generation of electricity, Concentration and storage of
electrical energy, Photovoltaics modules, system and application, Green energy building.

TOTAL: 45 PERIODS
COURSE OUTCOMES:
On completion of this course, the student will be able to
CO1: Understand various solar energy technologies, how sun light can be converted in electrical
and heat energy
CO2: Discuss the efficiency of technologies measured.
CO3: Relate various components of solar PV system to fulfill given electricity requirements.
CO4: Relate organic solar system to fulfill given organic energy requirements.
CO5: Relate perovskite solar system to fulfill given perovskite energy requirements.

TEXT BOOKS:
1. Jasprit Singh, “Semiconductor Devices, Basic Principles”, Wiley,2001
2. Jenny Nelson, “The Physics of Solar Cells”, Imperial College Press, 2003.

REFERENCES:
1. A. Luque and S. Hegedus: Handbook of Photovoltaic Science & Engineering", Wiley T
sakalakos, L.; "Nanotechnology for Photovoltaics", CRC Solar Photovoltaics: Fundamentals
Technologies And Applications, Chetan Singh Solanki, PHI Learning, July 2015
2. Chapter 02, 04, 6-11, C. S. Solanki, Solar Photovoltaics – Fundamentals, Technologies and
Applications, 3rd Ed. Prentice Hall of India, 2016
3. C. S. Solanki, Solar Photovoltaic Technology and Systems: A Manual for Technicians,
Trainers and Engineers, Prentice Hall of India, 2013.

ARTICULATION MATRIX: MAPPING OF COs WITH POs AND PSOs

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 2 1 2 2 1 1 1 1 1 1 1 1
CO2 2 2 2 2 1 1 1 1 1 1 1 1
CO3 1 1 1 1 1 1 1 1 1 1 1 1
CO4 1 1 1 1 1 1 1 1 1 1 1 1
CO5 1 1 1 1 1 1 1 1 1 1 1 1
Averag 1.16 1 1.16 1.16 1 1 1 1 1 1 1 1
e
VL23037 WIDE BANDGAP DEVICES L T P C
3 0 0 3
OBJECTIVES:

• Introduce the concept of wide band gap (WBG) devices and its application in real world
• Advantages and disadvantages of WBG devices
• Provide an introduction to basic operation of WBG power devices
• Learn Design principles of modern power devices
• Ability to deal high frequency design complexity

UNIT I WBG DEVICES AND THEIR APPLICATION IN REAL WORLD 9

Review of semiconductor basics, Operation and characteristics of the SiC Schottky Barrier Diode, SiC
DMOSFET and GaN HEMT, Review of Wide bandgap semiconductor technology -Advantages and
disadvantages

UNIT II SWITCHING CHARACTERIZATION OF WBG 9

Turn-on and Turn-off characteristics of the device, Hard switching loss analysis, Double pulse test set-up

UNIT III DRIVERS FOR WIDE BAND GAP DEVICES 9

Gate driver, Impact of gate resistance, Gate drivers for wide bandgap power devices , Transient immunity
integrated gate drivers

UNIT IV HIGH FREQUENCY DESIGN COMPLEXITY AND PCB DESIGNING 9

Effects of parasitic inductance, Effects of parasitic capacitance , EMI filter design for high frequency power
converters High frequency PCB design, Conventional power loop design, High frequency power loop
optimization, Separation of power from signal PCB

UNIT V APPLICATIONS OF WIDE BANDGAP DEVICES 9

Consumer electronics applications, Wireless power transfer applications, Electric vehicle applications,
Renewable energy sources applications
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1: Students master design principles of power devices
CO2: Students become familiar with reliability issues and testing methods
CO3: An ability to design and conduct experiments, as well as to analyze and interpret data
CO4: Student to get real life experience and to know practical applications of WBG
CO5: Indepth knowledge on practical usage of this technology
TEXT BOOKS:
1. A. Lidow, J. Strydom, M. D. Rooij, D. Reusch, GaN Transistors for Efficient Power
Convertion, Wiley, 2014, ISBN-13: 978-1118844762.
2. G. Meneghesso, M. Meneghini, E. Zanoni, “Gallium Nitride-enabled High Frequency and
High Efficiency Power Conversion,” Springer International Publishing, 2018, ISBN: 978-3-
319-77993-5.

REFERENCES:
1. F. Wang, Z. Zhang and E. A. Jones, Characterization of Wide Bandgap Power
Semiconductor Devices, IET, ISBN-13: 978-1785614910 (2018).
2. B.J.Baliga, “Gallium Nitride and Silicon Carbide Power Devices,” World Scientific Publishing
Company (3 Feb. 2017).
3. L. Corradini, D. Maksimovic, P. Mattavelli, R. Zane, “Digital Control of HighFrequency
Switched-Mode Power Converters”, Wiley, ISBN-13: 978-1118935101 (9th June, 2015).

ARTICULATION MATRIX:
PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 3 2 3 2 - - - - - - -
CO2 3 3 3 2 2 - - - - - - -
CO3 3 3 2 2 2 - - - - - -
CO4 3 3 3 3 2 - - - - - - -
CO5 3 2 3 3 2 - - - - - - -
Averag 3 3 2.6 2.6 2 - - - - - - -
e
VL23038 DESIGN FOR VERIFICATION L T PC
USING UVM 2 0 2 3
COURSE OBJECTIVES:
• To provide the students complete understanding on UVM
testing
• To become proficient at UVM verification,
• To provide an experience on self checking UVM testbenches

UNIT I INTRODUCTION 5L,6P


Overview- The Typical UVM Testbench Architecture- The UVM Class Library

PRACTICALS:
1. Simulate a simple UVM testbench and DUT
2. Examining the UVM testbench

UNIT II TRANSACTION-LEVEL MODELING 6L


Transaction-Level Modeling (TLM) -Overview- TLM, TLM-1, and TLM-2.0 -TLM-1
Implementation- TLM-2.0 Implementation

UNIT III DEVELOPING REUSABLE VERIFICATION COMPONENTS 7L,12P


Modeling Data Items for Generation - Transaction-Level Components - Creating the Driver -
Creating the Sequencer - Connecting the Driver and Sequencer -Creating the Monitor -
Instantiating Components- Creating the Agent - Creating the Environment -Enabling
Scenario Creation -Managing of Test-Implementing Checks and Coverage

PRACTICALS:
1. Design and simulate sequence items and sequence
2. Design and simulate a UVM driver, sequencer and agent
3. Design and simulate a UVM monitor and agent
4. Design, simulate and examine coverage

UNIT IV UVM USING VERIFICATION COMPONENTS 6L,8P


Creating a Top-Level Environment- Instantiating Verification Components - Creating Test
Classes - Verification Component Configuration - Creating and Selecting a User-Defined Test
- Creating Meaningful Tests- Virtual Sequences- Checking for DUT Correctness-
Scoreboards- Implementing a Coverage Model

PRACTICALS:
1. Design and simulate a UVM scoreboard and environment, and verifying the
outputs of a(faulty) DUT
2. Design and simulate a test that runs multiple sequence
UNIT V ASSIGNMENT IN TESTBENCHES 6L,4P
Assignment, APB: Protocol, Test bench Architecture, Driver and Sequencer, Monitor, Agent
and Env; Creating Sequences, Building Test, Design and Testing of Top Module.

PRACTICALS:
1. Design and simulate a configurable UVM test environment

L+P: 30+30 PERIODS

COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Understand the basic concepts of UVMmethodologies
CO2: Build actual verification components.
CO3: Code testbenches using UVM
CO4: Create and configure reusable, scalable, and robust UVM verification components
(UVCs)
CO5: Create a UVM testbench structure using the UVM library base classes and the UVM
factory

TEXT BOOKS
1. Initiative, A. S. "Universal Verification Methodology (UVM) 1.2 User’s Guide."
Accellera Systems Initiative: Elk Grove, CA, USA (2015).
2. The UVM Primer, An Introduction to the Universal Verification Methodology, Ray
Salemi, 2013.

REFERENCE
1. SystemVerilog for Verification: A Guide to Learning the Testbench Language
Features, Chris Spear, Greg Tumbush, 3rd edition, 2012.

PO PO PO PO P PO PO PO PO PO PO PO
1 2 3 4 O 6 7 8 9 10 11 12
5
CO1 1 1 1 1 1 2
CO2 1 1 2 1 1 1 2
CO3 2 1 1 1 1 2
CO4 2 3 2 2 1 3 2
CO5 2 3 2 2 1 3 2
Averag 1.5 0.5 1.5 1.25 1 1 2
e
VL23039 INTRODUCTION TO VLSI LIFE CYCLE LTPC
3003
OBJECTIVES:

• To introduce various verification techniques


• To write scripts for automation.
• To develop UVM test bench environment

UNIT I VLSI DESIGN FLOW 9

System & Architectural Design: Defining a system specification, performance analysis,


cost analysis, identifying various functional blocks/modules; categorizing them in terms
of digital, analog, RF and mixed signal blocks

UNIT II VERIFICATION AND TESTING 9

Functional verification, logic design: Verifying the functionality of blocks, behavioral


description, logic minimization, synthesis, verification and testing

UNIT III CIRCUIT OPTIMIZATION AND PHYSICAL DESIGN 9

Optimization of synthesized blocks for various performance metric, Introduction to


placement and route, Layout Vs Schematic (LVS) verification, Design for
Manufacturability.

UNIT IV TAPE OUT 9

Post layout simulations, Process Voltage Testing, Process Design Kit, Design Rule
Check, GDSII

UNIT V FABRICATION AND PACKAGING 9

Fabrication and Packaging: CMOS process flow, dicing, various types of


packaging.
TOTAL : 45 PERIODS

COURSE OUTCOMES:
At the end of this course, the students will be able to:
CO1: Comprehend the intricacies in VLSI Design flow
CO2: Analyze the overall process of VLSI Design flow starting from system level all
the way to the transistor level
CO3: Articulate the types of packages and process flow
TEXT BOOKS:
1. Sneh Saurabh, “Introduction to VLSI Design flow”, Cambridge University
Press.
2. N. H. E. Weste and C. Harris, “Principles of CMOS VLSI Design: A System
Perspective, 3rd Edition, Pearson Education 2007

REFERENCES:
1. M.Morris Mano and Michel.D.Ciletti, Digital Design with an introduction to HDL,
VHDL and Verilog, Sixth edition Pearson education

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO PO
1 2 3 4 5 6 7 8 9 0 11 12
CO1 3 3 3 2 2 1
CO2 3 3 3 2 1
CO3 3 3 3 2 2 2 1
Avera 3 3 3 0.6 2 1
ge 6
VL23040 AUTOMOTIVE ELECTRONICS L T P C
3 0 0 3
OBJECTIVES:
1. To define the glossary related to vehicle electrical and electronic system.
2. To understand the need for starter batteries, starter motor and alternator in the vehicle.
3. To differentiate the conventional and modern vehicle architecture and the
4. data transfer among the different electronic control unit using different
communication protocols.
5.
To list common types of sensor and actuators used in vehicles.
6.
To understand networking in vehicles

UNIT I INTRODUCTION AND AUTOMOTIVE BATTERIES 9

Introduction ‐ Overview of vehicle electrical systems‐ Electrical circuits ‐ Electrical power supply in
conventional vehicle‐ Dimensioning of wires‐ Circuit diagrams and symbols ‐
Electromagnetic Compatibility and interference suppression. Batteries – Battery design –
Method of operation – Lead acid battery construction – Battery ratings and testing‐
Maintenance ‐free batteries – Battery – Substitute, versions, special cases

UNIT II STARTING AND CHARGING SYSTEM 9

Alternators – Generation of electrical energy in vehicle‐ physical principles‐ Alternator and


voltage regulations versions – power losses – characteristics curve‐ Alternator operation in the
vehicle‐ Alternator circuitry. Starter Motors – Development and Starting requirements in the IC
engines‐ starter motor design – Starter motor design variations – starter motor control and
power circuits

UNIT III IGNITION, LIGHTING AND AUXILLARY SYSTEM 9

Ignitions System ‐ Ignition fundamentals‐ Electronic ignition‐ Programmed ignition‐ Distributor less
ignition ‐Direct ignition ‐ Spark plugs. Automotive lighting Technology – Technical demands –
Development of lighting technology‐ Light sources – physical principles – Front and rear lighting
system‐ Interior lighting system – Special purpose lamps – Adaptive Lighting system ‐
Instrument clusters ‐ Wiper and Washer systems‐ electric horns

UNIT IV AUTOMOTIVE ELECTRONICS AND SENSORS AND ACTUATORS 9

Automotive Electronics‐ overview and demands‐ Basic principles of semiconductor technology‐


Electronic Components‐ semiconductor components‐ Microcontrollers ‐ Sensor‐Signal Processing ‐
Data Processing in the vehicle ‐ Glossary for automotive microelectronics. Automotive Sensors –
Basics – Sensors : Position, speed, Acceleration/Vibrational , Force/Torque, Flow meters, Gas/
Concentration , Temperature‐ Measured Quantities, Measuring Principles and automotive
applications Automotive Actuators ‐ Electromechanical actuators‐ Fluid‐mechanical actuators‐
Electrical machines‐ Direct‐current machines‐ Three‐ phase machines‐ Single‐phase alternating‐
current Machines ‐ Duty‐type ratings for electrical machines
UNIT V VEHICLE NETWORKING 9

Data transfer between automotive Electronics systems ‐ Basic principles of networking‐


Network topology‐ Network organization‐ OSI reference model‐ Control mechanisms ‐
communication protocols in embedded systems‐‐ Vehicle Communication Protocols – Cross‐
system functions ‐ Requirements for bus systems‐ Classification of bus systems‐ Applications in
the vehicle ‐ Coupling of networks‐ Examples of networked Vehicles ‐ Bus system‐ CAN, LIN,
Flexray – MOST etc.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
On completion of this course, the student will be able to
CO1: Define the glossary related to vehicle electrical and electronic system
CO2: Understand the need for starter batteries, starter motor and alternator in the vehicle.
CO3: Differentiate the conventional and modern vehicle architecture and the data transfer
among the different electronic control unit using different communication protocols
CO4: List common types of sensor and actuators used in vehicles
CO5: Understand networking in vehicles

TEXT BOOKS:

1. Bosch Automotive Electrics and Automotive Electronics Systems and


Components, Networking and Hybrid Drive, 5th Edition, 2007, ISBN No:
978‐3‐658‐01783‐5

REFERENCES:

1. Barry Holembeak, “Automotive Electrical and Electronics” , Delmar Publishers, Clifton


Park, USA,2010
2. James D Halderman, “Automotive Electrical and Electronics” , Prentice Hall, USA, 2013
3. Tom Denton, “Automotive Electrical and Electronics Systems,” Third Edition, 2004, SAE
International
4. William Ribbens, "Understanding Automotive Electronics ‐ An
th
Engineering Perspective," 7 Edition, Elsevier Butterworth‐Heinemann Publishers, 2012

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
CO1 3 1 3 2 3 1
CO2 3 1 3 2 3 1
CO3 3 1 3 2 3 1
CO4 3 1 3 2 3 1
CO5 3 1 3 2 3 1
Averag 3 1 3 2 3 1
e
VL23041 PCB AND SYSTEM DESIGN LTPC
3003
OBJECTIVES:
• It aims to train the student to the basic concepts of PCB and system design.
• To guide the software users through a simple design.
• To deal with the mechanical component of PCB design and to help with
comprehension
of design challenges and manufacturing procedures.
• To familiarize the students to cutting-edge technologies in PCB design and
manufacturing.

UNIT I INTRODUCTION TO PRINTED CIRCUIT BOARD 9


Fundamental of electronic components, Basic electronic circuits, Basics of printed circuit
board designing: PCB Materials, types of PCBs, Single Sided (Single Layer), Multi-Layer
(Double Layer), Layout planning, general rules and parameters, ground conductor
considerations, Thermal issues, Photo printing, film-master production, Screen printing
process, plating, check and inspection of the designed product.

UNIT II INTRODUCTION TO ELECTRONIC DESIGN AUTOMATION (EDA)


TOOLS 9
Overview of Electronic design automation (EDA) tools for PCB designing: Brief
Introduction of various simulators, EasyEDA, Ki-CAD, Altium Designer, Selecting the
Component Footprints as per design, through hole and SMD components, Form Factors,
Padstack design, Hole-to-lead ratio, PTH land dimension (annular ring width), PCB
Terminologies, Assigning Footprint to components, Net listing, PCB Layout Designing,
Auto routing and manual routing. Assigning specific text (silkscreen-Legend) to design,
creating fabrication data (Gerber) files.
UNIT III STEPS INVOLVED IN FABRICATION OF SIMPLE ELECTRONIC
CIRCUITS 9
Fabrication of Astable multivibrator Circuit using 555 timer, Different file formats required
for PCB fabrication - Bit Map File, Png, Vector file & gerber file, Ezcad software, LASER
(IPG or RAYCUS LASER Source) cum Chemical Etching, Different Etchant Solutions,
Manual Drilling using WEN Drilling Machine, PCB tinning, component placement and
soldering (Flux, Lead and solder paste for SMD Components), Quality Inspection.

UNIT IV MAKING OF ENCLOSURES AND PCB IPC STANDARDS 9


Overview about different non-metal LASERS, Acrylic casing-cutting done by CO2
LASERS, Foam Board Cutting, Printed circuit boards Recycling techniques, Introduction
to Integrated Circuit Packaging and footprints, NEMA and Different IPC standards for PCBs
- IPC 7152, 2152, 2581, 2221, 4101, 4761 and IPC-A-600 Series,
UNIT V EMERGING ADDITIVE PROCESSES FOR PCB MANUFACTURING 9
Fundamentals of additive manufacturing, classification, advantages and standards on
Additive manufacturing. Stereo lithography (SL), Stereo lithography (SL), Fused
Deposition Modelling (FDM), Three Dimensional Printing (3DP), Materials, Applications.
Voltera-V-one PCB double side Printer, Bot Factory- SV2-multi layer PCB printer, LPKF
circuit board plotter and LDS Prototyping.

TOTAL: 45 PERIODS
COURSE OUTCOMES
At the end of the course, students will have
CO1: To comprehend the fundamentals of the PCB and System Design that set industry
standards.

CO2: Leads new users of the software through a very simple design.
CO3: To know and guide in designing plated through-holes, surface-mount lands,
and Layoutfootprints in general.
CO4: To know to construct t h e enclosures for Designed PCBs .
CO5: To understand and to fabricate PCBs by different fabrication methods.

REFERENCES:
1. Kraig Mitzner, “Complete PCB Design Using OrCad Capture and
Layout”, Newness, 1st Edition, 2009.
2. Simon Monk, “Make Your Own PCBs with EAGLE: From Schematic
Designs to Finished Boards”, McGraw-Hill Education TAB; 2nd Edition,
2017.
3. Douglas Brooks, “Signal Integrity Issues and Printed Circuit Board
Design”, Prentice Hall PTR, 2003.
4. Lee W. Ritchey , John Zasio, Kella J. Knack, “Right the First Time: a
Practical Handbookon High Speed PCB and System Design”, Speeding
Edge , 2003.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 1 1 2 2
CO2 3 3 2 2 2 2
CO3 3 3 3 3 2 2
CO4 3 3 3 3 2 2
CO5 3 3 3 2 2 2
Avera 3 2.8 2.4 2.2 2 2
ge
VL23042 ELECTRONIC PACKAGING TECHNOLOGIES LTPC
3003
OBJECTIVES:
• It aims to train the student in the basic concepts of PCB and system design.
• To guide the software users through a simple design.
• To deal with the mechanical component of PCB design and to help with
comprehension
of design challenges and manufacturing procedures.
• To familiarize the students to cutting-edge technologies in PCB design and
manufacturing.

UNIT I OVERVIEW OF ELECTRONIC SYSTEMS PACKAGING 9


Definition of a system and history of semiconductors, Products and levels of packaging,
Packaging aspects of handheld products, Definition of PWB, Basics of Semiconductor
and Process flowchart, Wafer fabrication, inspection and testing, Wafer packaging;
Packaging evolution; Chip connection choices, Wire bonding, TAB and flip chip.

UNIT II SEMICONDUCTOR PACKAGES 9


Single chip packages or modules (SCM), Commonly used packages and advanced
packages; Materials in packages; Thermal mismatch in packages; Multichip modules
(MCM)-types; System-in-package (SIP); Packaging roadmaps; Hybrid circuits; Electrical
Design considerations in systems packaging, Resistive, Capacitive and Inductive
Parasitics, Layout guidelines and the Reflection problem, Interconnection.

UNIT III CAD FOR PRINTED WIRING BOARDS 9


Benefits from CAD; Introduction to DFM, DFR & DFT, Components of a CAD package
and its highlights, Beginning a circuit design with schematic work and component, layout,
DFM check, list and design rules; Design for Reliability,Printed Wiring Board
Technologies: Board-level packaging aspects, Review of CAD output files for PCB
fabrication; Photo plotting and mask generation, Process flow-chart; Vias; PWB
substrates; Surface preparation, Photoresist and application methods; UV exposure and
developing; Printing technologies for PWBs, PWB etching; PWB etching; Resist stripping;
Screenprinting technology, hrough-hole manufacture process steps; Panel and pattern
plating methods, Solder mask for PWBs; Multilayer PWBs; Introduction to, microvias,
Microvia technology and Sequential buildup technology process flow for high-density,
interconnects

UNIT IV SURFACE MOUNT TECHNOLOGY AND THERMAL


CONSIDERATIONS 9
SMD benefits; Design issues; Introduction to soldering, Reflow and Wave Soldering
methods to attach SMDs, Solders; Wetting of solders; Flux and its properties; Defects in
wave soldering, Vapour phase soldering, BGA soldering and Desoldering/Repair; SMT
failures, SMT failure library and Tin Whisker, Tin-lead and lead-free solders; Phase
diagrams; Thermal profiles for reflow soldering; Lead freevAlloys, Lead-free solder
considerations; Green electronics; RoHS compliance and e-waste recycling, Issues,
Thermal Design considerations in systems packaging
UNIT V EMBEDDED PASSIVES TECHNOLOGY 9
Introduction to embedded passives; Need for embedded passives; Design Library;
Embedded resistor processes, Embedded capacitors; Processes for embedding
capacitors; Case study examples.

TOTAL: 45 PERIODS

COURSE OUTCOMES
At the end of the course, students will have
CO1: Recommend the appropriate packaging style to be used for given an electronic
system PCB or integrated circuit design specifications
CO2: Propose a design procedure and solution for the packaging of PCB and
integrated circuit specification
CO3: Distinguish between engineering performance, economic efficiency and develop
high performance packaging approaches

TEXT BOOK:
1. Rao R. Tummala, “Fundamentals of Microsystems Packaging”, McGraw Hill,
NY, 2001.

REFERENCE:
1. William D. Brown, “Advanced Electronic Packaging”, IEEE Press, 1999.

CO-PO MAPPING

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 2 1 1 2 2
CO2 3 3 2 2 2 2
CO3 3 3 3 3 2 2
Avera 3 2.6 2 2 2 2
ge
EC23C01 WIRELESS SENSOR NETWORK DESIGN LTPC
3 003
COURSE OBJECTIVES :
• To understand the fundamentals of wireless sensor network
• To gain knowledge on the MAC and Routing Protocols of WSN
• To get exposed to 6LOWPAN technology
• To acquire knowledge on the protocols required for developing real time applications
using WSN and 6LOWPAN.
• To gain knowledge about operating system related to WSN and 6LOWPAN

UNIT I INTRODUCTION 9
Principle of Wireless Sensor Network -Introduction to wireless sensor networks- Challenges,
Comparison with ad hoc network, Node architecture and Network architecture, design
principles, Service interfaces, Gateway, Short range radio communication standards-IEEE
802.15.4, Zigbee and Bluetooth. Physical layer and transceiver design considerations.

UNIT II MAC AND ROUTING PROTOCOLS 9


MAC protocols – fundamentals, low duty cycle protocols and wakeup concepts, contention
and Schedule-based protocols - SMAC, BMAC,TRAMA, Routing protocols – Requirements,
Classification
-SPIN, Directed Diffusion, COUGAR, ACQUIRE, LEACH, PEGASIS.

UNIT III 6LOWPAN 9


6LoWPAN Architecture - protocol stack, Adaptation Layer, Link layers – Addressing, Routing
- Mesh- Under - Route-Over, Header Compression - Stateless header compression - Context-
based header compression, Fragmentation and Reassembly , Mobility – types, Mobile IPv6,
Proxy Home Agent, Proxy MIPv6, NEMO –Routing – MANET, ROLL, Border routing.
Publish/subscribe, Web service paradigms, Common Protocols -Web service protocols, MQ
telemetry transport for sensor networks (MQTT-S), ZigBee compact application protocol
(CAP),Service discovery, Simple network management protocol (SNMP), Real-time transport
and sessions, Industry- Specific protocols.

UNIT V TOOLS 9
TinyOS – Introduction, NesC, Interfaces, modules, configuration, Programming in TinyOS
using NesC, TOSSIM, Contiki – Structure, Communication Stack, Simulation environment –
Cooja simulator, Programming
TOTAL: 45 PERIODS
COURSE OUTCOMES:
CO1: To be able to design solutions for WSNs applications
CO2: To be able to develop efficient MAC and Routing Protocols
CO3: To be able to design solutions for 6LOWPAN applications
CO4: To be able to develop efficient layered protocols in 6LOWPAN
CO5: To be able to use Tiny OS and Contiki OS in WSNs and 6LOWPAN applications
REFERENCES:
1. Holger Karl , Andreas willig, “Protocol and Architecture for Wireless Sensor
Networks”, John Wiley Publication, 2006.
2. Anna Forster, “Introduction to Wireless Sensor Networks”, Wiley, 2017.
3. Zach Shelby Sensinode and Carsten Bormann, “ 6LoWPAN: The Wireless
Embedded Internet” John Wiley and Sons, Ltd, Publication, 2009.
4. Philip Levis, “TinyOS Programming”, 2006 –www.tinyos.net.
5. The Contiki Operating System.http://www.sics.se/contiki.

ARTICULATION MATRIX:

CO PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
1 3 3 2 2 2 1 - - - - 2 2
2 3 3 2 2 2 1 - - - - - 2
3 3 3 3 2 2 1 - - - - - 3
4 3 3 3 3 2 2 - - - - - 2
5 2 - 1 1 3 2 - - - - - 2
CO 2.8 3 2.2 2 2.2 1.4 - - - - 2 2.2
VL23043 NATURAL LANGUAGE PROCESSING LTPC
20 23
COURSE OBJECTIVES:
• To understand basics of linguistics, probability and statistics
• To study statistical approaches to NLP and understand sequence labeling
• To outline different parsing techniques associated with NLP
• To explore semantics of words and semantic role labeling of sentences
• To understand discourse analysis, question answering and chatbots

UNIT I INTRODUCTION 6L,8P

Natural Language Processing – Components - Basics of Linguistics and Probability and Statistics –
Words-Tokenization-Morphology-Finite State Automata
PRACTICALS:
1. Probability and Statistics for NLP Problems
2. Carry out Morphological Tagging and Part-of-Speech Tagging for a sample text

UNIT II STATISTICAL NLP AND SEQUENCE LABELING 6L,8P

N-grams and Language models –Smoothing -Text classification- Naïve Bayes classifier – Evaluation
- Vector Semantics – TF-IDF - Word2Vec- Evaluating Vector Models -Sequence Labeling – Part of
Speech – Part of Speech Tagging -Named Entities –Named Entity Tagging
PRACTICALS
1. Design a Finite State Automata for more Grammatical Categories
2. Problems associated with Vector Space Model

UNIT III CONTEXTUAL EMBEDDING 6L,4P

Constituency –Context Free Grammar –Lexicalized Grammars- CKY Parsing – Earley's algorithm-
Evaluating Parsers -Partial Parsing – Dependency Relations- Dependency Parsing -Transition
Based - Graph Based
PRACTICALS
1. Hand Simulate the working of a HMM model

UNIT IV COMPUTATIONAL SEMANTICS 6L,4P

Word Senses and WordNet – Word Sense Disambiguation – Semantic Role Labeling – Proposition
Bank- FrameNet- Selectional Restrictions - Information Extraction - Template Filling
PRACTICALS:
1. Examples for different types of work sense disambiguation
UNIT V DISCOURSE ANALYSIS AND SPEECH PROCESSING 6L,6P

Discourse Coherence – Discourse Structure Parsing – Centering and Entity Based Coherence –
Question Answering –Factoid Question Answering – Classical QA Models – Chatbots and Dialogue
systems – Frame-based Dialogue Systems – Dialogue–State Architecture
PRACTICALS:
1. Give the design of a Chatbot

L+P: 30+30 PERIODS

COURSE OUTCOMES:
CO1: Understand basics of linguistics, probability and statistics associated with NLP
CO2: Implement a Part-of-Speech Tagger
CO3: Design and implement a sequence labeling problem for a given domain
CO4: Implement semantic processing tasks and simple document indexing and searching system
using the concepts of NLP
CO5: Implement a simple chatbot using dialogue system concepts
TEXT BOOKS
1. Daniel Jurafsky and James H.Martin, “Speech and Language Processing: An Introduction to Natural
Language Processing, Computational Linguistics and Speech Recognition” (Prentice Hall Series in
Artificial Intelligence), 2020
2. Jacob Eisenstein. “Natural Language Processing “, MIT Press, 2019
3. Samuel Burns “Natural Language Processing: A Quick Introduction to NLP with Python and NLTK,
2019
4. Christopher Manning, “Foundations of Statistical Natural Language Processing”, MIT Press, 2009.

REFERENCES
1. Nitin Indurkhya,Fred J. Damerau, “Handbook of Natural Language Processing”, Second edition,
Chapman & Hall/CRC: Machine Learning & Pattern Recognition, Hardcover,2010
2. Deepti Chopra, Nisheeth Joshi, “Mastering Natural Language Processing with Python”, Packt
Publishing Limited, 2016
3. Mohamed Zakaria Kurdi “Natural Language Processing and Computational Linguistics: Speech,
Morphology and Syntax (Cognitive Science)”, ISTE Ltd., 2016
4. Atefeh Farzindar,Diana Inkpen, “Natural Language Processing for Social Media (Synthesis
Lectures on Human Language Technologies)”, Morgan and Claypool Life Sciences, 2015

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 2 3 2 1
CO2 1 3 2 3
CO3 3 2 1 2
CO4 1 3 2
CO5 2 3 1 3 1
Averag
2 2 1 1 1 1
e
VL23044 COMPUTER VISION LTPC
3003

UNIT I INTRODUCTION TO IMAGE FORMATION AND PROCESSING 9


Computer Vision - Geometric primitives and transformations - Photometric image formation -
The digital camera - Point operators - Linear filtering - More neighborhood operators - Fourier
transforms - Pyramids and wavelets - Geometric transformations - Global optimization.

UNIT II FEATURE DETECTION, MATCHING AND SEGMENTATION 9


Points and patches - Edges - Lines - Segmentation - Active contours - Split and merge - Mean
shift and mode finding - Normalized cuts - Graph cuts and energy-based methods.

UNIT III FEATURE-BASED ALIGNMENT & MOTION ESTIMATION 9


2D and 3D feature-based alignment - Pose estimation - Geometric intrinsic calibration –
Triangulation - Two-frame structure from motion - Factorization - Bundle adjustment -
Constrained structure and motion - Translational alignment - Parametric motion - Spline-based
motion - Optical flow - Layered motion.

UNIT IV 3D RECONSTRUCTION 9
Shape from X - Active rangefinding - Surface representations - Point-based representations-
Volumetric representations - Model-based reconstruction - Recovering texture maps and
albedosos.

UNIT V IMAGE-BASED RENDERING AND RECOGNITION 9


View interpolation Layered depth images - Light fields and Lumigraphs - Environment mattes
- Video-based rendering-Object detection - Face recognition - Instance recognition - Category
recognition - Context and scene understanding- Recognition databases and test sets.
TOTAL: 45 PERIODS
OUTCOMES:
At the end of this course, the students will be able to:
CO1:To understand basic knowledge, theories and methods in image processing and
computer vision.
CO2:To implement basic and some advanced image processing techniques in OpenCV.
CO3:To apply 2D a feature-based based image alignment, segmentation and motion
estimations.
CO4:To apply 3D image reconstruction techniques
CO5:To design and develop innovative image processing and computer vision applications.

TEXT BOOKS:
1. Richard Szeliski, “Computer Vision: Algorithms and Applications”, Springer- Texts in
Computer Science, Second Edition, 2022.
2. Computer Vision: A Modern Approach, D. A. Forsyth, J. Ponce, Pearson Education,
Second Edition, 2015.
REFERENCES:
1. Richard Hartley and Andrew Zisserman, Multiple View Geometry in Computer Vision,
Second Edition, Cambridge University Press, March 2004.
2. Christopher M. Bishop; Pattern Recognition and Machine Learning, Springer, 2006
3. E. R. Davies, Computer and Machine Vision, Fourth Edition, Academic Press, 2012.

ARTICULATION MATRIX:
CO’s PO’s
1 2 3 4 5 6 7 8 9 10 11 12
1 3 1 1 1 1 - - - 2 1 3 2
2 3 3 3 2 3 - 1 - 2 1 2 2
3 3 3 2 2 3 - - - 1 1 2 2
4 2 3 3 2 3 - - - 2 1 2 3
5 2 3 3 2 2 2 - - 3 1 2 3
AVG. 2.6 2.6 2.4 1.8 2.4 0.4 0.25 0 2 1 2.2 2.4
VL23045 SOFTWARE DEFINED NETWORKS LTPC
3003

UNIT I SDN: BACKGROUND AND DATA PLANE 9


Evolving Network Requirements – The SDN Approach – SDN and NFV-Related Standards –
SDN Data Plane – OpenFlow Logical Network Device – OpenFlow Protocol.
UNIT II SDN CONTROL PLANE 9
SDN Control Plane Architecture: Southbound Interface, Northbound Interface – Control Plane
Functions – ITU-T Model – OpenDaylight – REST – Cooperation and Coordination among
Controllers.
UNIT III SDN APPLICATION PLANE 9
SDN Application Plane Architecture – Network Services Abstraction Layer – Traffic Engineering
– Measurement and Monitoring – Security – Data Center Networking -- -Mobility and Wireless
– Information-centric Networking

UNIT IV NETWORK FUNCTION VIRTUALIZATION 9


NFV Concepts – Benefits and Requirements – Reference Architecture – NFV Infrastructure –
Virtualized Network Functions – NFV Management and Orchestration – NFV Use cases – SDN
and NFV

UNIT V NETWORK VIRTUALIZATION 9


Virtual LANs – OpenFlow VLAN Support – Virtual Private Networks – Network Virtualization –
OpenDaylight’s Virtual Tenant Network – CoSoftware-Defined Infrastructure

TOTAL: 45 PERIODS
COURSE OUTCOMES
At the end of the course, students will have
CO1: Describe the motivation behind SDN and its data plane (K2)
CO2: Identify the functions of control plane (K3)
CO3: Apply SDN to networking applications (K3)
CO4: Apply various operations of network function virtualization
CO5: Explain various use cases of SDN

TEXT BOOKS:
1. William Stallings, “Foundations of Modern Networking: SDN, NFV, QoE, IOT and
Cloud”, Pearson Education, 1st Edition, 2015.
2. Thomas D Nadeau, Ken Gray, “SDN: Software Defined Networks”, O’Reilly
Media,
2013.

REFERENCES:
1. Fei Hu, “Network Innovation through OpenFlow and SDN: Principles and
Design”,
1st Edition, CRC Press, 2014.
2. Paul Goransson, Chuck Black Timothy Culver, “Software Defined Networks: A
Comprehensive Approach”, 2nd Edition, Morgan Kaufmann Press, 2016.
3. Oswald Coker, Siamak Azodolmolky, “Software-Defined Networking with
OpenFlow”, 2nd Edition, O’Reilly Media, 2017.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO1


1 2 3 4 5 6 7 8 9 0 1 2
CO1 3 3 3 3 3 2 - - - - - 3
CO2 3 3 3 2 2 2 - - - - - 3
CO3 3 3 3 3 1 2 - - - - - 3
CO4 2 3 3 2 2 1 - - - - - 2
CO5 3 3 2 2 2 1 - - - - - 2
Avera 3 3 3 2 2 2 - - - - - 2
ge
VL23046 MASSIVE MIMO NETWORKS L T PC

3 0 0 3
COURSE OBJECTIVES:
● To gain knowledge about massive MIMO networks.
● To understand the massive MIMO propagation channels.
● To learn about channel estimation in single cell and multicell massive MIMO systems.
● To comprehend the concepts of massive MIMO deployment in the context of single
cell and multicell deployment.
UNIT I MASSIVE MIMO NETWORKS 9

Definition of Massive MIMO, Correlated Rayleigh Fading, System Model for Uplink and
Downlink, Basic Impact of Spatial Channel Correlation, Channel Hardening and Favourable
Propagation, Local Scattering Spatial Correlation Model

UNIT II THE MASSIVE MIMO PROPAGATION CHANNEL 9

Favorable Propagation and Deterministic Channels-Capacity Upper Bound-Distance from


Favorable Propagation-Favorable Propagation and Linear Processing-Singular Values and
Favorable Propagation, Favorable Propagation and Random Channels-Independent
Rayleigh Fading-Uniformly Random Line-of-Sight (UR-LoS)-Independent Rayleigh Fading
versus UR-LoS - Finite-Dimensional Channels

UNIT III SINGLE-CELL SYSTEMS 9

Uplink Pilots and Channel Estimation - Orthogonal Pilots- De-Spreading of the Received Pilot
Signal-MMSE Channel Estimation, Uplink Data Transmission - Zero-Forcing -Maximum-
Ratio, Downlink Data Transmission-Linear Precoding-Zero-Forcing-Maximum-Ratio,
Discussion- Interpretation of the Effective SINR Expressions-Implications for Power Control-
Scaling Laws and Upper Bounds on the SINR - Near-Optimality of Linear Processing when
M >> K - Net Spectral Efficiency - Limiting Factors: Number of Antennas and Mobility

UNIT IV MULTI-CELL SYSTEMS 9

Uplink Pilots and Channel Estimation, Uplink Data Transmission - Zero-Forcing -Maximum-
Ratio, Downlink Data Transmission -Zero-Forcing - Maximum-Ratio, Discussion -Asymptotic
Limits with Infinite Numbers of Base Station Antennas - The Effects of Pilot Contamination -
Non-Synchronous Pilot Interference

UNIT V CASE STUDIES 9

Single-Cell Deployment Example: Fixed Broadband Access in Rural Area, Multi-Cell


Deployment: Preliminaries and Algorithms, Multi-Cell Deployment Examples: Mobile
Access - Dense Urban Scenario - Suburban Scenario - Minimum Per-Terminal Throughput
Performance -Additional Observations - Comparison of Power Control Policies
COURSE OUTCOMES:
CO1: Understand and explain massive MIMO networks.
CO2: Analyze massive MIMO propagation channels and their capacity bounds
CO3: Examine channel estimation techniques for single cell system.
CO4: Analyze channel estimation techniques for multi cell system.
CO5: Explain the concepts underlining the deployment of single and multicell
massive
MIMO systems.
TOTAL:45 PERIODS
TEXT BOOKS
1. Thomas L. Marzetta, Erik G. Larsson, Hong Yang, Hien Quoc Ngo,
“Fundamentals of
Massive MIMO”, Cambridge University Press 2016. (UNITS II-V)
2. Emil Björnson, Jakob Hoydis and Luca Sanguinetti (2017), “Massive MIMO
Networks: Spectral, Energy, and Hardware Efficiency”, Foundations and Trends,
Now, 2017. (UNIT I)
REFERENCES
1. Long Zhao, Hui Zhao, Kan Zheng, “Wei Xiang Massive MIMO in 5G Networks:
Selected
Applications”, Springer 2018.
2. Leibo Liu, Guiqiang Peng, Shaojun Wei, “Massive MIMO Detection Algorithm
and VLSI
Architecture”, Springer 2019.
3. Shahid Mumtaz, Jonathan Rodriguez, Linglong Dai, “mmWave Massive MIMO A
Paradigm
for 5G”, Elsevier, 2017

CO’s-PO’s & PSO’s MAPPING


CO PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
1 3 2 1 1 2 2 - - - - - 2
2 3 3 2 2 2 2 - - - - - 1
3 3 2 2 2 2 2 - - - - - 1
4 3 3 2 2 2 2 - - - - - 1
5 3 2 2 2 2 2 - - - - - 2
CO 3 2.4 1.8 1.8 2 2 - - - - - 1.4
VL23047 CRYPTOGRAPHY AND NETWORK SECURITY L T PC
3 0 0 3
COURSE OBJECTIVES:
● To learn the fundamentals of cryptography.
● To learn the key management techniques and authentication approaches.
● To explore the network and transport layer security techniques.
● To understand the application layer security standards.
● To learn the real time security practices.

UNIT I INTRODUCTION 9
Basics of cryptography, conventional and public-key cryptography, hash functions, authentication,
and digital signatures
UNIT II KEY MANAGEMENT AND AUTHENTICATION 9
Key Management and Distribution: Symmetric Key Distribution, Distribution of Public Keys, X.509
Certificates, Public-Key Infrastructure. User Authentication: Remote User-Authentication Principles,
Remote User-Authentication Using Symmetric Encryption, Kerberos Systems, Remote User
Authentication Using Asymmetric Encryption.
UNIT III ACCESS CONTROL AND SECURITY 9
Network Access Control: Network Access Control, Extensible Authentication Protocol, IEEE 802.1X
Port-Based Network Access Control - IP Security - Internet Key Exchange (IKE). Transport-Level
Security: Web Security Considerations, Secure Sockets Layer, Transport Layer Security, HTTPS
standard, Secure Shell (SSH) application.
UNIT IV APPLICATION LAYER SECURITY 9
Electronic Mail Security: Pretty Good Privacy, S/MIME, DomainKeys Identified Mail. Wireless
Network Security: Mobile Device Security
UNIT V SECURITY PRACTICES 9
Firewalls and Intrusion Detection Systems: Intrusion Detection Password Management, Firewall
Characteristics Types of Firewalls, Firewall Basing, Firewall Location and Configurations.
Blockchains, Cloud Security and IOT security

TOTAL:45 PERIODS
COURSE OUTCOMES:
CO1: Classify the encryption techniques
CO2: Illustrate the key management technique and authentication.
CO3 Evaluate the security techniques applied to network and transport layer
CO4: Discuss the application layer security standards.
CO5: Apply security practices for real time applications.

TEXT BOOKS
1. Cryptography and Network Security: Principles and Practice, 6th Edition, William Stallings,
2014, Pearson, ISBN 13:9780133354690.
REFERENCES
1. Network Security: Private Communications in a Public World, M. Speciner, R.
Perlman, C. Kaufman, Prentice Hall, 2002.
2. Linux iptables Pocket Reference, Gregor N. Purdy, O'Reilly, 2004, ISBN-13: 978-
0596005696.
3. Linux Firewalls, by Michael Rash, No Starch Press, October 2007, ISBN: 978-1-
59327-141- 1.
4. Network Security, Firewalls And VPNs, J. Michael Stewart, Jones & Bartlett
Learning, 2013, ISBN-10: 1284031675, ISBN-13: 978-1284031676.
5. The Network Security Test Lab: A Step-By-Step Guide, Michael Gregg, Dreamtech
Press, 2015, ISBN-10:8126558148, ISBN-13: 978-8126558148.

ARTICULATION MATRIX:

CO PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12
1 3 3 2 2 2 - - - 2 1 2 1
2 1 1 3 2 2 - - - 2 2 1 1
3 1 2 1 1 2 - - - 3 3 1 3
4 2 2 3 2 3 - - - 3 3 2 1
5 2 1 3 2 2 - - - 2 1 1 3
CO 1.8 1.8 2.4 1.8 2.2 - - - 2.4 2 1.4 1.8
VL23048 OPTICAL COMMUNICATION & NETWORKS LTPC

3003
COURSE OBJECTIVES:
• To Study About The Various Optical Fiber Modes, Configuration Of Optical Fibers
• To Study Transmission Characteristics Of Optical Fibers.
• To Learn About The Various Optical Sources, Detectors And Transmission
Techniques.
• To Explore Various Idea About Optical Fiber Measurements And Various Coupling
Techniques.
• To Enrich The Knowledge About Optical Communication Systems And Networks.

UNIT-I INTRODUCTION TO OPTICAL FIBER COMMUNICATION 9


Introduction - The General Systems - Advantages of Optical Fiber Communication- Ray
Theory Transmission : Total Internal Reflection, Acceptance Angle, Numerical Aperture, Skew
Rays - Electromagnetic Mode Theory for Optical Propagation: Modes in a Planar Guide,
Phase and group velocity - Cylindrical Fiber: Step index fibers, Graded index fibers - Single
mode fibers: Cutoff wavelength.
UNIT-II TRANSMISSION CHARACTERISTICS OF OPTICAL FIBERS 9
Attenuation - Material absorption losses in silica glass fibers: Intrinsic absorption, Extrinsic
absorption - Linear scattering losses: Rayleigh Scattering, Mie Scattering -Nonlinear
scattering losses: Stimulated Brillouin Scattering, Stimulated Raman Scattering – Fiber Bend
Loss – Dispersion- Chromatic dispersion: Material dispersion, Waveguide dispersion-
Intermodal dispersion : Multimode step index fiber, Multimode graded index fiber.
UNIT-III OPTICAL SOURCES AND OPTICAL DETECTORS 9
The laser : Introduction - Basic concepts: Absorption and emission of radiation, Population
inversion , Optical feedback and laser oscillation, Threshold condition for laser oscillation-
Optical emission from semiconductors: The PN junction, Spontaneous emission, Carrier
recombination, Stimulated emission and lasing, Hetero junctions- LED: Introduction- Power
and Efficiency - LED structures: Planar LED, Dome LED, Surface emitter LED, Edge emitter
LED- LED Characteristics. Optical Detectors:Introduction ,Optical Detection Principles,
Quantum Efficiency, Resposivity, P-N Photodiode ,P-I-N Photo Diode and Avalanche
Photodiode.
UNIT-IV OPTICAL FIBER MEASUREMENTS 9
Introduction- Total Fiber Attenuation Measurement, Fiber Dispersion Measurements In Time
Domain and Frequency Domain, Fiber Cut off Wavelength Measurements, Numerical
Aperture Measurements. Fiber Diameter Measurements,.Reflectance And Optical Return
Loss, Field Measurements
UNIT-V OPTICAL NETWORKS 9
Introduction- Optical Network Concepts: Optical Networking Terminology, Optical Network
Node And Switching Elements, Wavelength Division Multiplexed Networks, Public
Telecommunications Network Overview- Optical Network Transmission Modes, Layers And
Protocols: Synchronous Networks, Asynchronous Transfer Mode, Open System
Interconnection Reference Model, Optical Transport Network, Internet Protocol- Wavelength
Routing Networks: Routing And Wavelength Assignment- Optical Switching Networks: Optical
Circuit Switched Networks, Optical Packet Switched Networks, Multiprotocol Label Switching,
Optical Burst Switching Networks- Optical Network Deployment : Long Haul Networks,
Metropolitan area networks, Access networks, Local Area Networks- Optical Ethernet:
Network protection, restoration and survivability.
TOTAL: 45 PERIODS
COURSE OUTCOMES
At the end of the course, the student will be able to understand the
CO1: Realize Basic Elements in Optical Fibers, Different modes, and Configurations.
CO2: Analyze The Transmission Characteristics Associated with Dispersion and
Polarization
Techniques.
CO3: Design Optical Sources and Detectors with Their Use in Optical Communication
System.
CO4: Construct Fiber Optic Receiver Systems, Measurements and Techniques.
CO5: Design Optical Communication Systems and Its Networks.

TEXT BOOK:
1. John M.Senior, “Optical Fiber Communication”, Pearson Education, Fouth
Edition.2010.

REFERENCES:
1. Gred Keiser,"Optical Fiber Communication”, McGraw Hill Education (India) Private
Limited. Fifth Edition, Reprint 2013.
2. Govind P. Agrawal, “Fiber-Optic Communication Systems”, Third Edition, John Wiley
& Sons, 2004.
3. J.Gower, “Optical Communication System”, Prentice Hall Of India, 2001
4. Rajiv Ramaswami, “Optical Networks “ , Second Edition, Elsevier , 2004.
5. P Chakrabarti, "Optical Fiber Communication”, McGraw Hill Education (India)Private
Limited, 2016

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 3 2 3 3 1 - - - - - 1
CO2 3 3 2 1 3 2 - - - - - 2
CO3 3 3 3 3 2 1 - - - - - 1
CO4 3 3 2 2 2 1 - - - - - 1
CO5 3 3 3 3 2 1 - - - - - 1
Average 3 3 2 3 3 1 - - - - - 1
VL23049 5G WIRELESS COMMUNICATION NETWORKS L T P C
3 0 0 3

UNIT I MOBILE COMMUNICATIONS OVERVIEW 9

Evolution from 1G to 5G, Analog voice systems in 1G, digital radio systems in 2G, voice and
messaging services, TDMA based GSM, CDMA, 2.5G (GPRS), 2.75G (EDGE); IMT2000, 3G
UMTS, W-CDMA, HSPA, HSPA+, 3G services and data rates, IMT Advanced, 4G, LTE,
VoLTE, OFDM, MIMO, LTE Advanced Pro (3GPP Release 13+), IMT2020, enhancements in
comparison to IMT Advanced
5G COMMUNICATION 9
UNIT II

5G potential and applications, Usage scenarios, enhanced mobile broadband (eMBB), ultra
reliable low latency communications (URLLC), massive machine type communications
(MMTC), D2D communications, V2X communications, Spectrum for 5G, spectrum
access/sharing, millimeter Wave communication, carrier aggregation, small cells, dual
connectivity.

UNIT III MULTI-CARRIER WAVEFORMS AND MULTIPLE 9


ACCESS SCHEMES

Potential Candidate waveforms OF 5G- Principles, Transceiver block diagram, Frame


structure, Resource structure and mapping - Filter-bank based multi-carrier (FBMC), Universal
filtered multi carrier (UFMC), Generalized frequency division multicarrier (GFDM), MIMO-
GFDM; Principle, Transceiver model and types of NOMA - Power Domain NOMA, Sparse
Code NOMA, Power Domain Sparse Code NOMA and Cooperative NOMA

UNIT IV 5G NETWORK PROTOCOLS 9

New Radio (NR), Standalone and non-standalone mode, massive MIMO, beam formation,
PHY API Specification, flexible frame structure, Service Data Adaptation Protocol (SDAP),
centralized RAN, open RAN, multi-access edge computing (MEC); Introduction to software
defined networking (SDN), network function virtualization (NFV), network slicing; restful API
for service-based interface, private networks.

UNIT V CURRENT STATE AND CHALLENGES AHEAD 9

5G penetration in developed countries; deployment challenges in low-middle income


countries, stronger backhaul requirements, dynamic spectrum access and usage of
unlicensed spectrum, contrasting radio resource requirements, large cell usage, LMLC,
possible solutions for connectivity in rural areas (BharatNet, TVWS, Long-range WiFi, FSO);
non-terrestrial fronthaul / backhaul solutions: LEOs, HAP/UAV.

TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Demonstrate understanding of the evolution of mobile communication standards
developed over the years
CO2: Demonstrate understanding of the potential of 5G Wireless Communication and
the enabling technologies
CO3: Perform computations and solve problems on different multi-carrier options and
multiple access techniques.
CO4: Assess and evaluate how software defined network functions helps in scalability
and ease of operations.
CO5: Evaluate the use of advanced techniques in cellular communications.

TEXT BOOKS:
1. Evolution of air interface towards 5G, Suvra Shekhar Das and Ramjee Prasad, 2018
2. Afif Osseiran, Jose. F. Monserrat and Patrick Marsch,"5G Mobile and Wireless
Communications Technology", Cambridge University Press, 2016.
3. Xiang, W; Zheng, K; Shen, X.S; "5G Mobile Communications”, Springer, 2016

REFERENCES:
1. Mobile Communications by Jochen Schiller Pub: Financial Times / Imprint of Pearson
2. Mobile Cellular Telecommunications: Analog and Digital Systems by William Lee, Pub:
McGraw Hill Education
3. Mobile Communications Design Fundamentals by William Lee, Pub: Wiley India Pvt.
Ltd.
4. Wireless Communications: Principles and Practice by Theodore S. Rappaport, Pub:
Pearson

ARTICULATION MATRIX:

P P P P P P P P P PO PO PO
O1 O2 O3 O4 O5 O6 O7 O8 O9 10 11 12
CO1 2 1 1 1 1
CO2 2 1 1 1 1
CO3 3 3 2 1 1
CO4 3 3 2 1 1
CO5 3 3 3 1 1
Avera 2.6 2.2 1.8 1 1
ge
VL23050 WIRELESS BROAD BAND NETWORKS LTPC
3003
COURSE OBJECTIVES
• To study the various network layer and transport layer protocols for wireless networks
• To study the architecture and interference mitigation techniques in 3G standards
• To learn about 4G technologies and LTE-A in mobile cellular network.
• To learn about the layer level functionalities in interconnecting networks.
• To study the emerging techniques in 5G network.
UNIT I WIRELESS PROTOCOLS 9
Mobile network layer- Fundamentals of Mobile IP, data forwarding procedures in mobile IP,
IPv4, IPv6, IP mobility management, IP addressing - DHCP, Mobile transport layer-Traditional
TCP, congestion control, slow start, fast recovery/fast retransmission, classical TCP
improvements- Indirect TCP, snooping TCP, Mobile TCP.
UNIT II 3G EVOLUTION 9
IMT-2000 – W-CDMA, CDMA 2000 – radio & network components, network structure, packet-
data transport process flow, Channel Allocation, core network, interference-mitigation
techniques, UMTS-services, air interface, network architecture of 3GPP, UTRAN –
architecture, High Speed Packet Data-HSDPA,HSUPA.
UNIT III 4G EVOLUTION 9
Introduction to LTE-A – Requirements and Challenges, network architectures – EPC, E-
UTRAN architecture - mobility management, resource management, services, channel -
logical and transport channel mapping, downlink/uplink data transfer, MAC control element,
PDU packet formats, scheduling services, random access procedure.
UNIT IV LAYER-LEVEL FUNCTIONS 9
Characteristics of wireless channels – downlink physical layer, uplink physical layer, MAC
scheme – frame structure, resource structure, mapping, synchronization, reference signals
and channel estimation, SC-FDMA, interference cancellation – CoMP, Carrier aggregation,
Services – multimedia broadcast/multicast, location-based services.
UNIT V 5G EVOLUTION 9
5G Roadmap - Pillars of 5G - 5G Architecture, The 5G internet - IOT and context awareness
- Networking reconfiguration and virtualization support - Mobility QoS control - emerging
approach for resource over provisioning, Small cells for 5G mobile networks- capacity limits
and achievable gains with densification - Mobile data demand, Demand Vs Capacity, Small
cell challenges, conclusion and future directions.
TOTAL: 45 PERIODS
COURSE OUTCOMES:
Upon completion of the course, the student will be able to
CO1: Design and implement the various protocols in wireless networks.
CO2: Analyze the architecture of 3G network standards.
CO3: Analyze the difference of LTE-A network design from 4G standard.
CO4: Design the interconnecting network functionalities by layer level functions.
CO5: Explore the current generation (5G) network architecture.
TEXT BOOKS
1. Kaveh Pahlavan, “Principles of wireless networks”, Prentice-Hall of India, 2008

REFERENCES
1. Vijay K.Garg, “Wireless Network Evolution - 2G & 3G”. Prentice Hall, 2008.
2. Clint Smith,P.E, Dannel Collins, “3G Wireless Networks” Tata McGraw- Hill, 2nd
Edition, 2011.
3. Sassan Ahmadi, “LTE-Advanced – A practical systems approach to understanding
the 3GPP LTE Releases 10 and 11 radio access technologies”, Elsevier, 2014.
4. Jonathan Rodriguez, "Fundamentals of 5G Mobile networks", John Wiley, 2015.

ARTICULATION MATRIX:

CO PO1 PO PO PO PO PO PO PO PO PO PO PO
2 3 4 5 6 7 8 9 10 11 12
1 3 2 2 3 3 1 - - - - 2 3
2 3 3 2 1 3 2 - - - - - -
3 3 3 3 3 2 1 - - - - - 3
4 2 3 3 3 2 2 - - - - - 3
5 2 - 3 3 2 2 - - - - - 3
CO 2.6 2.75 2.6 2.6 2.4 1.6 - - - - 2 3
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO PO PO
10 11 12
CO1 2 2 2 1 1 1 1 1 1 1 1 1
CO2 2 2 2 2 1 1 1 1 1 1 1 1
CO3 3 3 3 2 1 1 1 1 1 1 1 1
CO4 2 2 2 2 1 1 1 1 1 1 1 1
CO5 3 3 3 3 1 1 1 1 1 1 1 1
Average 2.4 2.4 2.4 2 1 1 1 1 `1 1 1 1
EMERGING TECHNOLOGY
VL23502 INTRODUCTION TO MACHINE LEARNING L T P C
2 0 2 3
COURSE OBJECTIVES:
• To introduce the mathematical preliminaries of Machine learning models.
• To explore the data analysis and reduction techniques
• To study supervised techniques for data regression and classification.
• To learn clustering algorithms for unsupervised learning
• To learn deep neural network models
UNIT I MACHINE LEARNING PRELIMINARIES 6L
Artificial neuron and its Mathematical model, Bias- Variance, transfer functions, Maximum
Likelihood estimation, Regression and Classification, Single and multilayer neural networks,
Types of Learning, Incremental and Batch modes of training
UNIT II DATA PROCESSING 6L, 8P

Data characteristics, Pre-processing, Multivariate analysis, Dimensionality Reduction-


Principal Component Analysis, LDA
PRACTICALS:
• Implementation of data pre-processing and data visualization techniques for the different
datasets
• Implementation of dimensionality reduction techniques using principal component
analysis
UNIT III SUPERVISED LEARNING 6L, 7P

Feed forward Neural Networks - Backpropagation algorithm, Generalized Delta rule,


Stochastic gradient descent algorithm, Radial Basis Function Neural Networks, Support vector
Machines – Structural Risk Minimization - Kernel Types.
PRACTICALS:
• Implementation of artificial neural network classification model with back propagation
method
• Implementation of support vector machines classification model
UNIT IV UNSUPERVISED LEARNING 6L, 7P

Clustering - K-means Clustering, Mixture Densities – Expectation- Maximization algorithm,


Hierarchical Clustering, Spectral Clustering, Naïve Bayes, Self-organizing Maps
PRACTICALS:
• Implementation of K-Means Clustering algorithm
• Implementation of Naïve Bayes classification model
UNITV DEEP LEARNING MODELS 6L, 8P
Convolutional neural networks, Transfer Learning Models, Deep belief networks, Recurrent
neural networks, Deep Generative models
PRACTICALS:
• Implementation of CNN models
• Machine Learning based Mini Project

TOTAL : L+P 30+30 PERIODS


COURSE OUTCOME:
• CO1 Ability to understand the mathematical concepts of machine leaningmodels.
• CO2 Ability to analyze the given dataset for designing a neural network based solution.
• CO3 Ability to develop proficiency in the back propagation algorithm for training
multilayer feedforward neural networks
• CO4 Ability to develop clustering methods for complex data analysis
• CO5 Ability to learn deep learning algorithms and architecture for real-world applications

TEXT BOOKS:

2. C. M. Bishop, Pattern Recognition and Machine Learning, Springer , 2013


3. S. Haykin, Neural Networks - A Comprehensive Foundation, Pearson Education, India
4. Goodfellow,I., Bengio.,Y., and Courville,A., (2016), Deep Learning, The MIT Press
5. Freeman J.A., D.M. Skapura, “Neural Networks: Algorithms, Applications
andProgramming Techniques”, Addison-Wesley, Reading, Mass, (1992)
6. N. CRISTIANINI, J. S-TAYLOR (2000), An Introduction to Support Vector Machines
and Other Kernel- based Learning Methods, Cambridge University Press, 1st Edition.
7. S.N. SIVANANDAM, S.N. DEEPA (2018), Principles of Soft Computing, Wiley India,
2018, 3rd Edition.
8. S Sridhar, M Vijayalakshmi, “ Machine Learning”, Oxford University Press, 2021.

REFERENCES:
1. R.O. Duda, P. E. Hart, D. G. Stork, Pattern Classification, John Wiley and Sons
2. Charniak, E. (2019), Introduction to deep learning, The MIT Press
3. Satish Kumar, Neural Networks: A Classroom approach, Tata McGraw Hill
4. B. S,cholkopf, A. J. Smola (2001), Learning with Kernels: Support Vector Machines,
Regularization, Optimization, and Beyond, The MIT Press, 2001, 1st Edition.
5. Luger George F and Stubblefield William A, “Artificial Intelligence: Structures and
Strategies for Complex Problem Solving”, Pearson Education, 2002.
Articulation Matrix: (Along with Blooms level)
CO-PO Articulation Matrix
CO PO PO PO PO PO PO PO PO PO PO1 PO1 PO1
/PO 1 2 3 4 5 6 7 8 9 0 1 2
Bloom 3 4 5 5
s
Level
CO 3 3 2 3
1
CO 3 3 3 2 2 3
2
CO 3 3 3 3 3 3
3
CO 3 3 3 3 3 3
4
CO 3 3 2 2 2 3
5
VL23E02 VLSI SIGNAL PROCESSING L T P C
3 0 0 3
UNIT I PIPELINING AND PARALLEL 9
PROCESSING

Introduction to DSP Systems, Typical DSP algorithms, Data flow graph representations,
Loop bound and Iteration bound, Longest Path Matrix algorithm; Pipelining and Parallel
processing of FIR digital filters, Pipelining and Parallel processing for low power.

UNIT II RETIMING AND ALGORITHMIC STRENGTH 9


REDUCTION

Retiming - definitions and properties; Unfolding – an algorithm for Unfolding, properties of


unfolding, sample period reduction and parallel processing application; Algorithmic strength
reduction in filters and transforms – 2-parallel FIR filter, 2-parallel fast FIR filter, DCT
algorithm architecture transformation, Odd-Even Merge-Sort architecture, Parallel Rank-
Order filters.

UNIT III FAST CONVOLUTION AND COMBINED 9


PIPELINING AND PARALLEL
PROCESSING OF IIR FILTERS

Fast convolution – Cook-Toom algorithm, Modified Cook-Took algorithm; Look- Ahead


pipelining in first- order IIR filters, Look- Ahead pipelining with power-of-two decomposition,
parallel processing of IIR filters, combined pipelining and parallel processing of IIR filters.

UNIT IV BIT-LEVEL ARITHMETIC ARCHITECTURES 9

Bit-Level Arithmetic Architectures- parallel multipliers with sign extension, 4x 4 bit Baugh-
Wooley carry-save multiplication tabular form and implementation, CSD representation,
CSD multiplication using Horner‘s rule for precision improvement, Distributed Arithmetic.

UNIT V NUMERICAL STRENGTH REDUCTION AND WAVE 9


PIPELINING

Numerical Strength Reduction – subexpression elimination, Multiple Constant


Multiplications, Synchronous pipelining and Clocking styles, Clock skew in edge-triggered
single-phase clocking, Wave pipelining.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to determine the parameters influencing the efficiency of DSP
architectures and apply pipelining and parallel processing techniques to
alter FIR structures for efficiency.
CO2: Ability to analyze and modify the design equations leading to efficient DSP
architectures
CO3: Ability to speed up convolution process and develop fast and area efficient
IIR structures.
CO4: Ability to develop fast and area efficient multiplier architectures.
CO5: Ability to reduce multiplications and build fast hardware for synchronous
digital systems.

TEXT BOOKS:
1. Keshab K. Parhi, “VLSI Digital Signal Processing Systems”, Design and
implementation Wiley, Inter Science, Reprint 2008.

REFERENCE BOOKS:
1. Roger Woods, John MCAllister, Gaye Light body and Ying Yi, “FPGA-
based implementation of Signal Processing systems”, Wiley 2nd edition,
2011.
2. Shoab Ahmed Khan, “Digital design of signal processing systems- A
Practical Approach”, A John Wiley and Sons, Ltd., publication, 2011.
3. Mohammed Ismail and Terri Fiez, “Analog VLSI Signal and Information
Processing” ,McGraw-Hill, 1994
4. S.Y. Kung, H.J. White House, T. Kailath, “VLSI and Modern Signal
Processing”, Prentice Hall, 1985
5. Jose E. France, YannisT sividis, “Design of Analog - Digital VLSI Circuits
for Telecommunication and Signal Processing”, Prentice Hall, 1994

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO1 PO1 PO12


1 2 3 4 5 6 7 8 9 0 1
CO1 3 2 1 1 1
1
CO2 3 2 1 1 1 1
CO3 3 2 1 1 1
CO4 3 2 1 1 1
CO5 3 2 1 1
Average 3 2 1 1 1 1
VL23E03 SYSTEM VERILOG FOR VERIFICATION L T P C
2 0 2 3
OBJECTIVE:
The objective of this course is to provide in-depth knowledge on
• To provide knowledge on constructing layered testbench for design verification
• To become proficient in OOPS, interface and constrained-random stimulus generation
• To get insights on threads, interprocess communication and functional coverage

UNIT I VERIFICATION METHODOLOGY 4L,10P

Verification Guidelines: Introduction, Verification Process, Verification Plan, Verification Methodology


Manual, Basic Testbench Functionality, Directed Testing, Methodology Basics, Constrained-Random
Stimulus, Functional Coverage, Testbench Components, Layered Testbench
PRACTICALS:
1. Design a Testbench for 2x1 Mux using gates
2. Implementation of constrained-randomization using different constraints

Unit II SYSTEM VERILOG BASICS AND CONCEPTS 7L


Data Types: Built-in Data Types, Fixed-Size Arrays, Dynamic Arrays, Queues, Creating New Types with
Typedef, Creating User-Defined Structures, Enumerated Types, Constants, Strings. Procedural
Statements and Routines: Procedural Statements, Tasks, Functions, and Void Functions, connecting the
testbench and design

UNIT III OOPS 6L

Introduction-Where to Define a Class- OOPS Terminology -Creating New Objects -Object Deallocation-
Using Objects -Static Variables Vs. Global Variables -Class Routines -Defining Routines Outside of The
Class - Scoping Rules -Using One Class Inside Another - Understanding Dynamic Objects -Copying
Objects - Building a Testbench

UNIT IV CONSTRAINED-RANDOM STIMULUS GENERATION, THREADS and


IPC 8l,15P

Randomization in System Verilog, constraint details, Working With Threads, Inter-Process


Communication (IPC), Events, Semaphores, Mailboxes, Building a Testbench With Threads and IPC.
PRACTICALS:
1. Implementation of a Mailbox By Allocating Memory
2. Implementation and Testing of Semaphore
3. Implementation of testbench components for a Simple DUT

UNIT V FUNCTIONAL COVERAGE AND A CASE STUDY


5L,5P

Coverage Types, Functional Coverage Strategies, Simple Functional Coverage Example, Coverage
Options, Parameterized Cover Groups, Analysing Coverage Data, Measuring Coverage Statistics, A
complete system verilog testbench – a case study
PRACTICALS:
1. Implementation of testbench components for a Simple DUT

TOTAL:30+30=60 PERIODS

COURSE OUTCOMES:
Upon completion of this course, students should demonstrate the ability to
CO1: Use system verilog to create testbenches for digital designs
CO2: Understand and effectively exploit new constructs in System Verilog for verification
CO3: Understand the communication between modules
CO4: Designing a complete testbench using system verilog

TEXT BOOK:
1. System Verilog for Verification: a Guide to Learning The Testbench Language Features, Chris
Spear, Springer 2006

REFERENCES:

1. Writing Testbenches: Functional Verification of HDL Models, Second Edition, Janick Bergeron,
Kluwer Academic Publishers, 2003.
2. System Verilog for Design: a Guide to Using System Verilog for Hardware Design and Modeling,
2nd Edition, Stuart Sutherland, Simon Davidman and Peter Flake, Springer
3. Open Verification Methodology Cookbook, Mark Glasser, Springer, 2009
4. Assertion-Based Design, 2nd Edition, Harry D. Foster, Adam C. Krolnik, David J. Lacey, Kluwer
Academic Publishers, 2004

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 1 2 2 2 1 2
CO2 1 2 2 2 1 2
CO3 1 2 2 2 1 2
CO4 1 2 2 2 1 2
Averag 1 2 2 2 1 2
e
VL23E04 SPINTRONICS AND QUANTUM COMPUTING LTPC
3003
UNIT I LAWS OF SPINTRONICS AND SPIN ORBIT 9

The Early History of Spin, Quantum Mechanics of Spin, Spin – Orbit interaction, Spin – Orbit interaction
of Solids.

UNIT II SPIN ELECTRON TRANSPORT 9

Basic Electron Transport, Basic Electron Transport in thin film, Conduction in Discontinuous film,
Magneto-resistance, Spin-Dependent Scattering, Giant Magneto Resistance, Spin Dependent
Tunneling, Tunnel Magneto-resistance, MTJ, STT, SOT.

UNIT III SPIN TRANSISTOR 9

Silicon based spin electron device, Spin field effect transistor Spin injection, spin diffusion, Spin LED:
Fundamental and Application, Spin photo electronics Devices

UNIT IV ELECTRON SPINS IN QUANTUM DOTS AS 9


QUBITS

Conventional Vs Quantum Computing - Quantum Communication - Requirements for Quantum


Computing - Coupled Quantum Dots as Quantum Gates - Single-Spin Rotations - Read-Out of a Single
Spin – Electron Spin in Quantum Dots.

UNIT V QUANTUM COMPUTING WITH SPINS 9

The quantum inverter - NAND without energy dissipation - Universal reversible gate: Toffoli-Fredkin
gate, A-matrix – Quantum gates and circuits, Superposition states – Quantum parallelism - Universal
quantum gates – Quantum Algorithms and Circuits, Quantum Fourier transform.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of this course students will be able to
CO1: Ability to learn the laws of spintronics and spin orbit.
CO2: Ability to obtain spin based transport and its characteristics.
CO3: Identify the types of spintronics based devices.
CO4: Design quantum gates using qubits.
CO5: Apply the quantum principles to quantum universal gates.

TEXT BOOKS:
1. Bandyopadhyay S, Cahay M. Introduction to spintronics. CRC press; 2015.
2. Awschalom DD, Loss D, Samarth N, editors. Semiconductor spintronics and quantum
computation. Springer Science & Business Media; 2013.
3. Hedin ER, Joe YS, editors. Spintronics in nanoscale devices. CRC Press; 2013 Aug 20.
4. D. J. Sellmyer, R. Skomski. Advanced Magnetic Nanostructures. SpringerPublishers, 2005.
REFERENCES
1. S. Maekawa. Concepts in Spin Electronics. Oxford University Press; 2006.
2. D.D. Awschalom, R.A. Buhrman, J.M. Daughton, S.V. Molnar, and M.L. Roukes, Spin
Electronics, Kluwer Academic Publishers, 2004.
3. Y.B. Xu and S.M.Thompson. Spin Materials and Technology. Taylor & Francis, 2006.

ARTICULATION MATRIX:

PO PO PO PO PO PO PO PO PO PO PO PO
1 2 3 4 5 6 7 8 9 10 11 12
CO1 3 3 2 1 2 1 1
CO2 3 3 2 1 2 1 1
CO3 3 3 2 1 2 1 1
CO4 3 3 2 1 2 1 1
CO5 3 3 2 1 2 1 1
Averag 3 3 2 1 2 1 1
e
VERTICAL – MINOR DEGREE
ELECTRONICS SYSTEMS
EC23C26 INTRODUCTION TO ELECTRONIC DEVICES AND L T P C
CIRCUITS
3 0 0 3
UNIT I SEMICONDUCTOR DIODES 9

Review of Properties of Semiconductor Materials - Theory of PN Junction Diode V-I


Characteristics and its Temperature dependence - Break down mechanisms - Applications:
Rectifiers - Clipper – Clamper, Zener diode- V-I Characteristics - Break down mechanisms
- Tunnel Diode, Application: Voltage regulator and Varactor Diode Characteristics.

UNIT II BJT,FET AND CMOS 9

BJT: Transistor types - transistor action - current components - transistor as a switch and
amplifier Field effect transistor : JFET and its characteristics - JFET parameters and
configurations - MOSFET – types - VI-characteristics - principle of operation. CMOS:
Introduction to NMOS and PMOS transistors and their characteristics.

UNIT III DC CIRCUIT ANALYSIS 9

Basic Components of electric Circuits, Charge, current, Voltage and Power, Voltage and
Current Sources, Ohms Law, Kirchoff‘s Current Law, Kirchoff‘s voltage law, Resistors in
Series and Parallel, voltage and current division, Nodal analysis, Mesh analysis.

UNIT IV NETWORK THEOREM AND SINUSOIDAL STEADY STATE 9


ANALYSIS

Superposition theorem, Thevenin and Norton theorems-equivalent circuits, Maximum


Power Transfer theorem, Delta-Wye Conversion-Sinusoidal Stead State analysis:
Characteristics of Sinusoids, Complex Forcing Function, Phasor, Phasor relationship for R,
L, and C, impedance and Admittance.

UNIT V TRANSIENTS AND RESONANCE IN RLC CIRCUITS 9

The Source- Free RL and RC Circuit, Transient Response of RL, RC, RLC circuits for DC
and Sinusoidal Excitation using Laplace transform, Parallel Resonance, Series Resonance,
Quality Factor.

TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to understand the working of a diode and its applications
CO2: Ability to understand the functioning of various types of transistor
CO3: Ability to apply the basic laws for DC circuits Analysis
CO4: Ability to apply Network Theorems in DC and analyze AC circuits for phase
relationship
CO5: Ability to analyse RLC circuits

TEXT BOOKS:
1. Millman J, Halkias C. C. "Electronic Devices and Circuits", Tata McGraw Hill,
4th ed, New Delhi, 2015.
2. Hayt Jack Kemmerly, Steven Durbin, "Engineering Circuit Analysis", Mc Graw
Hill education, 9th Edition, 2018.
3. Robert.L. Boylestead, "Introductory Circuit Analysis", Pearson Education India,
12th Edition, 2014.

REFERENCE BOOKS:
1. Boylestad, R. L. and Nashelsky, L. "Electronic Devices and Circuit Theory ",
Pearson Education, New Delhi, 11th ed, 2013.
2. Charles K. Alexander & Mathew N.O.Sadiku, "Fundamentals of Electric
Circuits", Mc Graw- Hill, 2nd Edition, 2003.
3. D.R.Cunningham, J.A. Stuller, "Basic Circuit Analysis", Jaico Publishing House,
2005.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 1 1 1
CO2 3 1 1 1 1
CO3 3 2 1 1 1
CO4 3 3 2 1 1
CO5 3 2 1 1 1
Average 3 2 1.2 1 1

EC23C27 INTRODUCTION TO DIGITAL ELECTRONICS (I) L T P C


2 0 2 3

UNIT I NUMBER SYSTEMS 6

Number Systems – Decimal, Binary, Octal, Hexadecimal, 1‘s and 2‘s complements, Codes – Binary, BCD,
84-2-1, 2421, Excess 3, Biquinary, Gray, Alphanumeric codes.

UNIT II BOOLEAN ALGEBRA 6


Boolean theorems, Logic gates, Universal gates, Sum of products and product of sums, Minterms and
Maxterms, Karnaugh map and Tabulation methods, Realization of Boolean expression using logic gates.

UNIT III COMBINATIONAL LOGIC DESIGN 6

Problem formulation and design of combinational circuits - Code-Converters, Half and Full Adders, Binary
Parallel Adder Magnitude Comparator, Decoder, Encoder, Mux/Demux

UNIT IV SEQUENTIAL CIRCUITS 6

Flip-flops- latches - Synchronous sequential circuits–Design of synchronous and asynchronous counters-


Shift registers techniques-sequence detector, applications.

UNIT V PROGRAMMABLE LOGIC DEVICES 6

Classification of memories, Read/write operations- Memory decoding and expansion, Static and Dynamic
RAM- PLDs- Architecture, Introduction to FPGA.

THEORY : 30 PERIODS
LIST OF EXPERIMENTS
1. Realization of Boolean Expressions using Logic gates
2. Realization of code convertors
3. Realization of Adders
4. Verification of truth table for D , JK Flip flops
5. Realization of synchronous counters using Flip flops
6. Realization of sequence detector
PRACTICAL: 30 PERIODS

TOTAL: 60 PERIODS

COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to Understand different number systems
CO2: Ability to apply Boolean algebra and simplification procedure to digital logic
CO3: Ability to design combinational digital circuits using logic gates
CO4: Ability to understand the design of sequential circuits
CO5: Ability to understand the memory devices and programmable logic devices.

TEXT BOOKS:
1. M. Morris Mano and Michael D. Ciletti, ‘Digital Design’, Pearson, 5th Edition, 2013.
2. Charles H. Roth, Jr, ‘Fundamentals of Logic Design’, Jaico Books, 4th Edition, 2002.
3. Michael D. Ciletti , "Advanced Digital Design with the Verilog HDL", 2nd Edition, Pearson,
2011.

REFERENCE BOOKS:
1. William I. Fletcher, "An Engineering Approach to Digital Design", Prentice- Hall of India, 1980.
2. Floyd T.L., "Digital Fundamentals", Charles E. Merril publishing company,1982.
3. John. F. Wakerly, "Digital Design Principles and Practices", Pearson Education, 4th
Edition,2007.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 2 1 1
CO2 3 2 2 1 1
CO3 3 2 2 1 1
CO4 3 2 2 1 1
CO5 3 2 1 1 1
Average 3 2 1.8 1 1
EC23C28 FUNDAMENTALS OF ELECTROMAGNETICS L T P C
3 0 0 3
UNIT I STATIC ELECTRIC AND MAGNETIC FIELDS 9

Vectors and co-ordinate systems: Cartesian, cylindrical and spherical co-ordinate systems-
scalar and vector fields.
Conductors in static electric field- Dielectrics in static electric field- Electric flux density and
dielectric constant- Boundary conditions, Static Magnetic Field-Lorentz force equation,
Ampere's law, Biot-Savart law, Magnetic flux density, Magnetic Energy.

UNIT II TIME VARYING FIELDS


9

Faraday's law, Displacement current and Maxwell-Ampere law, Maxwell's equations, Potential
functions, Electromagnetic boundary conditions, Wave equations and solutions, Time-
harmonic fields.

UNIT III PLANE WAVE PROPAGATION 9

Uniform plane waves and sinusoidally varying waves in time domain and in free space –
polarization – power flow and Poynting vector – wave parameters – plane waves in material
media – skin effect – reflection and transmission of uniform plane waves – normal and
oblique incidence in conductor and dielectric interfaces.

UNIT IV TRANSMISSION LINE BASICS 9

Transmission line – general solution, Transmission line equivalent circuit, open and short
circuited lines - Input impedance, SWR and Power, Characteristic impedance, Impedance
matching, Microstrip transmission lines.

UNIT V ANTENNA BASICS 9

Scalar and vector potentials, Radiation from a current filament, Overview of half wave
dipole, Antenna characteristics, radiation pattern, radiation intensity, directivity and power
gain, Overview of Yagi-Uda array, Reflector antennas, Microstrip patch antenna,
Introduction to modern antennas.

TOTAL : PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1:Understand static EM field concepts
CO2:Understand time varying EM fields and solve engineering problems using Maxwell’s
law.
CO3:Understand and analyze plane wave propagation.
CO4:Understand the basics of transmission lines.
CO5:Understand the basics of antenna theory.

TEXT BOOKS:
1. M.N.O.Sadiku and S.V.Kulkarni, Principles of electromagnetics, 6th ed.,Oxford
(AsianEdition),2015
2. John D Ryder, “Networks lines and fields”, 2nd ed, Prentice Hall of India, New
Delhi,2005
3. A. C. Balanis, “Antenna theory: Analysis and design”, 3rd edJohn Willey and
Son's Inc., New York, 2012.
4. John Kraus, “Electromagnetics”, McGraw Hill, 2nd ed, 2017.
5. John D Kraus,“Antennas for all Applications”, 5th ed, McGrawHill, 2005.

REFERENCE BOOKS:
1. E. C. Jordan and K. G. Balmain, “Electromagnetic Waves and Radiating
Systems” 2nd ed, Prentice Hall, 2015.
2. Fawwaz Ulaby, “Fundamentals of Applied Electromagnetics”, Prentice Hall,
2007.
3. D.K. Cheng, “Field and Eave Electromagnetics, 2nd ed, Pearson(India), 2002.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 1 1 1 1
CO2 3 2 1 1 1 1
CO3 3 2 1 1 1 1
CO4 3 1 1 1 1 1
CO5 3 1 1 1 1 1
Average 3 1.6 1 1 1 1
EC23C29 INTRODUCTION TO COMMUNICATION ENGINEERING L T P C
3 0 0 3
UNIT I ANALOG MODULATION SYSTEMS 9

Communication system model – Amplitude Modulation – DSBFC, DSBSC, SSB,VSB -


Comparison of AM systems - FDM -FM and PM - Narrow band FM and Wideband FM -
Bandwidth requirements- Carson‘s Rule.

UNIT II NOISE IN COMMUNICATION SYSTEMS 9

Types of Noise – Noise Calculations-Transmitter characteristics & Classification - AM


broadcasting transmitters - Pilot carrier technique- FM transmitters. Receiver -
characteristics and Classification, Superheterodyne receivers.

UNIT III PULSE MODULATION SCHEMES 9

Sampling -Pulse Amplitude Modulation – TDM - Pulse Width Modulation – Pulse Position
Modulation–Quantization - PCM -DPCM– Delta Modulation – Adaptive Delta Modulation

UNIT IV INFORMATION THEORY AND BASEBAND SIGNALLING 9

Review of Probability theory and random process - Self information measure - Entropy
function -Conditional Entropies - Mutual information - Redundancy - Efficiency and channel
capacity-Shannon‘s Hartley Law - Concept of base band signaling - Signaling formats - Line
coding – ISI.

UNIT V PASSBAND SIGNALLING AND ERROR CONTROL CODING 9

Introduction to ASK, FSK, PSK, DPSK - M-ary signaling -Parity check codes - Linear block
codes - convolution codes -Viterbi Decoding

TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to understand the basics of amplitude and frequency modulation
Techniques.
CO2: Ability to classify the noise types and understand the functioning of transmitters
and receivers
CO3: Ability to differentiate the various pulse modulation schemes
CO4: Ability to encode and decode source symbols and determine the channel
capacity and also to analyze baseband signaling schemes
CO5: Ability to apply error control coding schemes and analyze its performance

TEXT BOOKS:
1. S.Haykin, "Communication Systems ", John Wiley, 4th Edition, 2007.
2. S. Haykin, "Digital Communications", John Wiley, 2015.
3. B.P.Lathi, "Modern Digital and Analog Communication Systems", Oxford
University Press, 3rd Edition, 2007.

REFERENCE BOOKS:
1. J.G.Proakis, M.Salehi, "Fundamentals of Communication Systems", Pearson
Education 2006.
2. H P Hsu, Schaum Outline Series, "Analog and Digital Communications", TMH
2006
3. B.Sklar, "Digital Communications Fundamentals and Applications", Pearson
Education 2nd Edition, 2007.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 1 1 1
CO2 3 2 1 1 1
CO3 3 2 1 1 1
CO4 3 2 1 1 1
CO5 3 2 1 1 1
Average 3 2 1 1 1
EC23C30 WIRELESS COMMUNICATION TECHNOLOGIES L T P C

3 0 0 3
UNIT I FUNDAMENTALS OF WIRELESS COMMUNICATION 9
Reflection, Diffraction and Scattering of EM waves-Large scale path loss - Free Space and Two-Ray
models– Small scale fading- Parameters of mobile multipath channels, classification of multipath fading
channels.
UNIT II CELLULAR MOBILE COMMUNICATION 9
Introduction-Frequency Reuse-Channel Assignment Strategies-Hand off Strategies, Interference and
System Capacity-Capacity improvement techniques
UNIT III WIRELESS LAN 9
Introduction to wireless LANs - IEEE 802.11 WLANs - Physical Layer- MAC sublayer- MAC Management
Sublayer- Wireless ATM - HIPERLAN- HIPERLAN-2

UNIT IV WIRELESS INTERFACES – BLUETOOTH, ZIGBEE, LORA 9


Architecture and Applications - IEEE 802.15.4, Bluetooth, Zigbee, LORA, 6LOWPAN, Wi-Fi, WIMAX.
UNIT V 5G WIRELESS TECHNOLOGIES 9
Evolution from 1G to 5G, 3G UMTS, W-CDMA, 3G services and data rates, IMT Advanced, 4G, LTE,
OFDM, MIMO, Introduction to 5G Technologies- IoT- Architecture, M2M, SCADA and RFID.
TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will have
CO1: Ability to understand the wireless channel characteristics and behavior.
CO2: Ability to understand the cellular communication techniques
CO3: Ability to understand the protocol architecture of WLAN
CO4: Ability to understand the architecture and application of different wireless interfaces used in
real time.
CO5: Ability to understand the current trends in wireless communication

TEXT BOOKS:
1. Rappaport,T.S., “Wireless communications”, Pearson Education, Second Edition, 2010.
2. Clint Smith. P.E and Daniel Collins, “3G Wireless Networks”, 2nd Edition, Tata McGraw Hill,
2007.
3. Vijay. K. Garg, “Wireless Communication and Networking”, Morgan Kaufmann Publishers,
http://books.elsevier.com/9780123735805, 2007.
4. Zach Shelby, Carsten Bormann,“6LoWPAN:The Wireless Embedded Internet”, John Wiley
Sons, 2009.

REFERENCE BOOKS:
1. Perry Lea, “IoT and Edge Computing for Architects: Implementing edge and IoT systems
from sensors to clouds with communication systems, analytics, and security”, 2nd Edition,
2020.

ARTICULATION MATRIX:
PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 2 2 1 1 1
CO2 2 2 1 1 1
CO3 2 2 1 1 1
CO4 2 2 1 1 1 1
CO5 2 2 1 1 1 1
Average 2 2 1 1 1 1

EC23C31 INTRODUCTION TO THE INTERNET OF THINGS AND L T P C


EMBEDDED SYSTEMS
3 0 0 3
UNIT I INTRODUCTION TO INTERNET OF THINGS 9

IoT Definition and Characteristics – Evolution of IoT- IoT Enabling Technologies and the
Complex Interdependence of Technologies, IoT Networking Components, Addressing
Strategies of IoT.

UNIT II SENSORS NETWORKS 9

Definition, Types of Sensors, Types of Actuators, Examples and Working, IoT Development
Boards: Arduino IDE and Board Types, RaspberriPi Development Kit, RFID Principles and
components, Wireless Sensor Networks: History and Context, node, Connecting nodes,
Networking Nodes, WSN and IoT.

UNIT III IOT APPLICATIONS 9


Home Automation, Smart Cities, Energy, Retail Management, Logistics, Agriculture, Health
and Lifestyle, Industrial IoT, Legal challenges, IoT design Ethics, IoT in Environmental
Protection.

UNIT IV INTRODUCTION TO EMBEDDED SYSTEMS 9

Embedded system processor, hardware unit, software embedded into a system, Example
of an embedded system, Embedded Design life cycle, Layers of Embedded Systems

UNIT V SYSTEM DESIGN USING GENERAL PURPOSE 9


PROCESSOR

Microcontroller architectures (RISC, CISC), Embedded Memory, Strategic selection of


processor and memory, Memory Devices and their Characteristics, Cache Memory and
Various mapping techniques, DMA.

TOTAL : 45 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Describe the evolution of IoT, IoT networking components, and
addressing strategies in IoT.
CO2: Understand the various concepts of sensors and actuators for design
of IoT.
CO3: Understand various applications of IoT.
CO4: Comprehend Embedded Processor and its software
CO5: Design an Embedded system using processors, memory I/O devices and
communication network within realistic constraints

TEXT BOOKS:
1. Sudip Misra, Anandarup Mukherjee, Arijit Roy, “Introduction to IoT”, Cambridge
University Press 2021.
2. Hakima Chaouchi, “The Internet of Things Connecting Objects to the Web”
ISBN : 978-1- 84821-140-7, Wiley Publications
3. Olivier Hersent, David Boswarthick, and Omar Elloumi, “The Internet of Things:
Key Applications and Protocols”, Wiley Publications
4. Wayne Wolf “Computers as components: Principles of Embedded Computing
System Design”, The Morgan Kaufmann Series in Computer Architecture

REFERENCE BOOKS:
1. Vijay Madisetti and Arshdeep Bahga, “Internet of Things (A Hands-on-
Approach)”,1st Edition, VPT, 2014.
2. Francis daCosta, “Rethinking the Internet of Things: A Scalable Approach to
Connecting Everything”, 1st Edition, Apress Publications, 2013.
3. Shibu K V," Introduction to Embedded Systems", McGraw Hill Education(India)
Private Limited, 2014.
4. Lyla B. Das," Embedded Systems an Integrated Approach", Pearson Education,
2013.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 3 2 1 1 1 1 1 1
CO2 3 3 1 1 1 1 3
CO3 3 2 3 1 1 1 1
CO4 3 2 3 1 1 1 1
CO5 3 3 3 1 1 1 3
Average 3 2.33 2.8 1 1 1 1 1 1 1.8

EC23C32 ELECTRONIC SYSTEM DEVELOPMENT (I) L T P C


2 0 2 3
UNIT I INTRODUCTION TO ELECTRONIC SYSTEM DESIGN
6

History, basic physics of passive components, Evolution and Inventors of Electronic


Components, basics of digital electronics-logic gates, Digital system design: Digital to
Analog converters, Analog to Digital converters, Application- Alphanumeric display
Classification, Motion Sensors, Thermal Sensors and Image Sensors, PIR, IR and Water
Level Sensors.

UNIT II FUNDAMENTALS OF PRINTED CIRCUIT BOARD 6

Fundamentals of Electronic Components, Overview of PCB's, Classes and types of PCB's,


Choosing of PCB Materials, TH Components, SMD Components and its form factors,
Layout planning, Placement rules, Routing techniques for PCB's, PCB Dimensions and
Tolerances, Copper Trace and Etching Tolerances, Standard Hole Dimensions, Solder
mask Tolerance, Thermal issues, PCB terminologies, Post processing of PCB design and
Fabrication.

UNIT III PCB DESIGN FLOW USING EDA TOOL 6

Electronic Design Automation Tools (EDA), Schematic capture, Component Selection,


Annotation, Foot print assignment, Wiring, Design Rule Check, Netlist generation, Convert
to PCB, Component Placement, Manual Routing, Auto Routing, Gerber file generation.

UNIT IV COMPONENT ASSEMBLY ON PCB'S AND ITS SOLDERING 6


TECHNIQUES
Drilling Concepts, Component Placement and Orientation, TH Component Assembly, Hand
Soldering Techniques, SMD Component Assembly using PICK and PLACE machine, SMD
Component Soldering using Reflow oven machine, PCB inspection.

UNIT V PRODUCT DEVELOPMENT 6

Study of Astable and Monostable Multivibrator using IC555 timer, Comparator using op-
amp IC741, LED Chases using Shift register, Controlling relay suing op-amp, design a
automatic light control using op-amp IC741, design a water level controller using IC741.
THEORY : 30 PERIODS
LIST OF EXPERIMENTS:
1. Fabrication of PCB using traditional method.
2. Fabrication of PCB using LASER technology
3. Component Placement and its assembly Processes using TH components.
4. Component Placement and its assembly Processes using SMD components
5. Toggle a LED using IC555 Timer Astable and Monostable Multivibrator.
6. To design a counter using Shift Register.
PRACTICAL: 30 PERIODS

TOTAL: 30 PERIODS
COURSE OUTCOMES:
At the end of the course, students will be able to
CO1: Understand the basics of electronic system design related to the design and
fabrication of PCBs.
CO2: Lead new users of the software through a very simple PCB design.
CO3: Know and guide in designing plated through-holes, surface-mount lands, and
Layout footprints in general.
CO4: Construct capture parts using the Capture Library Manager and Part Editor.
CO5: Understand fabrication steps and fabricate PCBs

TEXT BOOKS:
1. Grob. B and Schultz. M.E. ‘Basic Electronics’, Tata Mcgraw Hill, 2003.
2. Thomas L. Floyd, ‘Electronics Devices’, Pearson Education, 2002.
3. Thomas L. Floyd, ‘Digital Fundamentals’, Pearson Education, 2003.
4. Kraig Mitzner,“Complete PCB Design Using OrCad Capture and
Layout”,Newness,1st Edition,2007.

REFERENCE BOOKS:
1. Simon Monk, “Make Your Own PCBs with EAGLE: From Schematic Designs to
Finished Boards”, McGraw-Hill Education TAB; 2ndEdition, 2017.
2. Douglas Brooks, “Signal Integrity Issues and Printed Circuit Board Design”,
Prentice Hall, 2012.
3. Lee W. Ritchey , John Zasio, Kella J. Knack, “Right the First Time: a Practical
Handbook on High Speed PCB and System Design”,Speeding Edge,2003.

ARTICULATION MATRIX:

PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11 PO12

CO1 2 2 1 1 3 3 1 1 2 3
CO2 3 2 1 1 3 3 1 1 2 3
CO3 3 2 1 1 3 3 1 1 2 3
CO4 3 3 2 1 3 3 1 1 2 3
CO5 3 3 3 2 3 3 1 1 3 3
Average 2.8 2.4 1.6 1.2 3 3 1 1 2.2 3

You might also like