Sardar Patel Institute of Technology: Experiment No. 2
Sardar Patel Institute of Technology: Experiment No. 2
Experiment No. 2
AIM : To Design, implement and verify the functionality of decoder circuit in VHDL using behaviorial
style of modelling (active high)
PROBLEM STATEMENT:
1) Draw the schematic of the given combinational circuits. Write truth table in support of the
given combinational circuits.
2) Develop the VHDL code of the given combinational circuits. Simulate in Vivado design suite and
verify the truth table.
3) Take the screenshot of simulation showing verification of Truth table of the given combinational
circuits.
SCHEMATIC DIAGRAM:
entity DECODER is
port (
e : in std_logic;
a : in std_logic_vector(1 downto 0);
y : out std_logic_vector(3 downto 0)
);
end entity DECODER;
CONLCLUSION:
The VHDL code provided demonstrates a structured approach to implementing a decoder circuit
with an enable high. I learned how to use the when and if function in a VHDL code.