AR20 VLSI Lab Manual
AR20 VLSI Lab Manual
Cycle-1
1. 2 to 4 and 3 to 8 decoder
2. 8 to 3 Encoder and 4 to 2 priority Encoder
3. 8 to 1 multiplexer and 1 to 8 Demultiplexer
4. Flip-flops(SR,JK,D,T)
5. 4-bit shift register
6. 4-bit counter
7. 4-bit Universal Shift Register
Cycle-2
Design layouts for the following experiments using Microwind /Mentor Graphics software:
1(a) 2 To 4 Decoder
Aim: To design a 2-to-4 decoder using VHDL and verify its operation using
Xilinx Vivado software.
The 2-to-4line binary decoder depicted above consists of an array of four AND
gates. The 2 binary inputs labelled A and B are decoded into one of 4 outputs
q3q2q1q0, hence the description of 2-to-4 binary decoder. Each output represents
one of the minterms of the 2 input variables.
a1a0 q3q2q1q0
00 0001
01 0010
10 0100
11 1000
Procedure:
Project ManagerClick on Generate Bit streamClickOn OK Launch Runs tab will
be opened Click on OK Bit Stream generation completed tab will be opened Open
hardware Manger Click on OKhardware Manger tab will open then click on Open
TargetAuto Connect Click on Program deviceProgram device will Opened Click
On Program Program will be dumped into the KIT Yellow LED will Glow.
Verify the Program by using switches and led’s on the KIT
Code:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
ENTITY decoder2x4 IS
port (a: in std_logic_vector(1 downto 0);
q:out std_logic_vector(3 downto 0));
END decoder2x4;
ARCHITECTURE behavioral OF decoder2x4 IS
BEGIN
process(a)
begin
case(a) is
when "00" => q <= "0001";
when "01" => q<= "0010";
when "10" => q<= "0100";
when "11" => q<= "1000";
when others => q<= "0000";
end case;
end process;
end behavioural;
Simulation Results:
NETLIST:
Result: 2-to-4 decoder is designed by VHDL code and verified its operation by
using Xilinx Vivado software.
1(b).3 To 8 Decoder
Aim:To design a 3-to-8 decoder using VHDL and verify its operation using
Xilinx Vivado software.
a3a2a1 d7d6d5d4d3d2d1d0
000 00000001
001 00000010
010 00000100
011 00001000
100 0001000
101 00100000
01000000
110
111 10000000
Code:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
ENTITY decoder3to8 IS
port (a:in std_logic_vector(2 downto 0);
d:out std_logic_vector(7 downto 0));
END ENTITY decoder3to8;
ARCHITECTURE behavioral OF decoder3to8 IS
BEGIN
process(a)
begin
case(a) is
when "000" =>d<= "00000001";
when "001" =>d<= "00000010";
when "010" =>d <= "00000100";
when "011" =>d <= "00001000";
when "100" =>d <= "00010000";
when "101" =>d<= "00100000";
when "110" =>d <= "01000000";
when "111" =>d <= "10000000";
when others =>d <= "00000000";
end case;
end process;
END behavioral;
Simulation Results:
NETLIST:
2(a)8-To-3 Encoder
Aim:To design a 8-to-3 encoder using VHDL and verify its operation using
Xilinx Vivado software.
Software used: VIVADO 2018.1.
Hardware required: NEXYS Artix-7.
Theory: An Encoder is a combinational circuit that performs the reverse
operation of Decoder.It has maximum of 2^n input lines and ‘n’ output lines,
hence it encodes the information from 2^n inputs into an n-bit code. It will
produce a binary code equivalent to the input, which is active High. Therefore,
the encoder encodes 2^n input lines with ‘n’ bits.
The 8 to 3 Encoder or octal to Binary encoder consists of 8 inputs: D7 to D0
and 3 outputs: A, B & C. Each input line corresponds to each octal digit and
three outputs generate corresponding binary code.
The figure below shows the logic symbol of octal to binary encoder:
Procedure:
Code:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY encoder8to3 IS
port(D : in std_logic_vector( 7 downto 0);
A,B,C : out std_logic);
END ENTITY encoder 8to3;
ARCHITECTURE behavioral OF encoder8to3 IS
BEGIN
process(D)
begin
A<=D(4) or D(5) or D(6) or D(7);
B<=D(2) or D(3) or D(6) or D(7);
C<=D(1) or D(3) or D(5) or D(7);
end process;
end behavioral;
Simulation results:
NETLIST:
Code:
Library ieee;
USE ieee.std_logic_1164.all;
Entity priority4to2 is
Port(D:in std_logic_vector(3 downto 0);
Q:out std_logic_vector(1 downto 0);
V:out std_logic);
End priority4to2;
Architecture behavioral of priority4to2 is
Begin
Process(D)
Begin
If(D(3)=’1’) then Q<=”11”;v<=’1’;
elsif(D(2)=’1’) then Q<=”10”;v<=’1’;
elsif(D(1)=’1’) then Q<=”01”;v<=’1’;
elsif(D(0)=’1’) then Q<=”00”;v<=’1’;
else v<=’0’
end if;
end process;
end behavioral;
Simulation Results:
NETLIST:
3(a)8 X 1 MULTIPLEXER
Aim: To design an 8X1 multiplexer using VHDL and verify its operation using
Xilinx Vivado software.
8-to-1 Multiplexer
An 8-to-1 multiplexer consists of eight data inputs D0 through D7, three input
select lines S0 through S2, and a single output line Y. Depending on the select
lines combinations, the multiplexer selects the inputs.
The below figure shows the block diagram of an 8-to-1 multiplexer with enable
input that can enable or disable the multiplexer. Since the number of data bits
given to the MUX are eight, then 3 bits (2 3 = 8) are needed to select one of the
eight data bits.
S2 S1 S0 Y
0 0 0 D0
0 0 1 D1
0 1 0 D2
0 1 1 D3
1 0 0 D4
1 0 1 D5
1 1 0 D6
1 1 1 D7
From the above truth table, the Boolean equation for the output is given as:
Procedure:
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mux8to1 is
Z : out STD_LOGIC);
end mux8to1;
begin
process(S,D)
begin
case(S) is
end case;
end process;
end Behavioral;
Simulation results:
NETLIST:
Result: 8X1 multiplexer is designed by VHDL code and verified its operation
by using Xilinx Vivado software.
3b) 1 to 8 Demultiplexer
Aim: To design 1X8 demultiplexer using VHDL and verify its operation using
Xilinx Vivado software.
1-to-8 Demultiplexer: The below figure shows the block diagram of a 1-to-
8 demultiplexer that consists of single input D, three select inputs S2, S1 and
S0, and eight outputs from Y0 to Y7.It is also called a 3-to-8 demultiplexer
due to its three select input lines and 8 output lines. It distributes one input line
to one of 8 output lines depending on the combination of select inputs.
From this truth table, the Boolean expressions for all the outputs can be written
as follows.
Y1 = S21 S11 S0 D
Y2 = S21 S1 S01 D
Y3 = S21 S1 S0 D
Y4 = S2 S11 S01 D
Y5 = S2 S11 S0 D
Y6 = S2 S1 S01 D
Y7 = S2 S1 S0 D
From these obtained equations, the logic diagram of this demultiplexer can be
implemented by using eight 4-input AND gates and three NOT gates as shown
in below figure. Different combinations of the select lines activates one AND
gate at given time, such that data input will appear at the corresponding output.
Code:
Library ieee;
USE ieee.std_logic_1164.all;
Entity Demux1to8 is
Port(S: in std_logic_vector(2 downto 0);
D: out std_logic_vector(7 downto 0);
Y: in std_logic);
End Demux1to8;
Architecture behavioral of Demux1to8 is
Begin
Process(S,Y)
Begin
D(0)<= not S(2) and not S(1) and not S(0) and Y ;
D(1)<=not S(2) and not S(1) and S(0) and Y ;
D(2)<=not S(2) and S(1) and not S(0) and Y ;
D(3)<=not S(2) and S(1) and S(0) and Y;
D(4)<= S(2) and not S(1) and not S(0) and Y;
D(5)<=S(2) and not S(1) and S(0) and Y;
D(6)<=S(2) and S(1) and not S(0) and Y;
D(7)<=S(2) and S(1) and S(0) and Y;
end process;
end behavioral;
Simulation Results:
NETLIST:
4. S-R Flip flop, J-K flip flop, D Flip flop & T Flip flop
Aim: To design S-R Flip flop, J-K flip flop, D Flip flop & T Flip flops using
VHDL and verify its operation using Xilinx Vivado software.
Theory:
1) SR Flip-Flop
Flip flop is a memory element which stores 1 bit of information
A basic NAND gate SR flip-flop circuit provides feedback from both of its
outputs back to its opposing inputs and is commonly used in memory circuits to
store a single data bit. Then the SR flip-flop actually has three
inputs, Set, Reset and its current output Q relating to it’s current state or history.
The term “Flip-flop” relates to the actual operation of the device, as it can be
“flipped” into one logic Set state or “flopped” back into the opposing logic
Reset state.
Logic diagram:
Truth table:
S R Qout state
0 0 Qin No change
0 1 0 Reset
1 0 1 Set
1 1 X Invalid
Truth table:
J K Qout State
0 0 Qin No change
0 1 0 Reset
1 0 1 Set
1 1 Q in 1 Toggle
3) D-Flip Flop:
We connect the inverter between the Set and Reset inputs for producing another
type of flip flop circuit called D flip flop, Delay flip flop, D-type Bistable, D-
type flip flop.
The D flip flop is the most important flip flop from other clocked types. It
ensures that at the same time, both the inputs, i.e., S and R, are never equal to 1.
The Delay flip-flop is designed using a gated SR flip-flop with an inverter
connected between the inputs allowing for a single input D(Data).
Truth table
4) T Flip flop:
In T flip flop, "T" defines the term "Toggle". In SR Flip Flop, we provide only a
single input called "Toggle" or "Trigger" input to avoid an intermediate state
occurrence. Now, this flip-flop work as a Toggle switch. The next output state is
changed with the complement of the present state output. This process is known
as "Toggling"'.
We can construct the "T Flip Flop" by making changes in the "JK Flip Flop".
The "T Flip Flop" has only one input, which is constructed by connecting the
input of JK flip flop. This single input is called T. In simple words, we can
construct the "T Flip Flop" by converting a "JK Flip Flop". Sometimes the
"TFlip Flop" is referred to as single input "JK Flip Flop".
Logic diagram:
Truth table
T Qout
0 Qin
1 Qin1
Procedure:
------SR FLIPFLOP----
library ieee;
use ieee.std_logic_1164.all;
entity srff is
port(Clk,S,R,QIN : in std_logic;
QOUT : out std_logic);
end srff;
architecture behaviouarl of srff is
BEGIN
process (Clk,S,R,QIN)
begin
if (Clk'event and Clk='1') then
QOUT <= S OR ((NOT R) AND QIN);
end if;
end process;
end behaviouarl;
------JK FLIPFLOP----
library ieee;
use ieee. std_logic_1164.all;
entity JK_FF is
PORT( J,K,CLK: in std_logic;
QOUT: out std_logic);
end JK_FF;
Architecture behavioral of JK_FF is
begin
Aditya Institute of Technology and Management, Tekkali
Department of Electronics and Communication Engineering Digital System Design Lab (AR18)
PROCESS(CLK)
begin
if (Clk'event and Clk='1') then
QOUT <= J AND (NOT QIN) OR ((NOT K) AND QIN);
end if;
end process;
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
entity Tff is
port(Clk, T,QIN : in std_logic;
QOUT : out std_logic);
end Tff;
architecture behavioral of Tff is
begin
process (Clk)
begin
if (Clk'event and Clk='1') then
QOUT <= T XOR QIN;
end if;
end process;
end behavioral;
Simulation Results:
S-R Flip flop
NETLIST:
JK FF
NETLIST:
T FF
NETLIST:
D FF
NETLIST:
Result: The S-R, J-K, T & D flip-flops are designed by VHDL code and
verified their operation by using Xilinx Vivado software.
5. Shift Register
Aim: To design Shift register using VHDL and verify its operation using Xilinx
Vivado software.
Theory: This sequential device loads the data present on its inputs and then moves or
“shifts” it to its output once every clock cycle, hence the name Shift Register.
A shift register basically consists of several single bit “D-Type Data Latches”, one for
each data bit, either a logic “0” or a “1”, connected together in a serial type daisy-
chain arrangement so that the output from one data latch becomes the input of the next
latch and so on.
Data bits may be fed in or out of a shift register serially, that is one after the other
from either the left or the right direction, or all together at the same time in a parallel
configuration.
Shift Registers are used for data storage or for the movement of data and are therefore
commonly used inside calculators or computers to store data such as two binary
numbers before they are added together, or to convert the data from either a serial to
parallel or parallel to serial format. The individual data latches that make up a single
shift register are all driven by a common clock (Clk) signal making them synchronous
devices.
Procedure:
hardware Manger Click on OKhardware Manger tab will open then click on Open
TargetAuto Connect Click on Program deviceProgram device will Opened Click
On Program Program will be dumped into the KIT Yellow LED will Glow.
Verify the Program by using switches and led’s on the KIT
use ieee.std_logic_1164.all;
entity shift_register is
port(CLK : in std_logic;
si,sel : in std_logic;
end shift_register;
begin
process(clk_mhz,sel)
begin
case sel is
end case;
end if;
end process;
s0 <=tmp;
SEC1_DELAY : process(clk)
begin
if(rising_edge(clk)) then
if(cnt_clk<50000000) then
else
cnt_clk <=0;
end if;
end if;
end behavioural;
use ieee.std_logic_1164.all;
entity shift_registers is
port(CLK : in std_logic;
si,sel : in std_logic;
end shift_registers;
begin
process(CLK,sel)
begin
case sel is
end case;
end if;
end process;
s0 <=tmp;
end behavioural;
Simulation Results:
NETLIST:
Result: Shift register is designed by VHDL code and verified its operation by using
Xilinx Vivado software.
6. 4-Bit Counter
Aim: To design a 4-bit counter using VHDL and verify its operation using
Xilinx Vivado software.
Theory: In the asynchronous 4- bit up counter, the flip flops are connected in
toggle mode, so when the clock input is connected to the first flip flop FF0, then
its output after one clock pulse will become 20. The rising edge of the Q output
of each flip flop triggers the clock input of its next flip flop.
Let us assume that the 4 Q outputs of the flip flops are initially 0000. When the
rising edge of the clock pulse is applied to the FF0, then the output Q0 will
change to logic 1 and the next clock pulse will change the Q0 output to logic 0.
This means the output state of the clock pulse toggles (changes from 0 to1) for
one cycle.
As the Q’ of FF0 is connected to the clock input of FF1, then the clock input of
second flip flop will become 1. This makes the output of FF1 to be high
Truth Table:
Procedure:
Code: (Synthesis)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter4 is
end counter4;
begin
process (clk_mhz)
Aditya Institute of Technology and Management, Tekkali
Department of Electronics and Communication Engineering Digital System Design Lab (AR18)
begin
if (CLR='1') then
else
end if;
end if;
end process;
clk_1sec:process(CLK)
begin
if(rising_edge(CLK)) then
if(cnt_clk<50000000) then
else
cnt_clk <=0;
end if;
end if;
Q <= tmp;
end behavioural;
code (Simulation)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter4 is
end counter4;
begin
process (CLK)
begin
if (CLR='1') then
else
end if;
end if;
end process;
Q <= tmp;
End behavioural;
Simulation Results:
NETLIST:
Result:4 bit counter is designed by VHDL code and verified its operation by using
Xilinx Vivado software.
Theory: A register that can store the data and /shifts the data towards the right and
left along with the parallel load capability is known as a universal shift register. It can
be used to perform input/output operations in both serial and parallel modes.
Unidirectional shift registers and bidirectional shift registers are combined together to
get the design of the universal shift register. It is also known as a parallel-in-parallel-
out shift register or shift register with the parallel load.
Universal shift registers are capable of performing 3 operations as listed below.
Parallel load operation – stores the data in parallel as well as the data in parallel
Shift left operation – stores the data and transfers the data shifting towards left in
the serial path
Shift right operation – stores the data and transfers the data by shifting towards
right in the serial path.
Hence, Universal shift registers can perform input/output operations with both serial
and parallel loads.
Procedure:
Project ManagerClick on Generate Bit streamClick On OK Launch Runs tab will
be opened Click on OK Bit Stream generation completed tab will be opened Open
hardware Manger Click on OKhardware Manger tab will open then click on Open
TargetAuto Connect Click on Program deviceProgram device will Opened Click
On Program Program will be dumped into the KIT Yellow LED will Glow.
Verify the Program by using switches and led’s on the KIT
library ieee;
use ieee.std_logic_1164.all;
entity USR is
port(CLK : in std_logic;
si : in std_logic;
end USR;
begin
process(CLK,sel)
begin
case sel is
end case;
Aditya Institute of Technology and Management, Tekkali
Department of Electronics and Communication Engineering Digital System Design Lab (AR18)
end if;
end process;
s0 <=tmp;
end behavioural;
library ieee;
use ieee.std_logic_1164.all;
entity USR is
port(CLK : in std_logic;
si : in std_logic;
end USR;
begin
process(clk_mhz,sel)
begin
case sel is
end case;
end if;
end process;
s0 <=tmp;
SEC1_DELAY : process(clk)
begin
if(rising_edge(clk)) then
if(cnt_clk<50000000) then
cnt_clk<= cnt_clk + 1;
else
cnt_clk<=0;
clk_mhz<=not clk_mhz;
end if;
end if;
Simulation Results:
NETLIST:
Result: Universal Shift register is designed by VHDL code and verified its operation by
using Xilinx Vivado software.
Introduction to Microwind
In this lab an important VLSI tool Microwind is studied. The main objective of this
lab is to understand the features of this software and practice layout and simulation of simple
devices like MOSFETS and inverter.
Microwind is a windows based VLSI tool designed specially for designing and simulating
microelectronic circuits at layout level. The tool features full editing facilities, e.g. copy, cut,
paste, duplicate, and move operations. This software also provides various views of the
layout such as 2D cross section, 3D process viewer, etc. The software is capable of providing
limited simulation facilities as well as by building layouts of some basic devices.
In the next section we will discover the important features of software in detail.
2. Microwind Editor
This is the main window of the Microwind. You may cut, past, duplicate, generate matrix of
layout, use the layout editor to insert contacts, MOS devices, pads, complex contacts and path
in one single click.
Palette Menu
The palette is located on the right side of the screen. A little tick indicates the current layer.
The selected layer by default is a polysilicon (PO). The list of layers is given in figure 2.
If you remove the tick on the right side of the layer, the layer is switched to protected
mode. The Cut, Stretch and Copy commands no longer affect that layer.
Use "View->Protect all" to protect all layers. The ticks are erased.
Aditya Institute of Technology and Management, Tekkali
Department of Electronics and Communication Engineering Digital System Design Lab (AR18)
Use "View->Unprotect all" to remove the protection. All layers can be edited.
Navigator Menu
This menu gives the information about capacitance, resistance, inductance, node name,
device properties and detailed electrical properties. Navigator window is shown in fig: 3
The design rule checker (DRC) scans all the design and verifies that all the minimum design
rules are respected. Click on the icon above or on Analysis ->Design Rule Checker to run
the DRC. The errors are highlighted in the display window, with an appropriate message
giving the nature of the error. Details about the position and type of the errors appear on the
screen.
Simulation Results
The "Run Simulation" icon or the command Simulate -> Start Simulation both gives access
to the automatic extraction and analog simulation of the layout.
Click on Voltage vs Time to obtain the transient analysis of all visible signals. The
delay between the selected start node and selected stop node is computed at VDD/2.
You can change the selected start node in the node list, in the right upper menu of the
window. You can do the same for the selected stop node.
Click on Voltage and Currents so as to make all voltage curves appear in the lower
window, and the VDD, the VSS and the desired MOS currents appear in the upper
window. In that mode, the dissipated power within the simulation is also displayed.
Click on Voltage vs. Voltage to obtain transfer characteristics between the X-axis
selected node and the Y-axis selected node. Initially the start node is the first clock or
pulse of the node list, and the stop node is the first varying node. This mode is useful
for the computing of the Inverter characteristics (commutation point), the DC
response of the operational amplifier, or for the Schmitt trigger to see the hysteresis
phenomenon. The first simulation computes the value of the stop node for start node
varying from 0 to VDD. The second click on “Simulate” computes the same for start
node varying from VDD to 0.
Click on Frequency & Voltages so as to make all voltage curves appear in the lower
window, and to plot the variation of the switching frequency of one selected signal.
This mode is very useful for monitoring the output signal of oscillators.
Design Rules
Minimum width of PolySi and diffusion line 2
Minimum width of Metal line 3 as metal lines run over a more uneven surface than other
conducting layers to ensure their continuity
Design Rules:
Metal Vs PolySi/Diffusion
Metal lines can pass over both diffusion and polySi without electrical effect
It is recommended practice to leave between a metal edge and a polySi or diffusion
line to which it is not electrically connected
poly-poly spacing 2
diff-diff spacing 3
(depletion regions tend to spread outward)
metal-metal spacing 2
diff-poly spacing
Depletion Transistor
Butting Contact
The gate and source of a depletion device can be connected by a method known as butting
contact. Here metal makes contact to both the diffusion forming the source of the depletion
transistor and to the polySi forming this device’s gate.
Advantage:
Buried Contact
It is a preferred method. The buried contact window defines the area where oxide is to be
removed so that polySi connects directly to diffusion.
Contact Area must be a min. of 2*2 to ensure adequate contact area.
The buried contact window surrounds this contact by in all directions to avoid any part of
this area forming a transistor.
Separated from its related transistor gate by to prevent gate area from being reduced.
Here gate length is depend upon the alignment of the buried contact mask relative to the
polySi and therefore vary by .
Contact Cut
Metal connects to polySi/diffusion by contact cut.
Contact area: 2l*2l
Metal and polySi or diffusion must overlap this contact area by l so that the two desired
conductors encompass the contact area despite any mis-alignment between conducting layers
and the contact hole
Additional rules
1. Definition of n-well area
2. Threshold implant of two types of transistor
3. Definition of source and drains regions for t NMOS and PMOS.
To ensure the separation of the PMOS and NMOS devices,
n-well supporting PMOS is 6l away from the active area of NMOS transistor.
Why?
Avoids overlap of
the associated regions
AIM: Design the two-input CMOS INVERTER layout by using the micro wind V2.6
software and check that whether the design satisfies the CMOS design rules (or) not,
draw the output characteristics, finding the relations between parameters and list out
the layers which are used in that particular design.
LAYOUT DESIGNING:
PROPERTIES OF VDD:
PROPERTIES OF VSS:
PROPERTIES OF INPUT(A):
PROPERTIES OF OUTPUT(S1):
SIMULATION PARAMETERS:
W L
DEVICES W (m) L (m) TYPE
(Lambda) (Lambda)
N-1 1.60 0.120 10 02 Low leakage
N-2 1.60 0.120 10 02 Low leakage
P-1 1.60 0.120 10 02 Low leakage
P-2 1.60 0.120 10 02 Low leakage
PRECAUTIONS:
RESULT:
Design of the layout completed based on the CMOS design rules and lambda based
design rules. The characteristics of the CMOS INVERTER are taken and the relations
between the internal parameters are also drawn above.
AIM:
Design the two-input CMOS NAND gate layout by using the micro wind V2.6
software and check that whether the design satisfies the CMOS design rules (or) not, draw the
output characteristics, finding the relations between parameters and list out the layers which
are used in that particular design.
LAYOUT DESIGNING:
PROPERTIES OF VDD:
PROPERTIES OF VSS :
PROPERTIES OF OUTPUT :
Aditya Institute of Technology and Management, Tekkali
Department of Electronics and Communication Engineering Digital System Design Lab (AR18)
SIMULATION PARAMETERS:
Aditya Institute of Technology and Management, Tekkali
Department of Electronics and Communication Engineering Digital System Design Lab (AR18)
CIRCUIT NAND.MSK
* IC Technology: CMOS 0.12µm - 6 Metal
VDD 1 0 DC 1.20
VB 7 0 PULSE(0.00 1.20 0.48N 0.03N 0.03N 0.48N 1.00N)
VA 8 0 PULSE(0.00 1.20 0.23N 0.03N 0.03N 0.23N 0.50N)
* List of nodes
* "N2" corresponds to n°2
* "O/P" corresponds to n°4
* "N5" corresponds to n°5
* "B" corresponds to n°7
* "A" corresponds to n°8
* MOS devices
MN1 5 7 4 0 N1 W= 0.60U L= 0.12U
MN2 0 8 5 0 N1 W= 0.60U L= 0.12U
MP1 4 7 1 2 P1 W= 0.60U L= 0.12U
MP2 1 8 4 2 P1 W= 0.60U L= 0.12U
C2 2 0 1.242fF
C3 1 0 0.464fF
C4 4 0 0.516fF
C5 5 0 0.206fF
C7 7 0 0.147fF
C8 8 0 0.228fF
* n-MOS Model 3 :
* low leakage
.MODEL N1 NMOS LEVEL=3 VTO=0.40 U0=0.060 TOX= 3.5E-9
+LD =0.000U THETA=0.500 GAMMA=0.400
+PHI=0.200 KAPPA=0.060 VMAX=120.00K
+CGSO=100.0p CGDO=100.0p
+CGBO= 60.0p CJSW=240.0p
* p-MOS Model 3:
* low leakage
.MODEL P1 PMOS LEVEL=3 VTO=-0.45 U0=0.020 TOX= 3.5E-9
+LD =0.000U THETA=0.300 GAMMA=0.400
+PHI=0.200 KAPPA=0.060 VMAX=110.00K
+CGSO=100.0p CGDO=100.0p
+CGBO= 60.0p CJSW=240.0p
* Transient analysis
.TEMP 27.0
.TRAN 0.30PS 5.00N
.PROBE
.END
W L
DEVICES W (m) L (m) TYPE
(Lambda) (Lambda)
N-1 1.60 0.120 10 02 Low leakage
N-2 1.60 0.120 10 02 Low leakage
P-1 1.60 0.120 10 02 Low leakage
P-2 1.60 0.120 10 02 Low leakage
PRECAUTIONS:
RESULT:
Design of the layout completed based on the CMOS design rules and lambda based
design rules. The characteristics of the two input NAND GATE are taken and the relations
between the internal parameters are also drawn above.
AIM: Design the two-input CMOS NOR gate layout by using the micro wind V2.6
software and check that whether the design satisfies the CMOS design rules (or) not,
draw the output characteristics, finding the relations between parameters and list out
the layers which are used in that particular design.
LAYOUT DESIGN:
PROPERTIES OF VDD :
PROPERTIES OF VSS :
PROPERTIES OF OUTPUT :
SIMULATION PARAMETERS:
CIRCUIT NOR.MSK
* IC Technology: CMOS 0.12µm - 6 Metal
VDD 1 0 DC 1.20
VA 7 0 PULSE(0.00 1.20 0.98N 0.03N 0.02N 0.97N 2.00N)
VB 8 0 PULSE(0.00 1.20 1.97N 0.02N 0.03N 1.98N 4.00N)
* List of nodes
* "N2" corresponds to n°2
* "o/p" corresponds to n°3
* "N4" corresponds to n°4
* "A" corresponds to n°7
* "B" corresponds to n°8
* MOS devices
MN1 3 8 0 0 N1 W= 0.60U L= 0.12U
MN2 0 7 3 0 N1 W= 0.60U L= 0.12U
MP1 4 8 3 2 P1 W= 0.60U L= 0.12U
MP2 1 7 4 2 P1 W= 0.60U L= 0.12U
C2 2 0 0.634fF
C3 3 0 0.491fF
C4 4 0 0.195fF
C5 1 0 0.187fF
C7 7 0 0.233fF
C8 8 0 0.207fF
* n-MOS Model 3 :
* low leakage
.MODEL N1 NMOS LEVEL=3 VTO=0.40 U0=0.060 TOX= 3.5E-9
+LD =0.000U THETA=0.500 GAMMA=0.400
+PHI=0.200 KAPPA=0.060 VMAX=120.00K
+CGSO=100.0p CGDO=100.0p
+CGBO= 60.0p CJSW=240.0p
* p-MOS Model 3:
* low leakage
.MODEL P1 PMOS LEVEL=3 VTO=-0.45 U0=0.020 TOX= 3.5E-9
+LD =0.000U THETA=0.300 GAMMA=0.400
+PHI=0.200 KAPPA=0.060 VMAX=110.00K
+CGSO=100.0p CGDO=100.0p
+CGBO= 60.0p CJSW=240.0p
* Transient analysis
.TEMP 27.0
.TRAN 0.30PS 10.00N
.PROBE
.END
W L
DEVICES W (m) L (m) TYPE
(Lambda) (Lambda)
N-1 1.60 0.120 10 02 Low leakage
N-2 1.60 0.120 10 02 Low leakage
P-1 1.60 0.120 10 02 Low leakage
P-2 1.60 0.120 10 02 Low leakage
PRECAUTIONS:
RESULT:
Design of the layout completed based on the CMOS design rules and lambda based
design rules. The characteristics of the two input CMOS NOR GATE are taken and the
relations between the internal parameters are also drawn above.
AIM: Design the two-input AND-OR Inverter circuit layout by using the micro wind
V2.6 software and check that whether the design satisfies the CMOS design rules
(or) not, draw the output characteristics, finding the relations between parameters
and list out the layers which are used in that particular design.
LAYOUT DESIGN:
PROPERTIES OF VDD :
PROPERTIES OF VSS :
PROPERTIES OF OUTPUT :
SIMULATION PARAMETER:
CIRCUIT AND-OR.MSK
* IC Technology: CMOS 0.12µm - 6 Metal
VDD 1 0 DC 1.20
VD 8 0 PULSE(0.00 1.20 1.97N 0.02N 0.03N 1.98N 4.00N)
VA 9 0 PULSE(0.00 1.20 0.23N 0.03N 0.03N 0.23N 0.50N)
VB 10 0 PULSE(0.00 1.20 0.48N 0.03N 0.03N 0.48N 1.00N)
VC 11 0 PULSE(0.00 1.20 0.98N 0.03N 0.02N 0.97N 2.00N)
* List of nodes
* "N2" corresponds to n°2
* "O/P" corresponds to n°3
* "N6" corresponds to n°6
* "N7" corresponds to n°7
* "D" corresponds to n°8
* "A" corresponds to n°9
* "B" corresponds to n°10
* "C" corresponds to n°11
* MOS devices
MN1 6 8 0 0 N1 W= 0.60U L= 0.12U
MN2 3 11 6 0 N1 W= 0.60U L= 0.12U
MN3 7 10 3 0 N1 W= 0.60U L= 0.12U
MN4 0 9 7 0 N1 W= 0.60U L= 0.12U
MP1 1 10 3 2 P1 W= 0.60U L= 0.12U
MP2 3 9 1 2 P1 W= 0.60U L= 0.12U
C2 2 0 1.361fF
C3 3 0 1.205fF
C4 1 0 0.207fF
C6 6 0 0.206fF
C7 7 0 0.206fF
C8 8 0 0.181fF
C9 9 0 0.184fF
C10 10 0 0.153fF
C11 11 0 0.144fF
* n-MOS Model 3 :
* low leakage
.MODEL N1 NMOS LEVEL=3 VTO=0.40 U0=0.060 TOX= 3.5E-9
+LD =0.000U THETA=0.500 GAMMA=0.400
+PHI=0.200 KAPPA=0.060 VMAX=120.00K
+CGSO=100.0p CGDO=100.0p
+CGBO= 60.0p CJSW=240.0p
* p-MOS Model 3:
* low leakage
.MODEL P1 PMOS LEVEL=3 VTO=-0.45 U0=0.020 TOX= 3.5E-9
+LD =0.000U THETA=0.300 GAMMA=0.400
+PHI=0.200 KAPPA=0.060 VMAX=110.00K
+CGSO=100.0p CGDO=100.0p
+CGBO= 60.0p CJSW=240.0p
* Transient analysis
.TEMP 27.0
.TRAN 0.30PS 2.00N
.PROBE
.END
W L
DEVICES W (m) L (m) TYPE
(Lambda) (Lambda)
N-1 1.60 0.120 10 02 Low leakage
N-2 1.60 0.120 10 02 Low leakage
P-1 1.60 0.120 10 02 Low leakage
P-2 1.60 0.120 10 02 Low leakage
PRECAUTIONS:
RESULT:
Design of the layout completed based on the CMOS design rules and lambda based
design rules. The characteristics of the AND-OR-INVERTER CIRCUIT are taken and the
relations between the internal parameters are also drawn above.
AIM: Design the two-input OR-AND- INVERTER circuit layout by using the micro wind
V2.6 software and check that whether the design satisfies the CMOS design rules (or) not,
draw the output characteristics, finding the relations between parameters and list out the
layers which are used in that particular design.
LAYOUT DESIGN:
PROPERTIES OF VDD:
PROPERTIES OF VSS:
PROPERTIES OUTPUT :
SIMULATION PARAMETERS:
CIRCUIT AND-OR.MSK
* IC Technology: CMOS 0.12µm - 6 Metal
VDD 1 0 DC 1.20
VD 8 0 PULSE(0.00 1.20 1.97N 0.02N 0.03N 1.98N 4.00N)
VB 9 0 PULSE(0.00 1.20 0.48N 0.03N 0.03N 0.48N 1.00N)
VA 10 0 PULSE(0.00 1.20 0.23N 0.03N 0.03N 0.23N 0.50N)
VC 11 0 PULSE(0.00 1.20 0.98N 0.03N 0.02N 0.97N 2.00N)
* List of nodes
* "N2" corresponds to n°2
* "N4" corresponds to n°4
* "O/P" corresponds to n°5
* "N6" corresponds to n°6
* "D" corresponds to n°8
* "B" corresponds to n°9
* "A" corresponds to n°10
* "C" corresponds to n°11
* MOS devices
MN1 0 9 5 0 N1 W= 0.60U L= 0.12U
MN2 5 10 0 0 N1 W= 0.60U L= 0.12U
MP1 4 8 1 2 P1 W= 0.60U L= 0.12U
MP2 5 11 4 2 P1 W= 0.60U L= 0.12U
MP3 6 9 5 2 P1 W= 0.60U L= 0.12U
MP4 1 10 6 2 P1 W= 0.60U L= 0.12U
C2 2 0 1.191fF
C3 1 0 0.501fF
C4 4 0 0.195fF
C5 5 0 1.204fF
C6 6 0 0.195fF
C8 8 0 0.161fF
C9 9 0 0.153fF
C10 10 0 0.184fF
C11 11 0 0.141fF
* n-MOS Model 3 :
* low leakage
.MODEL N1 NMOS LEVEL=3 VTO=0.40 U0=0.060 TOX= 3.5E-9
+LD =0.000U THETA=0.500 GAMMA=0.400
+PHI=0.200 KAPPA=0.060 VMAX=120.00K
+CGSO=100.0p CGDO=100.0p
+CGBO= 60.0p CJSW=240.0p
* p-MOS Model 3:
* low leakage
.MODEL P1 PMOS LEVEL=3 VTO=-0.45 U0=0.020 TOX= 3.5E-9
+LD =0.000U THETA=0.300 GAMMA=0.400
+PHI=0.200 KAPPA=0.060 VMAX=110.00K
+CGSO=100.0p CGDO=100.0p
+CGBO= 60.0p CJSW=240.0p
* Transient analysis
.TEMP 27.0
.TRAN 0.30PS 5.00N
.PROBE
.END
W L
DEVICES W (m) L (m) TYPE
(Lambda) (Lambda)
N-1 1.60 0.120 10 02 Low leakage
N-2 1.60 0.120 10 02 Low leakage
P-1 1.60 0.120 10 02 Low leakage
P-2 1.60 0.120 10 02 Low leakage
PRECAUTIONS:
RESULT:
Design of the layout completed based on the CMOS design rules and lambda based
design rules. The characteristics of the OR- AND-INVERTER CIRCUIT are taken and the
relations between the internal parameters are also drawn above.
AIM: Design the two-input CMOS INVERTER layout by using the micro wind V2.6
software and check that whether the design satisfies the CMOS design rules (or) not,
draw the output characteristics, finding the relations between parameters and list out
the layers which are used in that particular design.
LAYOUT DESIGNING:
PROPERTIES OF VDD:
PROPERTIES OF VSS:
PROPERTIES OF INPUT(A):
PROPERTIES OF OUTPUT(S1):
SIMULATION PARAMETERS:
W L
DEVICES W (m) L (m) TYPE
(Lambda) (Lambda)
N-1 1.60 0.120 10 02 Low leakage
N-2 1.60 0.120 10 02 Low leakage
P-1 1.60 0.120 10 02 Low leakage
P-2 1.60 0.120 10 02 Low leakage
PRECAUTIONS:
RESULT:
Design of the layout completed based on the CMOS design rules and lambda based
design rules. The characteristics of the CMOS INVERTER are taken and the relations
between the internal parameters are also drawn above.