Code
Code
library ieee;
use ieee.std_logic_1164.all;
entity project11 is
end project11;
begin
x<=((not a) and b and c) or (a and (not b) and c) or (a and b and (not c)) or (a and b and c);
end XOR_GATE;
EX2
library ieee;
use ieee.std_logic_1164.all;
entity Exp2 is
end Exp2
end test;
BART 2
library ieee;
use ieee.std_logic_1164.all;
entity EXX2 is
end EXX2;
begin
with a select
end full;
EX3 A
library ieee;
use ieee.std_logic_1164.all;
entity EX3 is
end EX3;
begin
process (a)
begin
end if ;
end process;
end decoder;
EX3 B
library ieee;
use ieee.std_logic_1164.all;
entity EXX3 is
end EXx3;
begin
process (a)
begin
case a is
when "000"=>z<="00000001";
when "001"=>z<="00000010";
when "010"=>z<="00000100";
when "011"=>z<="00001000";
when "100"=>z<="00010000";
when "101"=>z<="00100000";
when "110"=>z<="01000000";
end case;
end process;
end dec;
EX4
library ieee;
use ieee.std_logic_1164.all;
entity EX4 is
end EX4;
component INV
end component;
component AND3GATE
end component;
signal Abar,Bbar:std_logic;
begin
end de_MUX;
library ieee;
use ieee.std_logic_1164.all;
entity INV is
end INV;
begin
z <= not x;
end de_MUX;
library ieee;
use ieee.std_logic_1164.all;
entity AND3GATE is
end AND3GATE;
begin
end de_MUX;