Lab 7 - COA
Lab 7 - COA
TEST BENCH
LIBRARY ieee; L7_E1_201b085_4_bit_s
USE ieee.std_logic_1164.ALL; hift_TB IS END
ENTITY L7_E1_201b085_4_bit_s
Abhyansh Shrivastava
201B013 B1
hift_TB; Data_op => Data_op
);
ARCHITECTURE
clk_process
behavior OF
:process
L7_E1_201b085_4_bit_s
begin
hift_TB IS clk <= '0';
COMPONENT wait for
L7_E1_201b085_4_bit_s clk_period/2;
hift_register clk <= '1';
PORT( wait for clk_period/2;
Serial_ip : IN end
std_logic; reset process;
: IN std_logic; stim_proc:
clk : IN process
std_logic; begin
Data_op : OUT reset <= '0';
std_logic Serial_ip <='0';
); wait for 100 ns;
END COMPONENT; Serial_ip <='1';
signal Serial_ip : wait for 100 ns;
std_logic := '0'; Serial_ip <='1';
signal reset : wait for 100 ns;
std_logic := '0'; Serial_ip <='1';
signal clk : wait for 100 ns;
std_logic := '0'; Serial_ip <='0';
signal Data_op : wait for 100 ns;
std_logic; Serial_ip <='0';
wait for 100 ns;
constant clk_period :
Serial_ip <='1';
time := 10 ns; BEGIN
reset <= '1';
uut: wait for
L7_E1_201b085_4_bit_shift_re
100 ns;
gister PORT MAP ( Serial_ip =>
wait;
Serial_ip,
end
reset => process;
reset, clk END;
=> clk,
Abhyansh Shrivastava
201B013 B1
MOD6 COUNTER VHDL CODE
library IEEE; clk : in STD_LOGIC; reset : in STD_LOGIC;
use IEEE.STD_LOGIC_1164.ALL; Q : out STD_LOGIC;
entity L7_E2_201b085_mod6_counter is Port ntQ : out STD_LOGIC); end component;
( D : in STD_LOGIC; begin
clk : in STD_LOGIC; qA,qB : out D1: L7_E2_201b085_D_flip_flop
STD_LOGIC; port map(w0,clk,RST,q0,w0);
qC : out STD_LOGIC); qA <= q0;
end L7_E2_201b085_mod6_counter; D2: L7_E2_201b085_D_flip_flop
architecture Behavioral of port map(w1,w0,RST,q1,w1);
L7_E2_201b085_mod6_counter is signal qB <= q1;
w0,w1,w2 : STD_LOGIC; D3: L7_E2_201b085_D_flip_flop
signal q0,q1,q2 : STD_LOGIC; signal RST : port map(w2,w1,RST,q2,w2);
STD_LOGIC; qC <= q2;
component L7_E2_201b085_D_flip_flop Port RST <= q1 AND q2;
( D : in STD_LOGIC; end Behavioral;
Abhyansh Shrivastava
201B013 B1