AIML Algorithms and Applications in VLSI Design and Technology
AIML Algorithms and Applications in VLSI Design and Technology
varying complexity. Machine learning (ML) is a subset of We organized the paper as follows. Section 2 briefly
AI. The goals of AI/ML are learning, reasoning, predicting, discusses the existing review articles on AI/ML–VLSI. An
and perceiving. AI/ML can quickly identify the trends and overview of artificial intelligence and machine learning and
patterns in large volumes of data, enabling users to make a brief on different steps in the VLSI design and manu-
relevant decisions. AI/ML algorithms can handle multi- facturing are presented in sections 3 and 4, respectively.
dimensional and multivariate data at high computational A detailed survey of AI/ML-CAD-oriented work in circuit
speeds. These algorithms continuously gain experience and simulation at various abstraction levels (device level, gate
improve the accuracy and efficiency of their predictions. level, circuit level, register-transfer level (RTL), and post-
Further, they facilitate decision-making by optimizing the layout simulation) is presented in Section 5. A review of
relevant processes. Considering the numerous advantages of AI/ML algorithms at the architecture level and SoC level is
AI/ML algorithms, their applications are endless. Over the reported in sections 6 and 7. A survey of the learning
last decade, AI/ML strategies have been extensively applied strategies proposed in physical design and manufacturing
in VLSI design and technology. (lithography, reliability analysis, yield prediction, and man-
VLSI–computer-aided design (CAD) tools are involved agement) is discussed in sections 8 and 9, respectively. The
in several stages of the chip design flow, from design entry AI/ML approaches proposed in testing are reported in
to full-custom layouts. Design and performance evaluation Section 10. Sources of training data for AI/ML-VLSI are
of highly complex digital and analog ICs depends on the presented in Section 11, followed by challenges and
CAD tools’ capability. Advancement of VLSI–CAD tools opportunities for AI/ML approaches in the field of VLSI in
is becoming increasingly challenging and complex with the Section 12.
tremendous increase in transistors per chip. Numer- ous
opportunities are available in semiconductor and EDA 2. Existing Reviews
technology for developing/incorporating AI/ML solutions to
automate processes at various VLSI design and manufactur- The impact of AI on VLSI design was first demonstrated
ing levels for quick convergence [13],[14]. These intelligent in 1985 by Robert. S. Kirk [15]. He briefly explained the
learning algorithms are steered and designed to achieve scope and necessity for AI techniques in CAD tools at
relatively fast turnaround times with efficient, automated different levels of VLSI design. His paper included a brief
solutions for chip fabrication. on the existing VLSI–AI tools and stressed the importance of
This work thoroughly attempts to summarize the litera- incorporating the expanded capabilities of AI in CAD tools.
ture on AI/ML algorithms for VLSI design and modeling at The advantages of incorporating AI in the VLSI design pro-
different abstraction levels. It is the first paper that provides cess and its applications are briefed in [16] and [17]. Khan et
a detailed review encompassing circuit modeling to system- al. [17] focused on the applications of AI in the IC industry,
on-chip (SoC) design, along with physical design, testing, particularly in expert systems; different knowledge-based
and manufacturing. We also briefly present the VLSI design systems, such as design automation assistant, design advisor
flow and introduction to artificial intelligence for the benefit by NCR, and REDESIGN, being used in the VLSI industry.
of the readers. Rapid developments in AI/ML have drawn the attention of
researchers who have made numerous pioneering efforts
processors and the number of arithmetic logic units (ALUs) automatically convert C/C++-based system specifications
and floating-point units. The outcome of this level is a to HDL. Alternatively, the logic synthesis tool produces the
micro-architectural specification that contains the functional netlist, i.e., a gate-level description for the high-level
descriptions of subsystem units. Architects can estimate the behavioral description. The logic synthesis tool ensures that
design performance and power based on such descriptions. the gate-level netlist meets the timing, area, and power
The behavioral design level is the next; it provides the specifications. Logic verification is performed through test-
functional description of the design, often written using bench/simulation. Formal verification and scan insertion
Verilog HDL/VHDL. The behavioral level comprises a high- through design for testability (DFT) are performed at this
level description of the functionality, hiding the underlying stage to examine the RTL mapping [34]. Next, system parti-
implementation details. The timing information is checked tioning, which divides large and complex systems into small
and validated in the next level, i.e., the RTL description (reg- modules, is performed, followed by floor planning, place-
ister transfer level). A high-level synthesis (HLS) tool can ment, and routing. The primary function of the floor planner
Figure 3: (a) Overview of Artificial Intelligence techniques (b) Learning function of classification/regression algorithms (c) Deep
learning training and prediction
It works better than supervised/unsupervised learning alone and deep convolution NNs (DCNNs) [48]. Recently, DNNs
in some applications. The training starts with limited labeled have revolutionized the field of computer vision. DCNNs are
data and then applies algorithms to model the unlabeled suitable for computer vision tasks [50]. Other popular deep
dataset with pseudo labels in the next step. Then, the labeled learning techniques include recurrent NNs (RNNs) [51];
data is linked with pseudo labels and later with unlabeled generative adversarial networks (GANs) [52, 53]; and DRL
data to improve the accuracy [45, 46]. However, many efforts (deep reinforcement learning) [54]. Refer to the research
are needed to converge both parts of the semi-supervised works mentioned in fig. 2 for implementation details of these
methodology in certain complex applications. algorithms.
Rapid development in several fields of AI/ML is increas-
4.4. Reinforcement Learning ing the scope for solution creation to address many divergent
Reinforcement learning is an area of machine learning problems associated with IC design and manufacturing. In
that maps the situations to actions to maximize a numerical the following sections, we discuss the applications of AI/ML
reward signal; it is focused on goal-directed learning based at different abstraction levels of VLSI design and analysis,
on interactions [47]. It does not rely on examples of correct starting with circuit simulation.
behavior as in the case of supervised learning or does not
try to find a hidden pattern as in unsupervised learning.
Reinforcement learning is trying to learn from experience 5. AI at the Circuit Simulation
and find an optimum solution that maximizes a reward Simulation plays a vital role in IC device modeling.
signal. Performance evaluation of designed circuits through simula-
tions is becoming quite challenging in the nanometer regime
4.5. Deep Learning due to increasing process and environmental variations [55,
Deep learning is a subset of ML and is particularly suit- 56, 57]. The ability to discover functional and electrical per-
able for big-data processing. Deep learning enables the com- formance variations early in the design cycle can improve the
puter to build complex concepts from simple concepts [48]. IC yield, which depends on the simulation tools’ capability.
A feed-forward network or multi-layer perceptron (MLP) is By assimilating the automated learning capabilities offered
an essential example of a deep learning model or artificial by AI/ML algorithms in E-CAD tools, the turnaround time
neural network (ANN) (Fig. 3(c)). An MLP is a mathemat- and performance of the chip can be revamped with reduced
ical function mapping a set of input and output values. The design effort. Researchers have proposed surrogate method-
function is formed by composing many simple functions. A ologies targeting the characterization of the leakage power,
shallow neural network (SNN) is an NN with one or two total power, dynamic power, propagation delay, and IR-
hidden layers. A network with tens to hundreds of such layers drop estimation ranging from stack-level transistor models
is called a deep neural network (DNN). DNNs extract fea- to the subsystem level [58]. Different AI/ML algorithms
tures layer by layer and combines low-level features to form have been explored for circuit modeling at different abstrac-
high-level features; thus, they can be used to find distributed tion levels, including linear regression (LR), polynomial
expressions of data [49]. Compared with shallow neural net- regression (PR), response surface modeling (RSM), SVM,
works (SNNs), DNNs have better feature expression and the ensembled techniques, Bayes theorem, ANNs, and pattern
ability to model complex mapping. Frequently used DNNs recognition models [59]. The following subsections describe
include deep belief networks, stacked autoencoder (SAE),
Figure 4: Block diagram of the proposed inductive transfer learning for device modeling at upcoming lower technology nodes
in choosing the region of interest in the distribution to technologies, and non-FinFET and FinFET technologies, us-
improve accuracy while reducing the number of simula- ing a limited combination of output capacitance, input slew
tions. Under similar lines, Chaudhuri et al. [79] developed rate, and supply voltage. Utilizing the Bayesian inference
accurate RSM-based analytical leakage models for 22nm framework, they extract the new timing model parameters
shorted-gate and independent-gate FinFETs using a central using an ultra-small set of additional timing measurements
composite rotatable design to estimate the leakage current in from the target technology, achieving a 15× runtime speedup
FinFET standard cells by considering the process variations. in simulation runs without compromising accuracy, which
Their results agreed well with the quasi-MC simulations is better than the traditional lookup table approach. They
performed in TCAD using 2D cross-sections. employed ML to develop priors of timing model coefficients
Exploration of possible patterns in simulated data and using old libraries and sparse sampling to provide the addi-
reuse of the data across various stages of circuit design was tional data points required for building the new library in the
of great interest. In this fashion, Cao et al. [80] proposed target technology.
a robust table-lookup method for estimating the gate-level Over time, polynomial regression was another important
circuit leakage power and switching energy of all possible analytical modeling technique. A statistical leakage estima-
states using the Bayesian interface (BI) and neural networks tion through PR was proposed by [82]. Experimental results
(NNs). Their model uses pattern recognition by classifying on the MCNC benchmark [83] show that the leakage estima-
the possible states based on the average power consump- tion is five times more efficient than Wilkinson’s approach
tion values using NNs. The idea is centered on using the [84] with no accuracy loss in mean estimation and about 1%
statistical information on a circuit’s available SPICE power in standard deviation. On these lines, Moshrefi et al.
data points to characterize the correlation between the state- [85] proposed an accurate, low-cost Burr distribution as a
transition patterns and power consumption values of the cir- function for delay estimation at varying threshold voltages
cuit. Such correlated pattern information is further utilized ±10% from mean. The samples are generated at the 90, 45,
to predict the power consumption of any seen and unforeseen and 22nm technology nodes. Statistical data from MATLAB
state transition in the entire state-transition space of the were applied to HSPICE for simulations to obtain delay
circuit. The estimation errors obtained using NNs always variations. The relation between the threshold voltage and
exhibit normal distributions, with much smaller variations delay variations was determined as a fourth-order polyno-
than benchmark curves. Moreover, the estimation error de- mial equation. In addition to the mean and variance of
creases with the number of clusters and complexity of the the estimated distributions, the maximum likelihood was
NNs when appropriate features are extracted. Additionally, considered the third parameter, forming a three-parameter
the time required to train and validate the NNs is negligible probability density function. The proposed Burr distribution
compared to the computing time required to generate statis- benefits with one more degree of freedom to the normal
tical distributions using the SPICE environment. distribution [86], and with lower error distribution.
Applying BI, Yu et al. [81] proposed a novel nonlinear The AI/ML predictive algorithms are intermittently ap-
analytical timing model for statistical characterization of the plied for the process–voltage–temperature (PVT) variation-
delay and slew of standard library cells in bulk silicon, SOI aware library-cell characterization of digital circuit design
and simulation. The accurate performance modeling of dig- 90nm MOS technology to create the dataset. The feature
ital circuits is becoming difficult with the acute downscaling vectors extracted for modeling are capacitance, resistance,
of transistor dimensions in the deep sub-micrometer regime number of MOSFET, their respective width and length, and
[87], [88]. To address the concern regarding the performance the average power consumption of the respective layout. As
modeling of digital circuits in the sub-micrometer regime, per the experimental results, Extra tree and polynomial
Stillmaker et al. [89] developed polynomial equations for regressors demonstrate better performance over Linear, RF,
curve-fitting the measurements of the CMOS circuit delay, and DT regressors. GPU-based circuit analysis is required
power, and energy dissipation based on HSPICE simulated at the present state of complex-circuit analysis. Recently,
data using predictive technology models (PTMs) [90] at XT-PRAGGMA, a tool to eliminate false aggressors and ac-
technology nodes ranging from 180 nm to 7 nm. Second- curately predict crosstalk-induced delta delays using GPU-
order and third-order polynomial models were developed accelerated dynamic gate-level simulations and machine
with iterative power, delay, and energy measurement ex- learning, is proposed in [96]. It shows a speedup of 1800x
periments, attaining a coefficient of determination (R2score compared to SPICE-based simulations.
[91]) of 0.95. The scaling models proposed in [92] and An accurate yield estimation in the early stage of the
[89] are more accurate for comparing devices at different design cycle can positively impact the cost and quality of IC
technology nodes and supply voltages than the classical manufacturing [97],[98]. Comprehensive analysis of VLSI
scaling methods. circuits’ delay and power characteristics being designed at
Development of MPR and ANN models for measuring the sub-nanometer scale under expanding PVT variations is
the PVT-aware (process voltage temperature) leakage in extremely important for parametric yield estimation. As
CMOS and FinFET digital logic cells was reported in [91], reported earlier, accurate predictions are made by well-
and [93] respectively. [91] also models total power with the trained AI/ML algorithms, such as PR, ANNs, GB, and BI,
same MPR model. The developed models demonstrated high with power and delay estimations that are very close to
accuracy with < 1% error w.r.t. the HSPICE simulations. those of the most-reliable HSPICE models. Incorporating
Amuru et al. [94] reported a PVT-aware estimation of leak- such efficient ML models in EDA tools for library-cell char-
age power and propagation delay with a Gradient boosting acterization at the transistor level and gate level facilitates
algorithm, which yields a < 1% error in estimations with the performance evaluation of complex VLSI circuits at
104 times improvement in computational speed compared to very high computational speeds, facilitating the analysis of
HSPICE simulations. These characterized library-cell esti- the yield. These advanced computing EDA tools drastically
mations can be used for estimating the overall leakage power improve the turnaround time of the IC.
and propagation delay of complex circuits, avoiding the rela-
tively long simulation runs of traditional compilers. Bhavesh 5.3. CIRCUIT LEVEL
et al. [95] propose an estimation of power consumption of the Statistical characterization of VLSI circuits under pro-
MOSFET-based digital circuits using regression algorithms. cess variations is essential for avoiding silicon re-spins.
PMOS-based Resistive Load Inverter (RLI), NMOS-based Similar to gate-level, explorations for the design of ML-
RLI, and CMOS-based NAND gate layout are employed at based surrogate models at the circuit level were reported in
Figure 6: Summary of proposed AI/ML algorithms in literature for circuit simulation parameter estimation/performance evaluation
at nodes below 16 nm at low voltages, can be improved obtain the electromigration-aware aging prediction of the
using surrogate tools, supporting advanced processes for power grid networks during the design phase itself.
accurate timing calibration. ML techniques in chip design Power delivery networks (PDNs) supply low-noise power
and manufacturing, notably addressing the effect of process to the active components of the ICs. As the supply volt- age
variations on chip manufacturing at the sub-22-nm regime, scaled down, the variations in power supply voltage
are discussed in [127]. The authors discuss pattern-matching increased, affecting the system’s performance, especially at
techniques integrated with ML techniques for pre-silicon higher frequencies. The effects of this power supply noise
HD, post-silicon variation extraction, bug localization, and can be minimized with a proper design of impedance-
learning techniques for post-silicon time tuning. [128] re- controlled PDN. The probability of system failure increases
views some of the on-chip power grid design solutions using with the PDN ratio (The ratio of the actual impedance of the
AI/ML approaches. It thoroughly discusses Power grid PDN to the target impedance). It can be minimized by
analysis using probabilistic, heuristic, and machine-learning efficiently selecting and placing decoupling capacitors on
approaches. It further recommends that it is necessary to
recommendable for optimized chip layout. Traditional place- percentage of the overall power in the final full-chip design,
ment algorithms that estimate routability using pin delay or it is vital to have an optimized clock tree that prevents serious
through wirelength models can never meet their objectives design problems, including excessive power consumption,
due to increased manufacturing constraints, and complex high routing congestion (caused when extra shielding tech-
standard cell layouts [203]. A deep-learning model (CNN niques are used), and protracted time closure. With the
based) to estimate the routability of a placement to quickly downscaling of devices, the run time and complexity of
analyze the degree of routing difficulty to be encountered by existing EDA tools for accomplishing CTS have increased.
the detailed router is presented in [204]. In [205], a CNN- Highly efficient clock trees that optimize key-desired param-
based RL model is proposed for detailed Placement, keeping eters, such as the clock power, skew, and clock wire length,
optimal routability for current Placement. A generalized are required. It is a very time-consuming process involv- ing
placement optimization framework to meet the post-layout searching for parameters in a wide range of candidate
PPA metrics with a small runtime overhead is proposed parameters. Several ML algorithms have been proposed to
in [206]. Given an initial placement, unsupervised learn- ing automate the prediction of clock-network metrics.
discovers the critical cell clusters for post-route PPA Data mining tools such as the cubist data mining tool
improvements from timing, power, and congestion analy- [212] are used to achieve skew and insertion delay efficiently.
sis. A directed-placement optimization followed them. The In [213], statistical learning and meta-modeling methods
approach is validated on industrial benchmarks in a 5nm (including surrogate models) were employed to predict es-
technology node. sential parameters, such as the clock power and clock wire
A machine-learning model for predicting the sensitivity length, as shown in Fig. 8. In [214], the authors implement
of minimum valid block-level area of various physical lay- a hierarchical hybrid surrogate model for CTS prediction,
out factors that provides 100x speedup compared to con- mitigating parameter multi-collinearity challenges in rela-
ventional design technology co-optimization (DTCO) and tively high dimensions. They tackle the high-dimensionality
system technology co-optimization (STCO) approaches is problem by dividing the architectural and floor planning
proposed in [207]. This research suggests bootstrap aggre- parameters into two groups – one with low multi-collinearity
gation and gradient boosting techniques for block-level area and the other with parameters that exhibit large linear de-
sensitivity prediction from their experiments across various pendence. Later the models from these groups are combined
ML algorithms. Further, [208] quotes MAGICAL (Fully through least-squares regression (LSQR). [215] presents an
automated analog layout from netlists to GDSII, includ- ing ANN-based transient clock power estimation that can be
automatic layout constraint generation, placement, and applied to pre-CTS netlists.
routing), an open-source VLSI placement engine. Magical Ray et al. [216] employ ML-based parameter tuning
1.0 is open-source. [209] presents automated floor planning in multi-source CTS to build a high-performance clock
by exploration with different floor plan alternatives and network with a quick turnaround time. GAN-CTS, a con-
placement styles. ditional GAN framework for CTS outcome prediction and
RL is being proposed as the best solution for the physical optimization, outperforms commercial auto-generated clock
design of an IC as it does not depend on any external tree tools in terms of clock power, skew, and wire length
data or prior knowledge for training and could produce (target CTS metrics) [217]. Design features are directly
unusual solutions based on the design space exploration by extracted from placement layout images to perform practical
the agent. Some RL approaches for placement optimizations CTS outcome predictions. The framework also employs RL
[210, 211]. to supervise the generator of GAN toward clock tree opti-
mization. A modified GAN-CTS [218] employs a multitask
8.2. AI for Clock Tree Synthesis(CTS) learning technique to simultaneously predict the target CTS
Clock tree synthesis is one of the crucial steps in the metrics using multi-output deep NN. It achieves higher accu-
VLSI physical design. It is used to reduce clock skew and racy in a shorter training time compared to the meta-learning
insertion delay. As the clock network contributes a large
A hierarchical Bayes model (HBM) was proposed in though they are on the mask. The process of SRAF gener-
[251], for OPC, along with a new feature-extracting tech- ation is similar to OPC and is computationally expensive.
nique known as concentric circle area sampling (CCAS). Recently, ML was applied to SRAF generation. Xu et al.
HBM provides a flexible model that is not constrained by the [259] demonstrated an SRAF generation technique with
linearity of the model parameters or the number of samples; supervised-learning data for the first time. In their model,
this model utilizes a Bayes inference technique to learn the features are extracted using CCAS and compacted to reduce
optimal parameters from the given data. All parameters are training data size. Logistic regression and SVM models
estimated using the Markov chain MC method [252]. This were employed for training and testing. Instead of using
approach has shown better results than other ML techniques, binary classification models, the author uses the models as
such as LR and SVMs. Most ML OPCs use local pattern probability maxima. SRAFs are inserted at the grids with the
densities or pixel values of rasterized layouts as parameters, probability maxima. This model shows a drastic speedup in
which are typically huge numbers. It leads to overfitting and, computation with less error. Shim et al. [260] used decision
consequently, reduced accuracy. Choi et al. [253] proposed trees and logistic regression for SRAF generation, which
the usage of basic functions of polar Fourier transform showed a 10× improvement in runtime.
(PFT) as parameters of ML OPC. The PFT signals obtained Etching and mask synthesis are performed simultane-
from the layout are used as input parameters for an MLP ously. Recently, ML has been used to predict the etch bias
whose number of layers and neurons are decided empirically. (over-etched or under-etched). ANNs [261, 262, 263] have
Experimental results show that this model achieves an 80% been used to predict the etch proximity correction to com-
reduction in the OPC time and a 35% reduction in error. pensate for the etch bias, yielding better accuracy than
ML is also explored in inverse lithography technology traditional methods.
(ILT) [254], a popular pixel-based OPC method. ILT treats Although these ML models achieve high accuracy, they
the OPC as an inverse imaging problem and follows a rig- require a large amount of data for training. In the field of
orous approach to determine the mask shapes that produce lithography, where the technology shrinks very rapidly, and
the desired on-wafer results. Jia and Lam [255] developed old data cannot be used for the new models, data generation
a stochastic gradient descent model for mask optimization is a very laborious task. One of the solutions to this prob-
that showed promising results in robust mask production. lem is to use transfer learning, [264] which takes the data
Luo et al. [256] proposed an SVM-based layout retargeting generated through old technology nodes and information
method for ILT for fast convergence. A solution to ILT was about the evolution of nodes, e.g., from 10 to 7 nm, and uses
achieved through a hybrid approach by combining physics- them for model training. The authors also employ active data
based feature maps [257] with image space information as selection to use the unlabeled data for training using Clus-
model inputs to DCNN (deep CNN) [258]. tering. ResNet is used along with these two active learning
SRAFSs are small rectangular patterns on a mask that and transfer learning techniques, yielding high accuracy with
assist in printing target patterns; they are not printed even very few data samples for training.
Figure 11: Generative Adversarial Networks Figure 12: Examples of lithography hotspot Patterns
GANs [53] are one of the hottest prospects in deep decides the appropriate OPC engine for a given pattern,
learning. Figure 11 shows the general design of the primary taking advantage of both ILT and model-based OPC with
optimization flow of a generative adversarial network. It con- negligible overhead. They designed a classification model
tains two networks interacting with each other. The first one with a task-aware loss function to capture the design char-
is called the "generator" and takes random vectors as input acteristics better and achieve their objectives. Yang et al.
and generates samples as close to the true dataset distribution [269] also proposed an active-learning-based layout pat-
as possible. The second one is called the "discriminator" and tern sampling and HD flow for effective, optimized pattern
attempts to distinguish the true dataset from the generated selection. The experiments show that the proposed flow
samples. At convergence, ideally, the generator is expected significantly reduces the lithography simulation overhead
to generate samples with the same distribution as the true with satisfactory detection accuracy.
dataset. This technique was exploited in lithography mod- E-beam lithography is another prominent patterning
eling. GANs were used for OPC where intermediate ILT method to electronically transfer the layouts onto the wafer.
results initialize the generator; this improves the training Non-uniformities caused by parallel e-beam maskless lithog-
process, allowing the network to produce an improved mask raphy result in variations within the targets. Scatterometry
[265]. In [266], CGAN was used for the generation of SRAF. measures the defects caused by simulated dose variations in
Conditional GAN is an extension of GAN, where the gen- patterned multi-beam maskless lithography. An ML-based
erator and discriminator are conditioned on some auxiliary scatterometry to quantify critical dimension (measured pa-
information, such as class labels or data, from other modali- rameter for variation detection) and sensitivity analysis in
ties. A new technique for data preparation, i.e., a novel multi- detecting beam defects is proposed in [270]. A fast in-
channel heatmap encoding/decoding scheme that maps lay- line EUV resist characterization using scatterometry in
outs to images suitable for CGAN training while preserv- conjunction with machine learning algorithms is presented
ing the layout details, was also proposed here. This model in [271].
achieves a 14× reduction in computation costs compared to
9.1.2. At Mask Verification
state-of-the-art ML techniques. LithoGAN [267] is an end-
Due to complicated design rules and various RETs such
to-end lithography modeling approach where the mask
as OPC and SRAF, there may still be many lithographic
pattern is directly mapped to the resist pattern. Here, a
hotspots that may cause opens, shorts, and reductions in
CGAN is used to predict the shape of the resist pattern, and
yield (Fig. 12). Therefore, detecting and removing these
a CNN is used to determine the center location of the resist
hotspots are critical for achieving a high yield. Traditionally,
pattern. This technique overcomes the laborious process of
pattern-matching techniques are widely used in HD. Hotspot
building and training a model for each stage, resulting in a
patterns are stored in a predefined library, and given a new
reduction in computation time of approximately 190 times
testing pattern; a hotspot is detected if it can be matched to
compared to other ML techniques.
the existing patterns. This technique is accurate for already-
Different OPC engines work on different design patterns,
known hotspot patterns but does not work well for new, un-
each of which has advantages and disadvantages. Compared
known patterns. ML-based approaches show better accuracy
to the model-based OPC, ILTs generally promise good mask
for both seen and unseen patterns.
printability owing to their relatively large solution space.
Early ML usage in lithography HD included classifiers
However, this conclusion only sometimes holds as ILTs need
such as simple NNs (including ANNs) [272, 273], which
to solve a highly non-convex optimization problem, which
detect hotspots from given patterns. Clustering algorithms
is occasionally challenging to converge. GAN yields good
were also extensively used, [274, 275], where a large dataset
results; however, it is difficult to train for some patterns. To
overcome these challenges, Yang et al.[268] proposed a
heterogeneous OPC flow, where a deterministic ML model
do not provide satisfactory results. ML is widely used in scan model electrostatic problems for VLSI modeling applica-
chain diagnosis to achieve sufficient resolution and accuracy. tions achieves an error rate of 9.3% in electric potential
An unsupervised-learning model was proposed [363], estimation without labeled data and yields 5.7% error with
where a Bayesian model was employed for diagnosis. The the assistance of a limited number of coarse labeling data
failing probabilities of each scan cell were supplied as input [373]. The paper also highlights the implementation of ML
to the model, which partitioned the scan cells into multiple models for data exploration for IC testing and reliability
clusters. After that, the defective scan cell is found at the analysis. In a survey of ML applications on analog and
boundaries of adjacent clusters. This model yielded 100% digital IC testing, significant challenges and opportunities
accuracy for both permanent and intermittent faults, al- are presented [374].
though only for single stuck-at faults. ANNs have come into We observe that deep NNs, GNNs in particular and
use recently, providing sufficient resolution and accuracy. Bayesian networks are the most suitable approaches to act
For example, in [364], a coarse global neural network was as an alternative to various laborious manual testing proce-
used to select several suspected scan cells (affine group) dures.
from all the scan-chain cells, and a refined local neural
network to identify the final suspected scan cell in the affine 11.Sources of Training data for AI/ML-VLSI
group. This successively refined focus increased the reso-
lution and accuracy but significantly increased the training The techniques of AI/ML would aid in solving many
time due to multiple networks. A two-stage NN model was challenges in the IC industry. Nevertheless, the limited data
proposed to identify the exact location of a stuck-at-fault and availability for training the necessary algorithms is a known
transition fault in [365]. The 1st stage ANN trained with difficulty in VLSI domain. Although there is a plethora of
entire scan data with all faults predicts a scan window with tools for designing, manufacturing, and testing VLSI cir-
successive candidates. The 2nd stage ANN analyzes the fail cuits, a systematical way of capturing relevant and sufficient
data locally to identify the exact fault location. data for training AI/ML algorithms still needs to be solved.
Liu et al. proposed RF classification to predict test chip A structured methodology for automated data capture across
design exploration synthesis outcomes [366]. In [367], a DT- different design levels needs to be incorporated into the IC
based screening method is proposed to predict unreliable design flow to resolve the challenge of data scarcity to a
dies that would fail the HTOL (high-temperature operating certain extent.
life) test [367]. The HTOL test is a popular test to determine This section presents a brief on sources of training data
the device’s intrinsic reliability and predict the device’s long- explored and implemented in literature for future research in-
term failure rate and lifetime of the device [368]. SVM and terest (Fig. 14). SIS is an interactive tool for synthesizing and
autoencoder-based early stage system level testing (SLT) optimizing sequential circuits that produces an optimized
failure estimation reduces the testing cost by 40% with a netlist in the target technology [375]. Benchmark circuits to
minor impact on defective parts per million (DPPM) [369]. analyze hardware security are available at Trust-HUB [376].
In addition, adaptive test methods that analyze the failing The research community utilized EDA tools from Cadence,
data and test logs, dynamically reorder the test patterns and Synopsys, and Mentor Graphics, while ISCAS and ISPD
adjust the testing process bring down the testing cost by benchmarks were used by many to generate training datasets
several orders [370, 371]. and for testing/model validation.
The state-of-the-art DL for IC test (GCNs (Graph Con-
volutional Networks) and ANNs in particular) is discussed 12. Challenges and Opportunities for AI/ML
in [372]. The work systematically investigates the robustness in VLSI
of ML metrics and models in the context of IC testing
and highlights the opportunities and challenges in adopting The dimensions of devices are decreasing; however, as
them. A novel physics-informed neural network (PINN) to we approach atomic dimensions, many aspects of their per-
formance deteriorate, e.g., leakage increases (particularly in
[61] A. R. Alvarez, B. L. Abdi, D. L. Young, H. D. Weed, J. Teplik, [78] M. Miranda, P. Zuber, P. Dobrovolný, P. Roussel, Variability aware
E. R. Herald, Application of statistical design and response surface modeling for yield enhancement of sram and logic, in: 2011 Design,
methods to computer-aided vlsi device design, IEEE Transactions on Automation Test in Europe, 2011, pp. 1–6.
Computer-Aided Design of Integrated Circuits and Systems 7 (1988) [79] S. Chaudhuri, P. Mishra, N. K. Jha, Accurate leakage estimation
272–288. for finfet standard cells using the response surface methodology, in:
[62] D. L. Young, J. Teplik, H. D. Weed, N. T. Tracht, A. R. Alvarez, 2012 25th International Conference on VLSI Design, IEEE, 2012,
Application of statistical design and response surface methods to pp. 238–244.
computer-aided vlsi device design ii. desirability functions and [80] L. Cao, Circuit power estimation using pattern recognition tech-
taguchi methods, IEEE Transactions on Computer-Aided Design niques, in: Proceedings of the 2002 IEEE/ACM international
of Integrated Circuits and Systems 10 (1991) 103–115. conference on Computer-aided design, 2002, pp. 412–417.
[63] R. H. Myers, D. C. Montgomery, G. G. Vining, C. M. Borror, S. M. [81] L. Yu, S. Saxena, C. Hess, I. A. M. Elfadel, D. Antoniadis, D. Bon-
Kowalski, Response surface methodology: A retrospective and ing, Statistical library characterization using belief propagation
literature survey, Journal of Quality Technology 36 (2004) 53–77. across multiple technology nodes, in: 2015 Design, Automation &
[64] R. Myers, D. Montgomery, C. Anderson-Cook, Response Surface Test in Europe Conference & Exhibition (DATE), IEEE, 2015, pp.
Methodology: Process and Product Optimization Using Designed 1383–1388.
Experiments, Wiley Series in Probability and Statistics, Wiley, 2016. [82] L. Cheng, P. Gupta, L. He, Efficient additive statistical leakage esti-
URL: https://books.google.co.in/books?id=vOBbCwAAQBAJ. mation, IEEE Transactions on Computer-Aided Design of Integrated
[65] M. A. H. Khan, A. S. M. Z. Rahman, T. Muntasir, U. K. Acharjee, Circuits and Systems 28 (2009) 1777–1781.
M. A. Layek, Multiple polynomial regression for modeling a mosfet [83] Mcnc designers’ manual, 1993. URL: https://www.carolana.com/NC/
in saturation to validate the early voltage, in: 2011 IEEE Symposium NC_Manuals/NC_Manual_1993_1994.pdf.
on Industrial Electronics and Applications, 2011, pp. 261–266. [84] H. Chang, S. Sapatnekar, Full-chip analysis of leakage power under
[66] Y. S. Chauhan, S. Venugopalan, M. A. Karim, S. Khandelwal, process variations, including spatial correlations, in: Proceedings.
N. Paydavosi, P. Thakur, A. M. Niknejad, C. C. Hu, Bsim — 42nd Design Automation Conference, 2005., 2005, pp. 523–528.
industry standard compact mosfet models, in: 2012 Proceedings of doi:10.1109/DAC.2005.193865.
the ESSCIRC (ESSCIRC), 2012, pp. 30–33. doi:10.1109/ESSCIRC. [85] A. Moshrefi, H. Aghababa, O. Shoaei, Statistical estimation of delay
2012.6341249. in nano-scale cmos circuits using burr distribution, Microelectron.
[67] Z. Abbas, M. Olivieri, Optimal transistor sizing for maximum yield J. 79 (2018) 30–37.
in variation-aware standard cell design, International Journal of [86] T.-T. Liu, J. M. Rabaey, Statistical analysis and optimization of
Circuit Theory and Applications 44 (2016) 1400–1424. asynchronous digital circuits, in: 2012 IEEE 18th International
[68] T.-L. Wu, S. B. Kutub, Machine learning-based statistical approach Symposium on Asynchronous Circuits and Systems, IEEE, 2012,
to analyze process dependencies on threshold voltage in recessed pp. 1–8.
gate algan/gan mis-hemts, IEEE Transactions on Electron Devices [87] K. J. Kuhn, Considerations for ultimate cmos scaling, IEEE
67 (2020) 5448–5453. Transactions on Electron Devices 59 (2012) 1813–1828.
[69] G. Choe, P. V. Ravindran, A. Lu, J. Hur, M. Lederer, A. Reck, [88] K. J. Kuhn, Cmos transistor scaling past 32nm and implications on
S. Lombardo, N. Afroze, J. Kacher, A. I. Khan, S. Yu, Ma- variation, in: 2010 IEEE/SEMI Advanced Semiconductor Manufac-
chine learning assisted statistical variation analysis of ferroelectric turing Conference (ASMC), 2010, pp. 241–246.
transistors: From experimental metrology to predictive modeling, [89] A. Stillmaker, B. Baas, Scaling equations for the accurate prediction
in: 2022 IEEE Symposium on VLSI Technology and Circuits of cmos device performance from 180 nm to 7 nm, Integration 58
(VLSI Technology and Circuits), 2022, pp. 336–337. doi:10.1109/ (2017) 74–81.
VLSITechnologyandCir46769.2022.9830392. [90] Predictive technology model, 2012. URL: http://ptm.asu.edu/.
[70] M.-Y. Kao, H. Kam, C. Hu, Deep-learning-assisted physics-driven [91] D. Amuru, A. Zahra, Z. Abbas, Statistical variation aware leakage
mosfet current-voltage modeling, IEEE Electron Device Letters 43 and total power estimation of 16 nm vlsi digital circuits based
(2022) 974–977. on regression models, in: A. Sengupta, S. Dasgupta, V. Singh,
[71] M. Choi, X. Xu, V. Moroz, Modeling performance and thermal R. Sharma, S. Kumar Vishvakarma (Eds.), VLSI Design and Test,
induced reliability issues of a 3nm finfet logic chip operation in a Springer Singapore, Singapore, 2019, pp. 565–578.
fan-out and a flip-chip packages, in: 2019 18th IEEE Intersociety [92] A. Stillmaker, Z. Xiao, B. Baas, Toward more accurate scaling
Conference on Thermal and Thermomechanical Phenomena in Elec- estimates of cmos circuits from 180 nm to 22 nm, 2012.
tronic Systems (ITherm), 2019, pp. 107–112. [93] S. Gourishetty, H. Mandadapu, A. Zahra, Z. Abbas, A highly
[72] S. J. Pan, Q. Yang, A survey on transfer learning, IEEE Transactions accurate machine learning approach to modelling pvt variation aware
on Knowledge and Data Engineering 22 (2010) 1345–1359. leakage power in finfet digital circuits, in: 2019 IEEE Asia Pacific
[73] F. Zhuang, Z. Qi, K. Duan, D. Xi, Y. Zhu, H. Zhu, H. Xiong, Q. He, Conference on Circuits and Systems (APCCAS), 2019, pp. 61–64.
A comprehensive survey on transfer learning, Proceedings of the [94] D. Amuru, M. S. Ahmed, Z. Abbas, An efficient gradient boosting
IEEE 109 (2021) 43–76. approach for pvt aware estimation of leakage power and propagation
[74] A. A. Mutlu, M. Rahman, Statistical methods for the estimation of delay in cmos/finfet digital cells, in: 2020 IEEE International
process variation effects on circuit operation, IEEE Transactions on Symposium on Circuits and Systems (ISCAS), 2020, pp. 1–5.
Electronics Packaging Manufacturing 28 (2005) 364–375. [95] M. D. Bhavesh, N. A. Anilkumar, M. I. Patel, R. Gajjar, D. Panchal,
[75] S. Basu, P. Thakore, R. Vemuri, Process variation tolerant standard Power consumption prediction of digital circuits using machine
cell library development using reduced dimension statistical model- learning, in: 2022 2nd International Conference on Artificial Intel-
ing and optimization techniques, in: 8th International Symposium ligence and Signal Processing (AISP), 2022, pp. 1–6. doi:10.1109/
on Quality Electronic Design (ISQED’07), 2007, pp. 814–820. AISP53593.2022.9760542.
[76] L. Brusamarello, G. Wirth, P. Roussel, M. Miranda, Fast and [96] V. A. Chhabria, B. Keller, Y. Zhang, S. Vollala, S. Pratty, H. Ren,
accurate statistical characterization of standard cell libraries, Mi- B. Khailany, Xt-praggma: Crosstalk pessimism reduction achieved
croelectronics Reliability 51 (2011) 2341–2350. with gpu gate-level simulations and machine learning, in: 2022
[77] M. Miranda, P. Roussel, L. Brusamarello, G. Wirth, Statistical ACM/IEEE 4th Workshop on Machine Learning for CAD (ML-
characterization of standard cells using design of experiments with CAD), 2022, pp. 63–69. doi:10.1109/MLCAD55463.2022.9900084.
response surface modeling, in: 2011 48th ACM/EDAC/IEEE Design [97] T. Chen, V.-K. Kim, M. Tegethoff, Ic yield estimation at early stages
Automation Conference (DAC), 2011, pp. 77–82. of the design cycle, Microelectronics journal 30 (1999) 725–732.
[98] R. R. Rao, A. Devgan, D. Blaauw, D. Sylvester, Parametric yield [115] Y. Zhou, H. Ren, Y. Zhang, B. Keller, B. Khailany, Z. Zhang, Primal:
estimation considering leakage variability, in: Proceedings of the Power inference using machine learning, in: 2019 56th ACM/IEEE
41st Annual Design Automation Conference, DAC ’04, Association Design Automation Conference (DAC), 2019, pp. 1–6.
for Computing Machinery, New York, NY, USA, 2004, p. 442–447. [116] J. Zhou, G. Cui, Z. Zhang, C. Yang, Z. Liu, L. Wang, C. Li, M. Sun,
URL: https://doi.org/10.1145/996566.996693. doi:10.1145/996566. 996693. Graph neural networks: A review of methods and applications, 2019.
[99] L. Hou, L. Zheng, W. Wu, Neural network based vlsi power arXiv:1812.08434.
estimation, in: 2006 8th International Conference on Solid-State and [117] Y. Zhang, H. Ren, B. Khailany, Grannite: Graph neural network in-
Integrated Circuit Technology Proceedings, 2006, pp. 1919–1921. ference for transferable power estimation, in: 2020 57th ACM/IEEE
[100] M. Stockman, M. Awad, R. Khanna, C. Le, H. David, E. Gorbatov, Design Automation Conference (DAC), 2020, pp. 1–6. doi:10.1109/
U. Hanebutte, A novel approach to memory power estimation using DAC18072.2020.9218643.
machine learning, in: 2010 International Conference on Energy [118] E. Banijamali, A. Ghodsi, P. Popuart, Generative mixture of net-
Aware Computing, IEEE, 2010, pp. 1–3. works, in: 2017 International Joint Conference on Neural Networks
[101] V. Janakiraman, A. Bharadwaj, V. Visvanathan, Voltage and tem- (IJCNN), 2017, pp. 3753–3760. doi:10.1109/IJCNN.2017.7966329.
perature aware statistical leakage analysis framework using artificial [119] M. Rezagholiradeh, M. A. Haidar, Reg-gan: Semi-supervised
neural networks, IEEE Transactions on Computer-Aided Design of learning based on generative adversarial networks for regression,
Integrated Circuits and Systems 29 (2010) 1056–1069. in: 2018 IEEE International Conference on Acoustics, Speech and
[102] S. Narendra, V. De, S. Borkar, D. Antoniadis, A. Chandrakasan, Signal Processing (ICASSP), 2018, pp. 2806–2810.
Full-chip sub-threshold leakage power prediction model for sub-0.18 [120] Y. Fang, H. Lin, M. Sui, C. Li, E. J. Fang, Machine-learning-based
/spl mu/m cmos, in: Proceedings of the International Symposium on dynamic ir drop prediction for eco, in: 2018 IEEE/ACM Interna-
Low Power Electronics and Design, 2002, pp. 19–23. tional Conference on Computer-Aided Design (ICCAD), 2018, pp.
[103] R. R. Rao, A. Devgan, D. Blaauw, D. Sylvester, Analytical 1–7. doi:10.1145/3240765.3240823.
yield prediction considering leakage/performance correlation, IEEE [121] Z. Xie, H. Ren, B. Khailany, Y. Sheng, S. Santosh, J. Hu, Y. Chen,
Transactions on Computer-Aided Design of Integrated Circuits and Powernet: Transferable dynamic ir drop estimation via maximum
Systems 25 (2006) 1685–1695. convolutional neural network, in: 2020 25th Asia and South Pa-
[104] H. Chang, S. S. Sapatnekar, Prediction of leakage power under cific Design Automation Conference (ASP-DAC), 2020, pp. 13–18.
process uncertainties, ACM Trans. Des. Autom. Electron. Syst. 12 doi:10.1109/ASP-DAC47756.2020.9045574.
(2007) 12–es. [122] S. Lin, Y. Fang, Y. Li, Y. Liu, T. Yang, S. Lin, C. Li, E. J. Fang, Ir
[105] L. Garg, V. Sahula, Variability aware support vector machine drop prediction of eco-revised circuits using machine learning, in:
based macromodels for statistical estimation of subthreshold leakage 2018 IEEE 36th VLSI Test Symposium (VTS), 2018, pp. 1–6.
power, in: 2012 International Conference on Synthesis, Modeling, doi:10.1109/VTS.2018.8368657.
Analysis and Simulation Methods and Applications to Circuit De- [123] Y. Yamato, T. Yoneda, K. Hatayama, M. Inoue, A fast and accurate
sign (SMACD), 2012, pp. 253–256. per-cell dynamic ir-drop estimation method for at-speed scan test
[106] A. B. Kahng, M. Luo, S. Nath, Si for free: machine learning pattern validation, in: 2012 IEEE International Test Conference,
of interconnect coupling delay and transition effects, in: 2015 2012, pp. 1–8. doi:10.1109/TEST.2012.6401549.
ACM/IEEE International Workshop on System Level Interconnect [124] F. Ye, F. Firouzi, Y. Yang, K. Chakrabarty, M. B. Tahoori, On-
Prediction (SLIP), 2015, pp. 1–8. chip voltage-droop prediction using support-vector machines, in:
[107] V. Govindaraj, B. Arunadevi, Machine learning based power estima- 2014 IEEE 32nd VLSI Test Symposium (VTS), 2014, pp. 1–6.
tion for cmos vlsi circuits, Applied Artificial Intelligence 35 (2021) doi:10.1109/VTS.2014.6818798.
1043–1055. [125] S. Kundu, M. Prasad, S. Nishad, S. Nachireddy, H. K, Mlir: Machine
[108] K. Agarwal, A. Jain, D. Amuru, Z. Abbas, Fast and efficient resnn learning based ir drop prediction on eco revised design for faster
and genetic optimization for pvt aware performance enhancement convergence, in: 2022 35th International Conference on VLSI De-
in digital circuits, in: 2022 International Symposium on VLSI sign and 2022 21st International Conference on Embedded Systems
Design, Automation and Test (VLSI-DAT), 2022, pp. 1–4. doi:10. (VLSID), 2022, pp. 68–73. doi:10.1109/VLSID2022.2022.00025.
1109/VLSI-DAT54769.2022.9768067. [126] S. Han, A. B. Kahng, S. Nath, A. S. Vydyanathan, A deep learning
[109] A. Rahimi, L. Benini, R. K. Gupta, Hierarchically focused guard- methodology to proliferate golden signoff timing, in: 2014 Design,
banding: An adaptive approach to mitigate pvt variations and aging, Automation Test in Europe Conference Exhibition (DATE), 2014,
in: 2013 Design, Automation Test in Europe Conference Exhibition pp. 1–6.
(DATE), 2013, pp. 1695–1700. [127] C. Zhuo, B. Yu, D. Gao, Accelerating chip design with machine
[110] X. Jiao, A. Rahimi, B. Narayanaswamy, H. Fatemi, J. P. de Gyvez, learning: From pre-silicon to post-silicon, in: 2017 30th IEEE
R. K. Gupta, Supervised learning based model for predicting International System-on-Chip Conference (SOCC), 2017, pp. 227–
variability-induced timing errors, in: 2015 IEEE 13th International 232. doi:10.1109/SOCC.2017.8226046.
New Circuits and Systems Conference (NEWCAS), 2015, pp. 1–4. [128] S. Dey, S. Nandi, G. Trivedi, Machine learning for vlsi cad: A case
[111] A. Bogliolo, L. Benini, G. De Micheli, Regression-based rtl power study in on-chip power grid design, in: 2021 IEEE Computer
modeling, ACM Trans. Des. Autom. Electron. Syst. 5 (2000) 337– Society Annual Symposium on VLSI (ISVLSI), 2021, pp. 378–383.
372. doi:10.1109/ISVLSI51109.2021.00075.
[112] J. H. Anderson, F. N. Najm, Power estimation techniques for fpgas, [129] H. Vaghasiya, A. Jain, J. N. Tripathi, A machine learning based
IEEE Transactions on Very Large Scale Integration (VLSI) Systems metaheuristic technique for decoupling capacitor optimization, in:
12 (2004) 1015–1027. 2022 IEEE 26th Workshop on Signal and Power Integrity (SPI),
[113] S. Ahuja, D. A. Mathaikutty, G. Singh, J. Stetzer, S. K. Shukla, 2022, pp. 1–4. doi:10.1109/SPI54345.2022.9874924.
A. Dingankar, Power estimation methodology for a high-level [130] M.-Y. Su, W.-C. Lin, Y.-T. Kuo, C.-M. Li, E. J.-W. Fang, S. S.-
synthesis framework, in: 2009 10th International Symposium on Y. Hsueh, Chip performance prediction using machine learning
Quality Electronic Design, 2009, pp. 541–546. doi:10.1109/ISQED. techniques, in: 2021 International Symposium on VLSI Design,
2009.4810352. Automation and Test (VLSI-DAT), 2021, pp. 1–4. doi:10.1109/ VLSI-
[114] D. Sunwoo, G. Y. Wu, N. A. Patil, D. Chiou, Presto: An fpga- DAT52063.2021.9427338.
accelerated power estimation methodology for complex systems, in: [131] S. Sadiqbatcha, J. Zhang, H. Amrouch, S. X.-D. Tan, Real-time full-
2010 International Conference on Field Programmable Logic and chip thermal tracking: A post-silicon, machine learning perspective,
Applications, 2010, pp. 310–317. doi:10.1109/FPL.2010.69. IEEE Transactions on Computers 71 (2022) 1411–1424.
[132] J. Zhang, Z. Wang, N. Verma, In-memory computation of a machine- [149] S. Bavikadi, P. R. Sutradhar, K. N. Khasawneh, A. Ganguly, S. M.
learning classifier in a standard 6t sram array, IEEE Journal of Solid- Pudukotai Dinakarrao, A review of in-memory computing ar-
State Circuits 52 (2017) 915–924. chitectures for machine learning applications, in: Proceedings of
[133] M. Kang, Y. Kim, A. D. Patil, N. R. Shanbhag, Deep in-memory the 2020 on Great Lakes Symposium on VLSI, GLSVLSI ’20,
architectures for machine learning–accuracy versus efficiency trade- Association for Computing Machinery, New York, NY, USA, 2020,
offs, IEEE Transactions on Circuits and Systems I: Regular Papers p. 89–94. URL: https://doi.org/10.1145/3386263.3407649. doi:10.
67 (2020) 1627–1639. 1145/3386263.3407649.
[134] M. Kang, M.-S. Keel, N. R. Shanbhag, S. Eilert, K. Curewitz, An [150] A. Biswas, H. Sanghvi, M. Mehendale, G. Preet, An area-efficient
energy-efficient vlsi architecture for pattern recognition via deep 6t-sram based compute-in-memory architecture with reconfigurable
embedding of computation in sram, in: 2014 IEEE International sar adcs for energy-efficient deep neural networks in edge ml ap-
Conference on Acoustics, Speech and Signal Processing (ICASSP), plications, in: 2022 IEEE Custom Integrated Circuits Conference
2014, pp. 8326–8330. doi:10.1109/ICASSP.2014.6855225. (CICC), 2022, pp. 1–2. doi:10.1109/CICC53496.2022.9772789.
[135] S. K. Gonugondla, M. Kang, N. Shanbhag, A 42pj/decision [151] L. Chang, C. Li, Z. Zhang, J. Xiao, Q. Liu, Z. Zhu, W. Li, Z. Zhu,
3.12tops/w robust in-memory machine learning classifier with on- S. Yang, J. Zhou, Energy-efficient computing-in-memory archi-
chip training, in: 2018 IEEE International Solid - State Circuits tecture for ai processor: device, circuit, architecture perspective,
Conference - (ISSCC), 2018, pp. 490–492. Science China Information Sciences 64 (2021) 160403.
[136] A. Sebastian, M. Le Gallo, R. Khaddam-Aljameh, E. Eleftheriou, [152] W. Wan, R. Kubendran, S. B. Eryilmaz, W. Zhang, Y. Liao, D. Wu,
Memory devices and applications for in-memory computing, Nature S. Deiss, B. Gao, P. Raina, S. Joshi, H. Wu, G. Cauwenberghs, H.-
Nanotechnology 15 (2020) 529–544. S. P. Wong, 33.1 a 74 tmacs/w cmos-rram neurosynaptic core with
[137] Y. Wang, H. Tang, Y. Xie, X. Chen, S. Ma, Z. Sun, Q. Sun, L. Chen, dynamically reconfigurable dataflow and in-situ transposable
H. Zhu, J. Wan, Z. Xu, D. W. Zhang, P. Zhou, W. Bao, An in-memory weights for probabilistic graphical models, in: 2020 IEEE Interna-
computing architecture based on two-dimensional semiconductors tional Solid- State Circuits Conference - (ISSCC), 2020, pp. 498–
for multiply-accumulate operations, Nature Communications 12 500. doi:10.1109/ISSCC19947.2020.9062979.
(2021) 3347. [153] P. Chi, S. Li, C. Xu, T. Zhang, J. Zhao, Y. Liu, Y. Wang,
[138] Q. Wang, P. Li, Y. Kim, A parallel digital vlsi architecture for Y. Xie, Prime: A novel processing-in-memory architecture for
integrated support vector machine training and classification, IEEE neural network computation in reram-based main memory, in: 2016
Transactions on Very Large Scale Integration (VLSI) Systems 23 ACM/IEEE 43rd Annual International Symposium on Computer
(2015) 1471–1484. Architecture (ISCA), 2016, pp. 27–39. doi:10.1109/ISCA.2016.13.
[139] K. Kang, T. Shibata, An on-chip-trainable gaussian-kernel analog [154] C. Lammie, W. Xiang, M. Rahimi Azghadi, Modeling and simulat-
support vector machine, IEEE Transactions on Circuits and Systems ing in-memory memristive deep learning systems: An overview of
I: Regular Papers 57 (2010) 1513–1524. current efforts, Array 13 (2022) 100116.
[140] T. Kuan, J. Wang, J. Wang, P. Lin, G. Gu, Vlsi design of an svm [155] Ming Cheng, Lixue Xia, Zhenhua Zhu, Yi Cai, Yuan Xie, Yu
learning core on sequential minimal optimization algorithm, IEEE Wang, Huazhong Yang, Time: A training-in-memory architec- ture
Transactions on Very Large Scale Integration (VLSI) Systems 20 for memristor-based deep neural networks, in: 2017 54th
(2012) 673–683. ACM/EDAC/IEEE Design Automation Conference (DAC), 2017,
[141] M. Papadonikolakis, C. Bouganis, Novel cascade fpga accelerator pp. 1–6.
for support vector machines classification, IEEE Transactions on [156] S. Dave, R. Baghdadi, T. Nowatzki, S. Avancha, A. Shrivastava,
Neural Networks and Learning Systems 23 (2012) 1040–1052. B. Li, Hardware acceleration of sparse and irregular tensor com-
[142] S. Gupta, M. Imani, H. Kaur, T. S. Rosing, Nnpim: A processing putations of ml models: A survey and insights, Proceedings of the
in-memory architecture for neural network acceleration, IEEE IEEE 109 (2021) 1706–1752.
Transactions on Computers 68 (2019) 1325–1337. [157] W. Olin-Ammentorp, Y. Sokolov, M. Bazhenov, A dual-memory
[143] M. He, C. Song, I. Kim, C. Jeong, S. Kim, I. Park, M. Thot- tethodi, architecture for reinforcement learning on neuromorphic platforms,
T. N. Vijaykumar, Newton: A dram-maker’s accelerator- in- Neuromorphic Computing and Engineering 1 (2021) 024003.
memory (aim) architecture for machine learning, in: 2020 53rd [158] S. Hoffmann-Eifert, Nanoscale hfo2-based memristive devices for
Annual IEEE/ACM International Symposium on Microarchitecture neuromorphic computing, in: 2022 Device Research Conference
(MICRO), 2020, pp. 372–385. doi:10.1109/MICRO50266.2020.00040. (DRC), 2022, pp. 1–2. doi:10.1109/DRC55272.2022.9855810.
[144] D. Chen, H. Jin, L. Zheng, Y. Huang, P. Yao, C. Gui, Q. Wang, [159] T. Tang, S. Li, L. Nai, N. Jouppi, Y. Xie, Neurometer: An integrated
H. Liu, H. He, X. Liao, R. Zheng, A general offloading approach for power, area, and timing modeling framework for machine learning
near-dram processing-in-memory architectures, in: 2022 IEEE Inter- accelerators industry track paper, in: 2021 IEEE International
national Parallel and Distributed Processing Symposium (IPDPS), Symposium on High-Performance Computer Architecture (HPCA),
2022, pp. 246–257. doi:10.1109/IPDPS53621.2022.00032. 2021, pp. 841–853. doi:10.1109/HPCA51647.2021.00075.
[145] F. Schuiki, M. Schaffner, F. K. Gürkaynak, L. Benini, A scalable [160] X. Wei, C. H. Yu, P. Zhang, Y. Chen, Y. Wang, H. Hu, Y. Liang,
near-memory architecture for training deep neural networks on large J. Cong, Automated systolic array architecture synthesis for high
in-memory datasets, IEEE Transactions on Computers 68 (2019) throughput cnn inference on fpgas, in: 2017 54th ACM/EDAC/IEEE
484–497. Design Automation Conference (DAC), 2017, pp. 1–6. doi:10.1145/
[146] A. S. Cordeiro, S. R. d. Santos, F. B. Moreira, P. C. Santos, L. Carro, 3061639.3062207.
M. A. Z. Alves, Machine learning migration for efficient near-data [161] H. Ahmad, M. Tanvir, M. A. Hanif, M. U. Javed, R. Hafiz,
processing, in: 2021 29th Euromicro International Conference on M. Shafique, Systimator: A design space exploration methodology
Parallel, Distributed and Network-Based Processing (PDP), 2021, for systolic array based cnns acceleration on the fpga-based edge
pp. 212–219. doi:10.1109/PDP52278.2021.00041. nodes, 2019. arXiv:1901.04986.
[147] V. Iskandar, M. A. Abd El Ghany, D. Goehringer, Near-data- [162] H. Kung, B. McDanel, S. Q. Zhang, Packing sparse convolu- tional
processing architectures performance estimation and ranking using neural networks for efficient systolic array implementations:
machine learning predictors, in: 2021 24th Euromicro Conference Column combining under joint optimization, in: Proceedings of the
on Digital System Design (DSD), 2021, pp. 158–165. doi:10.1109/ Twenty-Fourth International Conference on Architectural Sup- port
DSD53832.2021.00033. for Programming Languages and Operating Systems, ASPLOS ’19,
[148] R. Kaplan, L. Yavits, R. Ginosar, Prins: Processing-in-storage accel- Association for Computing Machinery, New York, NY, USA,
eration of machine learning, IEEE Transactions on Nanotechnology 2019, p. 821–834. URL: https://doi.org/10.1145/3297858.3304028.
17 (2018) 889–896. doi:10.1145/3297858.3304028.
[163] S. Han, H. Mao, W. J. Dally, Deep compression: Compressing deep [178] D.-H. Wang, P.-J. Lin, H.-T. Yang, C.-A. Hsu, S.-H. Huang, M. P.-H.
neural networks with pruning, trained quantization and huffman Lin, A novel machine-learning based soc performance monitoring
coding, 2016. arXiv:1510.00149. methodology under wide-range pvt variations with unknown critical
[164] P. Molchanov, S. Tyree, T. Karras, T. Aila, J. Kautz, Pruning con- paths, in: 2021 58th ACM/IEEE Design Automation Conference
volutional neural networks for resource efficient inference, 2017. (DAC), 2021, pp. 1370–1371. doi:10.1109/DAC18074.2021.9586155.
arXiv:1611.06440. [179] T.-W. Chen, C.-S. Tang, S.-F. Tsai, C.-H. Tsai, S.-Y. Chien, L.-G.
[165] B. Asgari, R. Hadidi, H. Kim, S. Yalamanchili, Eridanus: Efficiently Chen, Tera-scale performance machine learning soc (mlsoc) with
running inference of dnns using systolic arrays, IEEE Micro 39 dual stream processor architecture for multimedia content analysis,
(2019) 46–54. IEEE Journal of Solid-State Circuits 45 (2010) 2321–2329.
[166] C. Jiang, D. Ojika, B. Patel, H. Lam, Optimized fpga-based deep [180] P. Jokic, E. Azarkhish, R. Cattenoz, E. Türetken, L. Benini, S. Emery,
learning accelerator for sparse cnn using high bandwidth memory, A sub-mw dual-engine ml inference system-on-chip for complete
in: 2021 IEEE 29th Annual International Symposium on Field- end-to-end face-analysis at the edge, in: 2021 Symposium on VLSI
Programmable Custom Computing Machines (FCCM), 2021, pp. Circuits, 2021, pp. 1–2. doi:10.23919/VLSICircuits52068.2021.
157–164. doi:10.1109/FCCM51124.2021.00026. 9492401.
[167] T. Senoo, A. Jinguji, R. Kuramochi, H. Nakahara, A multilayer [181] C.-W. Hung, C.-H. Lee, C.-C. Kuo, S.-X. Zeng, Soc-based early
perceptron training accelerator using systolic array, in: 2021 IEEE failure detection system using deep learning for tool wear, IEEE
Asia Pacific Conference on Circuit and Systems (APCCAS), 2021, Access 10 (2022) 70491–70501.
pp. 77–80. doi:10.1109/APCCAS51387.2021.9687773. [182] A. Safaei, Q. M. J. Wu, Y. Yang, T. Akılan, System-on-a-chip
[168] N.-C. Huang, W.-K. Tseng, H.-J. Chou, K.-C. Wu, An energy- (soc)-based hardware acceleration for extreme learning machine, in:
efficient approximate systolic array based on timing error prediction 2017 24th IEEE International Conference on Electronics, Circuits
and prevention, in: 2021 IEEE 39th VLSI Test Symposium (VTS), and Systems (ICECS), 2017, pp. 470–473. doi:10.1109/ICECS.2017.
2021, pp. 1–7. doi:10.1109/VTS50974.2021.9441004. 8292050.
[169] Y. Parmar, K. Sridharan, A resource-efficient multiplierless systolic [183] Z. He, C. Shi, T. Wang, Y. Wang, M. Tian, X. Zhou, P. Li, L. Liu,
array architecture for convolutions in deep networks, IEEE Transac- N. Wu, G. Luo, A low-cost fpga implementation of spiking extreme
tions on Circuits and Systems II: Express Briefs 67 (2020) 370–374. learning machine with on-chip reward-modulated stdp learning,
[170] I. Ullah, K. Inayat, J.-S. Yang, J. Chung, Factored radix-8 systolic IEEE Transactions on Circuits and Systems II: Express Briefs 69
array for tensor processing, in: 2020 57th ACM/IEEE Design Au- (2022) 1657–1661.
tomation Conference (DAC), 2020, pp. 1–6. doi:10.1109/DAC18072. [184] L. Bai, L. Chen, Machine-learning-based early-stage timing predic-
2020.9218585. tion in soc physical design, in: 2018 14th IEEE International Con-
[171] C. Peltekis, D. Filippas, C. Nicopoulos, G. Dimitrakopoulos, ference on Solid-State and Integrated Circuit Technology (ICSICT),
Fusedgcn: A systolic three-matrix multiplication architecture for 2018, pp. 1–3. doi:10.1109/ICSICT.2018.8565778.
graph convolutional networks, in: 2022 IEEE 33rd International [185] V. Gotra, S. K. R. Reddy, Simultaneous multi voltage aware timing
Conference on Application-specific Systems, Architectures and analysis methodology for soc using machine learning, in: 2020 IEEE
Processors (ASAP), 2022, pp. 93–97. doi:10.1109/ASAP54787.2022. 33rd International System-on-Chip Conference (SOCC), 2020, pp.
00024. 254–257. doi:10.1109/SOCC49529.2020.9524780.
[172] K. Inayat, J. Chung, Hybrid accumulator factored systolic array for [186] M. M. Ziegler, J. Kwon, H.-Y. Liu, L. P. Carloni, Online and
machine learning acceleration, IEEE Transactions on Very Large offline machine learning for industrial design flow tuning: (invited
Scale Integration (VLSI) Systems 30 (2022) 881–892. - iccad special session paper), in: 2021 IEEE/ACM International
[173] S. Kundu, S. Banerjee, A. Raha, S. Natarajan, K. Basu, Toward Conference On Computer Aided Design (ICCAD), 2021, pp. 1–9.
functional safety of systolic array-based deep learning hardware doi:10.1109/ICCAD51958.2021.9643577.
accelerators, IEEE Transactions on Very Large Scale Integration [187] A. F. Ajirlou, I. Partin-Vaisband, A machine learning pipeline stage
(VLSI) Systems 29 (2021) 485–498. for adaptive frequency adjustment, IEEE Transactions on Computers
[174] P. Joseph, K. Vaswani, M. Thazhuthaveetil, Construction and use 71 (2022) 587–598.
of linear regression models for processor performance analysis, in: [188] S. Kapoor, P. Agarwal, L. Kostas, Challenges in building deployable
The Twelfth International Symposium on High-Performance machine learning solutions for soc design, in: 2022 IEEE Women in
Computer Architecture, 2006., 2006, pp. 99–108. doi:10.1109/HPCA. Technology Conference (WINTECHCON), 2022, pp. 1–6. doi:10.
2006.1598116. 1109/WINTECHCON55229.2022.9832287.
[175] B. C. Lee, D. M. Brooks, Accurate and efficient regression modeling [189] I. M. Elfadel, D. S. Boning, X. Li, Machine Learning in VLSI
for microarchitectural performance and power prediction, in: Pro- Computer-Aided Design, Springer, 2019.
ceedings of the 12th International Conference on Architectural Sup- [190] Y. Lin, W. Li, J. Gu, H. Ren, B. Khailany, D. Z. Pan, Abcdplace:
port for Programming Languages and Operating Systems, ASPLOS Accelerated batch-based concurrent detailed placement on multi-
XII, Association for Computing Machinery, New York, NY, USA, threaded cpus and gpus, IEEE Transactions on Computer-Aided
2006, p. 185–194. URL: https://doi.org/10.1145/1168857.1168881. Design of Integrated Circuits and Systems 39 (2020) 5083–5096.
doi:10.1145/1168857.1168881. [191] A. Mirhoseini, A. Goldie, M. Yazgan, J. W. Jiang, E. Songhori,
[176] H.-S. Yun, S.-J. Lee, Power prediction of mobile processors based S. Wang, Y.-J. Lee, E. Johnson, O. Pathak, A. Nazi, J. Pak, A. Tong,
on statistical analysis of performance monitoring events, Journal of K. Srinivasa, W. Hang, E. Tuncer, Q. V. Le, J. Laudon, R. Ho,
KIISE: Computing Practices and Letters 15 (2009) 469–477. R. Carpenter, J. Dean, A graph placement methodology for fast chip
[177] S. Rai, W. L. Neto, Y. Miyasaka, X. Zhang, M. Yu, Q. Y. M. Fujita, design, Nature 594 (2021) 207–212.
G. B. Manske, M. F. Pontes, L. S. da Rosa Junior, M. S. de [192] W.-T. J. Chan, K. Y. Chung, A. B. Kahng, N. D. MacDonald, S. Nath,
Aguiar, P. F. Butzen, P.-C. Chien, Y.-S. Huang, H.-R. Wang, J.- Learning-based prediction of embedded memory timing failures
H. R. Jiang, J. Gu, Z. Zhao, Z. Jiang, D. Z. Pan, B. A. de Abreu, during initial floorplan design, in: 2016 21st Asia and South Pacific
I. de Souza Campos, A. Berndt, C. Meinhardt, J. T. Carvalho, Design Automation Conference (ASP-DAC), 2016, pp. 178–185.
M. Grellert, S. Bampi, A. Lohana, A. Kumar, W. Zeng, A. Davoodi, doi:10.1109/ASPDAC.2016.7428008.
R. O. Topaloglu, Y. Zhou, J. Dotzel, Y. Zhang, H. Wang, Z. Zhang, [193] W.-K. Cheng, Y.-Y. Guo, C.-S. Wu, Evaluation of routability-driven
V. Tenace, P.-E. Gaillardon, A. Mishchenko, S. Chatterjee, Logic macro placement with machine-learning technique, in: 2018 7th
synthesis meets machine learning: Trading exactness for generaliza- International Symposium on Next Generation Electronics (ISNE),
tion, 2020. arXiv:2012.02530. 2018, pp. 1–3. doi:10.1109/ISNE.2018.8394712.
[194] A. Arunkumar, E. Bolotin, B. Cho, U. Milic, E. Ebrahimi, O. Villa, [209] T.-C. Chen, P.-Y. Lee, T.-C. Chen, Automatic floorplanning for
A. Jaleel, C.-J. Wu, D. Nellans, Mcm-gpu: Multi-chip-module ai socs, in: 2020 International Symposium on VLSI Design,
gpus for continued performance scalability, in: 2017 ACM/IEEE Automation and Test (VLSI-DAT), 2020, pp. 1–2. doi:10.1109/
44th Annual International Symposium on Computer Architecture VLSI-DAT49148.2020.9196464.
(ISCA), 2017, pp. 320–332. doi:10.1145/3079856.3080231. [210] Q. Cai, W. Hang, A. Mirhoseini, G. Tucker, J. Wang, W. Wei,
[195] X. Xie, P. Prabhu, U. Beaugnon, P. M. Phothilimthana, S. Roy, Reinforcement learning driven heuristic optimization, 2019. URL:
A. Mirhoseini, E. Brevdo, J. Laudon, Y. Zhou, A transferable https://arxiv.org/abs/1906.06639. doi:10.48550/ARXIV.1906.06639.
approach for partitioning machine learning models on multi-chip- [211] A. Goldie, A. Mirhoseini, Placement optimization with deep re-
modules, 2021. URL: https://arxiv.org/abs/2112.04041. doi:10. inforcement learning, in: Proceedings of the 2020 International
48550/ARXIV.2112.04041. Symposium on Physical Design, ISPD ’20, Association for Com-
[196] S. I. Ward, D. A. Papa, Z. Li, C. N. Sze, C. J. Alpert, E. Swartzlander, puting Machinery, New York, NY, USA, 2020, p. 3–7. URL: https:
Quantifying academic placer performance on custom designs, in: //doi.org/10.1145/3372780.3378174. doi:10.1145/3372780.3378174.
Proceedings of the 2011 International Symposium on Physical De- [212] A. B. Kahng, S. Mantik, A system for automatic recording and
sign, ISPD ’11, Association for Computing Machinery, New York, prediction of design quality metrics, in: Proceedings of the IEEE
NY, USA, 2011, p. 91–98. URL: https://doi.org/10.1145/1960397. 2001. 2nd International Symposium on Quality Electronic Design,
1960420. doi:10.1145/1960397.1960420. 2001, pp. 81–86.
[197] S. Ward, D. Ding, D. Z. Pan, Pade: A high-performance placer [213] A. B. Kahng, B. Lin, S. Nath, Enhanced metamodeling techniques
with automatic datapath extraction and evaluation through high- for high-dimensional ic design estimation problems, in: 2013
dimensional data learning, in: DAC Design Automation Conference Design, Automation & Test in Europe Conference & Exhibition
2012, 2012, pp. 756–761. (DATE), 2013, pp. 1861–1866. doi:10.7873/DATE.2013.371.
[198] Y. Wang, D. Yeo, H. Shin, Effective datapath logic extraction [214] A. B. Kahng, B. Lin, S. Nath, High-dimensional metamodeling for
techniques using connection vectors, IET Circuits, Devices & prediction of clock tree synthesis outcomes, in: 2013 ACM/IEEE
Systems 13 (2019) 741–747. International Workshop on System Level Interconnect Prediction
[199] A. Mirhoseini, A. Goldie, M. Yazgan, J. Jiang, E. Songhori, S. Wang, (SLIP), 2013, pp. 1–7.
Y.-J. Lee, E. Johnson, O. Pathak, S. Bae, A. Nazi, J. Pak, A. Tong, [215] Y. Kwon, J. Jung, I. Han, Y. Shin, Transient clock power estimation
K. Srinivasa, W. Hang, E. Tuncer, A. Babu, Q. V. Le, J. Laudon, of pre-cts netlist, in: 2018 IEEE International Symposium on
R. Ho, R. Carpenter, J. Dean, Chip placement with deep reinforce- Circuits and Systems (ISCAS), 2018, pp. 1–4. doi:10.1109/ISCAS.
ment learning, 2020. arXiv:2004.10746. 2018.8351430.
[200] I. Turtletaub, G. Li, M. Ibrahim, P. Franzon, Application of Quantum [216] P. Ray, V. S. Prashant, B. P. Rao, Machine learning based parameter
Machine Learning to VLSI Placement, Association for Computing tuning for performance and power optimization of multisource clock
Machinery, New York, NY, USA, 2020, p. 61–66. URL: https: tree synthesis, in: 2022 IEEE 35th International System-on-Chip
//doi.org/10.1145/3380446.3430644. Conference (SOCC), 2022, pp. 1–2. doi:10.1109/SOCC56010.2022.
[201] A. Peruzzo, J. McClean, P. Shadbolt, M.-H. Yung, X.-Q. Zhou, P. J. 9908123.
Love, A. Aspuru-Guzik, J. L. O’Brien, A variational eigenvalue [217] Y.-C. Lu, J. Lee, A. Agnesina, K. Samadi, S. K. Lim, Gan-
solver on a photonic quantum processor, Nature Communications cts: A generative adversarial framework for clock tree prediction
5 (2014). and optimization, in: 2019 IEEE/ACM International Conference
[202] T.-W. Huang, Machine learning system-enabled gpu acceleration on Computer-Aided Design (ICCAD), 2019, pp. 1–8. doi:10.1109/
for eda, in: 2021 International Symposium on VLSI Design, ICCAD45719.2019.8942063.
Automation and Test (VLSI-DAT), 2021, pp. 1–1. doi:10.1109/ [218] Y.-C. Lu, J. Lee, A. Agnesina, K. Samadi, S. K. Lim, A clock tree
VLSI-DAT52063.2021.9427323. prediction and optimization framework using generative adversarial
[203] A. B. Kahng, Advancing placement, in: Proceedings of the learning, IEEE Transactions on Computer-Aided Design of Inte-
2021 International Symposium on Physical Design, ISPD ’21, As- grated Circuits and Systems 41 (2022) 3104–3117.
sociation for Computing Machinery, New York, NY, USA, 2021, [219] S. A. Beheshti-Shirazi, A. Vakil, S. Manoj, I. Savidis, H. Homayoun,
p. 15–22. URL: https://doi.org/10.1145/3439706.3446884. doi:10. A. Sasan, A reinforced learning solution for clock skew engineering
1145/3439706.3446884. to reduce peak current and ir drop, in: Proceedings of the 2021
[204] A. Alhyari, A. Shamli, Z. Abuwaimer, S. Areibi, G. Grewal, A deep on Great Lakes Symposium on VLSI, GLSVLSI ’21, Associa-
learning framework to predict routability for fpga circuit placement, tion for Computing Machinery, New York, NY, USA, 2021, p.
in: 2019 29th International Conference on Field Programmable 181–187. URL: https://doi.org/10.1145/3453688.3461754. doi:10.
Logic and Applications (FPL), 2019, pp. 334–341. doi:10.1109/FPL. 1145/3453688.3461754.
2019.00060. [220] L.-T. Wang, Y.-W. Chang, K.-T. T. Cheng, Electronic Design Au-
[205] S. F. Almeida, J. Luís Güntzel, L. Behjat, C. Meinhardt, Routability- tomation: Synthesis, Verification, and Test, Morgan Kaufmann Pub-
driven detailed placement using reinforcement learning, in: 2022 lishers Inc., San Francisco, CA, USA, 2009.
IFIP/IEEE 30th International Conference on Very Large Scale In- [221] Y. Wei, C. Sze, N. Viswanathan, Z. Li, C. J. Alpert, L. Reddy,
tegration (VLSI-SoC), 2022, pp. 1–2. doi:10.1109/VLSI-SoC54400. A. D. Huber, G. E. Tellez, D. Keller, S. S. Sapatnekar, Techniques
2022.9939602. for scalable and effective routability evaluation, ACM Trans. Des.
[206] Y.-C. Lu, T. Yang, S. K. Lim, H. Ren, Placement optimization via Autom. Electron. Syst. 19 (2014).
ppa-directed graph clustering, in: 2022 ACM/IEEE 4th Workshop [222] G. Udgirkar, G. Indumathi, Vlsi global routing algorithms: A survey,
on Machine Learning for CAD (MLCAD), 2022, pp. 1–6. doi:10. in: 2016 3rd International Conference on Computing for Sustainable
1109/MLCAD55463.2022.9900089. Global Development (INDIACom), 2016, pp. 2528–2533.
[207] C.-K. Cheng, C.-T. Ho, C. Holtz, D. Lee, B. Lin, Machine learning [223] Z. Qi, Y. Cai, Q. Zhou, Z. Li, M. Chen, Vfgr: A very fast parallel
prediction for design and system technology co-optimization sensi- global router with accurate congestion modeling, in: 2014 19th
tivity analysis, IEEE Transactions on Very Large Scale Integration Asia and South Pacific Design Automation Conference (ASP-DAC),
(VLSI) Systems 30 (2022) 1059–1072. 2014, pp. 525–530. doi:10.1109/ASPDAC.2014.6742945.
[208] D. Z. Pan, Edaml 2022 keynote speaker: Machine learning for agile, [224] J. H. Friedman, Multivariate adaptive regression splines, Ann.
intelligent and open-source eda, in: 2022 IEEE International Paral- Statist. 19 (1991) 1–67.
lel and Distributed Processing Symposium Workshops (IPDPSW), [225] Z. Qi, Y. Cai, Q. Zhou, Accurate prediction of detailed routing
2022, pp. 1181–1181. doi:10.1109/IPDPSW55747.2022.00193. congestion using supervised data learning, in: 2014 IEEE 32nd
International Conference on Computer Design (ICCD), 2014, pp.
[263] Y. Meng, Y.-C. Kim, S. Guo, Z. Shu, Y. Zhang, Q. Liu, Machine [278] D. Ding, B. Yu, J. Ghosh, D. Z. Pan, Epic: Efficient prediction of ic
learning models for edge placement error based etch bias, IEEE manufacturing hotspots with a unified meta-classification formula-
Transactions on Semiconductor Manufacturing 34 (2021) 42–48. tion, in: 17th Asia and South Pacific Design Automation Conference,
[264] Y. Lin, M. Li, Y. Watanabe, T. Kimura, T. Matsunawa, S. Nojima, IEEE, 2012, pp. 263–270.
D. Z. Pan, Data efficient lithography modeling with transfer learning [279] T. Matsunawa, J.-R. Gao, B. Yu, D. Z. Pan, A new lithogra-
and active data selection, IEEE Transactions on Computer-Aided phy hotspot detection framework based on adaboost classifier and
Design of Integrated Circuits and Systems 38 (2019) 1900–1913. simplified feature extraction, in: Design-Process-Technology Co-
[265] H. Yang, S. Li, Y. Ma, B. Yu, E. F. Young, Gan-opc: Mask optimization for Manufacturability IX, volume 9427, International
optimization with lithography-guided generative adversarial nets, in: Society for Optics and Photonics, 2015, p. 94270S.
Proceedings of the 55th Annual Design Automation Conference, [280] Y. Chen, Y. Lin, T. Gai, Y. Su, Y. Wei, D. Z. Pan, Semi-supervised
2018, pp. 1–6. hotspot detection with self-paced multi-task learning, IEEE Transac-
[266] M. B. Alawieh, Y. Lin, Z. Zhang, M. Li, Q. Huang, D. Z. Pan, Gan- tions on Computer-Aided Design of Integrated Circuits and Systems
sraf: Sub-resolution assist feature generation using conditional (2019).
generative adversarial networks, in: Proceedings of the 56th Annual [281] M. Shin, J.-H. Lee, Accurate lithography hotspot detection
Design Automation Conference 2019, 2019, pp. 1–6. using deep convolutional neural networks, Journal of Mi-
[267] W. Ye, M. B. Alawieh, Y. Lin, D. Z. Pan, Lithogan: End-to-end cro/Nanolithography, MEMS, and MOEMS 15 (2016) 043507.
lithography modeling with generative adversarial networks, in: 2019 [282] V. Borisov, J. Scheible, Lithography hotspots detection using deep
56th ACM/IEEE Design Automation Conference (DAC), IEEE, learning, in: 2018 15th International Conference on Synthesis,
2019, pp. 1–6. Modeling, Analysis and Simulation Methods and Applications to
[268] H. Yang, W. Zhong, Y. Ma, H. Geng, R. Chen, W. Chen, B. Yu, Vlsi Circuit Design (SMACD), IEEE, 2018, pp. 145–148.
mask optimization: From shallow to deep learning, in: 2020 25th [283] H. Yang, Y. Lin, B. Yu, E. F. Young, Lithography hotspot detection:
Asia and South Pacific Design Automation Conference (ASP-DAC), From shallow to deep learning, in: 2017 30th IEEE International
IEEE, 2020, pp. 434–439. System-on-Chip Conference (SOCC), IEEE, 2017, pp. 233–238.
[269] H. Yang, S. Li, C. Tabery, B. Lin, B. Yu, Bridging the gap between [284] H. Yang, L. Luo, J. Su, C. Lin, B. Yu, Imbalance aware lithog-
layout pattern sampling and hotspot detection via batch active raphy hotspot detection: a deep learning approach, Journal of
learning, IEEE Transactions on Computer-Aided Design of Micro/Nanolithography, MEMS, and MOEMS 16 (2017) 033504.
Integrated Circuits and Systems 40 (2021) 1464–1475. [285] H. Zhang, B. Yu, E. F. Young, Enabling online learning in
[270] N. Figueiro, F. Sanchez, R. Koret, M. Shifrin, Y. Etzioni, lithography hotspot detection with information-theoretic feature op-
S. Wolfling, M. Sendelbach, Y. Blancquaert, T. Labbaye, G. Rade- timization, in: Proceedings of the 35th International Conference on
maker, J. Pradelles, L. Mourier, S. Rey, L. Pain, Application of Computer-Aided Design, 2016, pp. 1–8.
scatterometry-based machine learning to control multiple electron [286] W. Ye, M. B. Alawieh, M. Li, Y. Lin, D. Z. Pan, Litho-gpa: Gaussian
beam lithography: Am: Advanced metrology, in: 2018 29th An- process assurance for lithography hotspot detection, in: 2019 Design,
nual SEMI Advanced Semiconductor Manufacturing Conference Automation & Test in Europe Conference & Exhibition (DATE),
(ASMC), 2018, pp. 328–333. doi:10.1109/ASMC.2018.8373222. IEEE, 2019, pp. 54–59.
[271] M. P. McLaughlin, A. Stamper, G. Barber, J. Paduano, P. Mennell, [287] J. W. Park, A. Torres, X. Song, Litho-aware machine learning for
E. Benn, M. Linnane, J. Zwick, C. Khatumria, R. L. Isaacson, hotspot detection, IEEE Transactions on Computer-Aided Design
N. Hoffman, C. Menser, Enhanced defect detection in after de- of Integrated Circuits and Systems 37 (2018) 1510–1514.
velop inspection with machine learning disposition, in: 2021 32nd [288] K. Madkour, S. Mohamed, D. Tantawy, M. Anis, Hotspot detection
Annual SEMI Advanced Semiconductor Manufacturing Conference using machine learning, in: 2016 17th International Symposium on
(ASMC), 2021, pp. 1–5. doi:10.1109/ASMC51741.2021.9435721. Quality Electronic Design (ISQED), 2016, pp. 405–409. doi:10.
[272] N. Nagase, K. Suzuki, K. Takahashi, M. Minemura, S. Yamauchi, 1109/ISQED.2016.7479235.
T. Okada, Study of hot spot detection using neural networks [289] H. Yang, J. Su, Y. Zou, Y. Ma, B. Yu, E. F. Y. Young, Layout hotspot
judgment, in: Photomask and Next-Generation Lithography Mask detection with feature tensor generation and deep biased learning,
Technology XIV, volume 6607, International Society for Optics and IEEE Transactions on Computer-Aided Design of Integrated Cir-
Photonics, 2007, p. 66071B. cuits and Systems 38 (2019) 1175–1187.
[273] D. Ding, X. Wu, J. Ghosh, D. Z. Pan, Machine learning based [290] T. Gai, T. Qu, S. Wang, X. Su, R. Xu, Y. Wang, J. Xue, Y. Su, Y. Wei,
lithographic hotspot detection with critical-feature extraction and T. Ye, Flexible hotspot detection based on fully convolutional
classification, in: 2009 IEEE International Conference on IC Design network with transfer learning, IEEE Transactions on Computer-
and Technology, IEEE, 2009, pp. 219–222. Aided Design of Integrated Circuits and Systems 41 (2022) 4626–
[274] N. Ma, J. Ghan, S. Mishra, C. Spanos, K. Poolla, N. Rodriguez, 4638.
L. Capodieci, Automatic hotspot classification using pattern-based [291] Y. Zhang, C. Zhang, M. Li, L. Zhao, C. Yang, Z. Wang, Modified
clustering, in: Design for Manufacturability through Design-Process deep learning approach for layout hotspot detection, in: 2018 IEEE
Integration II, volume 6925, International Society for Optics and International Conference on Electron Devices and Solid-State
Photonics, 2008, p. 692505. Circuits (EDSSC), 2018, pp. 1–2. doi:10.1109/EDSSC.2018.8487177.
[275] J. Ghan, N. Ma, S. Mishra, C. Spanos, K. Poolla, N. Rodriguez, [292] M. T. Ismail, H. Sharara, K. Madkour, K. Seddik, Autoencoder-
L. Capodieci, Clustering and pattern matching for an automatic based data sampling for machine learning-based lithography hotspot
hotspot classification and detection system, in: Design for Manu- detection, in: 2022 ACM/IEEE 4th Workshop on Machine Learning
facturability through Design-Process Integration III, volume 7275, for CAD (MLCAD), 2022, pp. 91–96. doi:10.1109/MLCAD55463.2022.
International Society for Optics and Photonics, 2009, p. 727516. 9900096.
[276] D. Ding, J. A. Torres, D. Z. Pan, High performance lithography [293] H. Yang, W. Chen, P. Pathak, F. Gennari, Y.-C. Lai, B. Yu, Auto-
hotspot detection with successively refined pattern identifications matic layout generation with applications in machine learning engine
and machine learning, IEEE Transactions on Computer-Aided evaluation, in: 2019 ACM/IEEE 1st Workshop on Machine Learning
Design of Integrated Circuits and Systems 30 (2011) 1621–1634. for CAD (MLCAD), 2019, pp. 1–6. doi:10.1109/MLCAD48534.2019.
[277] Y.-T. Yu, G.-H. Lin, I. H.-R. Jiang, C. Chiang, Machine-learning- 9142121.
based hotspot detection using topological classification and critical [294] W. Zhang, K. Chen, X. Li, Y. Ma, C. Zhu, B. Chen, X. Gao,
feature extraction, IEEE Transactions on Computer-Aided Design K. Kim, A workflow of hotspot prediction based on semi-supervised
of Integrated Circuits and Systems 34 (2015) 460–470. machine learning methodology, in: 2021 International Workshop
on Advanced Patterning Solutions (IWAPS), 2021, pp. 1–3. doi:10.
[329] I. Koren, Z. Koren, Defect tolerance in vlsi circuits: techniques and 2009.5355620.
yield analysis, Proceedings of the IEEE 86 (1998) 1819–1838. [349] L.-C. Wang, Data learning techniques for functional/system fmax
[330] P. Backus, M. Janakiram, S. Mowzoon, C. Runger, A. Bhargava, prediction, in: 2009 24th IEEE International Symposium on Defect
Factory cycle-time prediction with a data-mining approach, IEEE and Fault Tolerance in VLSI Systems, 2009, pp. 451–451. doi:10.
Transactions on Semiconductor Manufacturing 19 (2006) 252–258. 1109/DFT.2009.61.
[331] Y. Meidan, B. Lerner, G. Rabinowitz, M. Hassoun, Cycle-time key [350] P. Krishnamurthy, A. B. Chowdhury, B. Tan, F. Khorrami, R. Karri,
factor identification and prediction in semiconductor manufacturing Explaining and interpreting machine learning cad decisions: An ic
using machine learning and data mining, IEEE Transactions on testing case study, in: 2020 ACM/IEEE 2nd Workshop on Machine
Semiconductor Manufacturing 24 (2011) 237–248. Learning for CAD (MLCAD), 2020, pp. 129–134. doi:10.1145/
[332] C.-F. Chien, W.-C. Wang, J.-C. Cheng, Data mining for yield en- 3380446.3430643.
hancement in semiconductor manufacturing and an empirical study, [351] S. Roy, S. K. Millican, V. D. Agrawal, Training neural network for
Expert Systems with Applications 33 (2007) 192–198. machine intelligence in automatic test pattern generator, in: 2021
[333] D. Jiang, W. Lin, N. Raghavan, A gaussian mixture model clustering 34th International Conference on VLSI Design and 2021 20th
ensemble regressor for semiconductor manufacturing final test yield International Conference on Embedded Systems (VLSID), 2021, pp.
prediction, IEEE Access 9 (2021) 22253–22263. 316–321. doi:10.1109/VLSID51830.2021.00059.
[334] D. Jiang, W. Lin, N. Raghavan, Semiconductor manufacturing final [352] S. Roy, S. K. Millican, V. D. Agrawal, Multi-heuristic machine
test yield optimization and wafer acceptance test parameter inverse intelligence guidance in automatic test pattern generation, in: 2022
design using multi-objective optimization algorithms, IEEE Access IEEE 31st Microelectronics Design & Test Symposium (MDTS),
9 (2021) 137655–137666. 2022, pp. 1–6. doi:10.1109/MDTS54894.2022.9826985.
[335] H. Gun Kim, Y. S. Han, J.-H. Lee, Package yield enhancement [353] S. Vasudevan, W. J. Jiang, D. Bieber, R. Singh, h. shojaei, C. R.
using machine learning in semiconductor manufacturing, in: 2015 Ho, C. Sutton, Learning semantic representations to verify hard-
IEEE Advanced Information Technology, Electronic and Automa- ware designs, in: M. Ranzato, A. Beygelzimer, Y. Dauphin,
tion Control Conference (IAEAC), 2015, pp. 316–320. doi:10.1109/ P. Liang, J. W. Vaughan (Eds.), Advances in Neural Information
IAEAC.2015.7428567. Processing Systems, volume 34, Curran Associates, Inc., 2021, pp.
[336] J.-S. Kim, S.-J. Jang, T.-W. Kim, H.-J. Lee, J.-B. Lee, A productivity- 23491–23504. URL: https://proceedings.neurips.cc/paper/2021/
oriented wafer map optimization using yield model based on ma- file/c5aa65949d20f6b20e1a922c13d974e7-Paper.pdf.
chine learning, IEEE Transactions on Semiconductor Manufacturing [354] T. Song, H. Liang, T. Ni, Z. Huang, Y. Lu, J. Wan, A. Yan, Pattern
32 (2019) 39–47. reorder for test cost reduction through improved svmrank algorithm,
[337] C. Mead, L. Conway, Introduction to VLSI systems, volume 1080, IEEE Access 8 (2020) 147965–147972.
Addison-Wesley Reading, MA, 1980. [355] T. Song, Z. Huang, A. Yan, Machine learning classification algo-
[338] D. Price, Pentium fdiv flaw-lessons learned, IEEE Micro 15 (1995) rithm for vlsi test cost reduction, Integration 87 (2022) 40–48.
86–88. [356] C.-Y. Chen, J.-L. Huang, Reinforcement-learning-based test pro-
[339] L.-T. Wang, C.-W. Wu, X. Wen, VLSI Test Principles and Ar- gram generation for software-based self-test, in: 2019 IEEE 28th
chitectures: Design for Testability (Systems on Silicon), Morgan Asian Test Symposium (ATS), 2019, pp. 73–735. doi:10.1109/
Kaufmann Publishers Inc., San Francisco, CA, USA, 2006. ATS47505.2019.00013.
[340] B. Wile, J. Goss, W. Roesner, Comprehensive Functional Verifica- [357] Y. Maidon, B. Jervis, N. Dutton, S. Lesage, Diagnosis of multifaults
tion: The Complete Industry Cycle, Morgan Kaufmann Publishers in analogue circuits using multilayer perceptrons, IEE Proceedings-
Inc., San Francisco, CA, USA, 2005. Circuits, Devices and Systems 144 (1997) 149–154.
[341] R. Lisanke, F. Brglez, A. de Geus, D. Gregory, Testability-driven [358] M. A. El-Gamal, M. A. El-Yazeed, A combined clustering and
random test-pattern generation, IEEE Transactions on Computer- neural network approach for analog multiple hard fault classification,
Aided Design of Integrated Circuits and Systems 6 (1987) 1082– Journal of Electronic Testing 14 (1999) 207–217.
1087. [359] F. Aminian, M. Aminian, Fault diagnosis of nonlinear analog
[342] C. Fagot, P. Girard, C. Landrault, On using machine learning for circuits using neural networks with wavelet and fourier transforms
logic bist, in: Proceedings International Test Conference 1997, 1997, as preprocessors, Journal of Electronic Testing 17 (2001) 471–481.
pp. 338–346. doi:10.1109/TEST.1997.639635. [360] M. Aminian, F. Aminian, A modular fault-diagnostic system for
[343] S. Fine, A. Ziv, Coverage directed test generation for functional analog electronic circuits using neural networks with wavelet trans-
verification using bayesian networks, in: Proceedings 2003. Design form as a preprocessor, IEEE Transactions on Instrumentation and
Automation Conference (IEEE Cat. No.03CH37451), 2003, pp. Measurement 56 (2007) 1546–1554.
286–291. doi:10.1145/775832.775907. [361] A. DeOrio, Q. Li, M. Burgess, V. Bertacco, Machine learning-based
[344] M. Braun, S. Fine, A. Ziv, Enhancing the efficiency of bayesian anomaly detection for post-silicon bug diagnosis, in: 2013 Design,
network based coverage directed test generation, in: Proceedings. Automation & Test in Europe Conference & Exhibition (DATE),
Ninth IEEE International High-Level Design Validation and Test 2013, pp. 491–496. doi:10.7873/DATE.2013.112.
Workshop (IEEE Cat. No.04EX940), 2004, pp. 75–80. doi:10.1109/ [362] Y. Huang, R. Guo, W.-T. Cheng, J. C.-M. Li, Survey of scan chain
HLDVT.2004.1431241. diagnosis, IEEE Design & Test of Computers 25 (2008) 240–248.
[345] W. Hughes, S. Srinivasan, R. Suvarna, M. Kulkarni, Optimizing de- [363] Y. Huang, B. Benware, R. Klingenberg, H. Tang, J. Dsouza, W.-
sign verification using machine learning: Doing better than random, T. Cheng, Scan chain diagnosis based on unsupervised machine
CoRR abs/1909.13168 (2019). learning, in: 2017 IEEE 26th Asian Test Symposium (ATS), 2017,
[346] S. Fine, A. Freund, I. Jaeger, Y. Mansour, Y. Naveh, A. Ziv, Har- pp. 225–230. doi:10.1109/ATS.2017.50.
nessing machine learning to improve the success rate of stimuli [364] M. Chern, S.-W. Lee, S.-Y. Huang, Y. Huang, G. Veda, K.-H. H.
generation, IEEE Transactions on Computers 55 (2006) 1344–1355. Tsai, W.-T. Cheng, Improving scan chain diagnostic accuracy using
[347] H. Dhotre, S. Eggersglüß, M. Dehbashi, U. Pfannkuchen, R. Drech- multi-stage artificial neural networks, in: Proceedings of the 24th
sler, Machine learning based test pattern analysis for localizing crit- Asia and South Pacific Design Automation Conference, ASPDAC
ical power activity areas, in: 2017 IEEE International Symposium ’19, Association for Computing Machinery, New York, NY, USA,
on Defect and Fault Tolerance in VLSI and Nanotechnology Systems 2019, p. 341–346. URL: https://doi.org/10.1145/3287624.3287692.
(DFT), 2017, pp. 1–6. doi:10.1109/DFT.2017.8244464. doi:10.1145/3287624.3287692.
[348] J. Chen, L.-C. Wang, P.-H. Chang, J. Zeng, S. Yu, M. Mateja, Data [365] H. Lim, T. H. Kim, S. Kim, S. Kang, Diagnosis of scan chain faults
learning techniques and methodology for fmax prediction, in: 2009 based-on machine-learning, in: 2020 International SoC De- sign
International Test Conference, 2009, pp. 1–10. doi:10.1109/TEST. Conference (ISOCC), 2020, pp. 57–58. doi:10.1109/ISOCC50952.
2020.9333074. for iot systems, in: 2018 IEEE International Symposium on High
[366] Z. Liu, Q. Huang, C. Fang, R. D. Blanton, Improving test chip Performance Computer Architecture (HPCA), 2018, pp. 92–103.
design efficiency via machine learning, in: 2019 IEEE International doi:10.1109/HPCA.2018.00018.
Test Conference (ITC), 2019, pp. 1–10. doi:10.1109/ITC44170.2019. [383] E. Eleftheriou, “in-memory computing”: Accelerating ai applica-
9000131. tions, in: 2018 48th European Solid-State Device Research Confer-
[367] Y.-C. Cheng, P.-Y. Tan, C.-W. Wu, M.-D. Shieh, C.-H. Chuang, ence (ESSDERC), 2018, pp. 4–5. doi:10.1109/ESSDERC.2018.8486900.
G. Liao, A decision tree-based screening method for improv- [384] B. Yu, D. Z. Pan, T. Matsunawa, X. Zeng, Machine learning
ing test quality of memory chips, in: 2022 IEEE International Test and pattern matching in physical design, in: The 20th Asia and
Conference in Asia (ITC-Asia), 2022, pp. 19–24. doi:10.1109/ South Pacific Design Automation Conference, 2015, pp. 286–293.
ITCAsia55616.2022.00014. doi:10.1109/ASPDAC.2015.7059020.
[368] R. Sleik, M. Glavanovics, Y. Nikitin, M. Di Bernardo, A. Muetze, [385] H. Iwai, K. Kakushima, H. Wong, Challenges for future semiconduc-
K. Krischan, Performance enhancement of a modular test system tor manufacturing, International journal of high speed electronics
for power semiconductors for htol testing by use of an embedded and systems 16 (2006) 43–81.
system, in: 2017 19th European Conference on Power Electronics [386] Vandana, A. Singh, Multi-objective test case minimization using
and Applications (EPE’17 ECCE Europe), 2017, pp. P.1–P.8. doi:10. evolutionary algorithms: A review, in: 2017 International con-
23919/EPE17ECCEEurope.2017.8098933. ference of Electronics, Communication and Aerospace Technology
[369] C. Liu, J. Ou, Smart sampling for efficient system level test: A (ICECA), volume 1, 2017, pp. 329–334. doi:10.1109/ICECA.2017.
robust machine learning approach, in: 2021 IEEE International 8203698.
Test Conference (ITC), 2021, pp. 53–62. doi:10.1109/ITC50571.2021. [387] V. N. Vapnik, The Nature of Statistical Learning Theory, Springer-
00013. Verlag, Berlin, Heidelberg, 1995.
[370] C. Fang, Q. Huang, R. Blanton, Adaptive test pattern reordering for [388] S. Lathuilière, P. Mesejo, X. Alameda-Pineda, R. Horaud, A
diagnosis using k-nearest neighbors, in: 2020 IEEE International comprehensive analysis of deep regression, IEEE Transactions on
Test Conference in Asia (ITC-Asia), 2020, pp. 59–64. doi:10.1109/ Pattern Analysis and Machine Intelligence 42 (2020) 2065–2081.
ITC-Asia51099.2020.00022. [389] S. Obilisetty, Digital intelligence and chip design, in: 2018
[371] M. Liu, K. Chakrabarty, Adaptive methods for machine learning- International Symposium on VLSI Design, Automation and Test
based testing of integrated circuits and boards, in: 2021 IEEE (VLSI-DAT), 2018, pp. 1–4. doi:10.1109/VLSI-DAT.2018.8373256.
International Test Conference (ITC), 2021, pp. 153–162. doi:10. [390] M. Shafique, R. Hafiz, M. U. Javed, S. Abbas, L. Sekanina, Z. Va-
1109/ITC50571.2021.00023. sicek, V. Mrazek, Adaptive and energy-efficient architectures for
[372] A. B. Chowdhury, B. Tan, S. Garg, R. Karri, Robust deep learning machine learning: Challenges, opportunities, and research roadmap,
for ic test problems, IEEE Transactions on Computer-Aided Design in: 2017 IEEE Computer Society Annual Symposium on VLSI
of Integrated Circuits and Systems 41 (2022) 183–195. (ISVLSI), 2017, pp. 627–632. doi:10.1109/ISVLSI.2017.124.
[373] H. Amrouch, A. B. Chowdhury, W. Jin, R. Karri, F. Khorrami,
P. Krishnamurthy, I. Polian, V. M. van Santen, B. Tan, S. X.-D.
Tan, Special session: Machine learning for semiconductor test and
reliability, in: 2021 IEEE 39th VLSI Test Symposium (VTS), 2021,
pp. 1–11. doi:10.1109/VTS50974.2021.9441052.
[374] S. Roy, S. K. Millican, V. D. Agrawal, Special session – machine
learning in test: A survey of analog, digital, memory, and rf inte-
grated circuits, in: 2021 IEEE 39th VLSI Test Symposium (VTS),
2021, pp. 1–14. doi:10.1109/VTS50974.2021.9441051.
[375] E. Sentovich, K. Singh, C. Moon, H. Savoj, R. Brayton,
A. Sangiovanni-Vincentelli, Sequential circuit design using syn-
thesis and optimization, in: Proceedings 1992 IEEE International
Conference on Computer Design: VLSI in Computers Processors,
1992, pp. 328–333. doi:10.1109/ICCD.1992.276282.
[376] B. Shakya, T. He, H. Salmani, D. Forte, S. Bhunia, M. Tehranipoor,
Benchmarking of hardware trojans and maliciously affected circuits,
Journal of Hardware and Systems Security 1 (2017) 85–102.
[377] E. Ïpek, S. A. McKee, R. Caruana, B. R. de Supinski, M. Schulz,
Efficiently exploring architectural design spaces via predictive mod-
eling, in: Proceedings of the 12th International Conference on
Architectural Support for Programming Languages and Operating
Systems, ASPLOS XII, Association for Computing Machinery, New
York, NY, USA, 2006, p. 195–206. URL: https://doi.org/10.1145/
1168857.1168882. doi:10.1145/1168857.1168882.
[378] H.-J. Yoo, Mobile/embedded dnn and ai socs, in: 2018 International
Symposium on VLSI Design, Automation and Test (VLSI-DAT),
2018, pp. 1–1. doi:10.1109/VLSI-DAT.2018.8373285.
[379] Jeong-Taek Kong, Cad for nanometer silicon design challenges and
success, IEEE Transactions on Very Large Scale Integration (VLSI)
Systems 12 (2004) 1132–1147.
[380] M. T. Bohr, Nanotechnology goals and challenges for electronic
applications, IEEE Transactions on Nanotechnology 1 (2002) 56–
62.
[381] Y.-W. Lin, Y.-B. Lin, C.-Y. Liu, Aitalk: a tutorial to implement ai as
iot devices, IET Networks 8 (2019) 195–202.
[382] M. Song, K. Zhong, J. Zhang, Y. Hu, D. Liu, W. Zhang, J. Wang,
T. Li, In-situ ai: Towards autonomous and incremental deep learning