0% found this document useful (0 votes)
204 views

VHDL Code To Simulate 4-Bit Binary Counter by Software

VHDL code to simulate 4-bit Binary Counter by Software This document describes a VHDL code to simulate a 4-bit binary up counter using a Spartan 3 Starter Kit. It includes a flow chart and code listing. The code uses a process sensitive to the clock and clear signals to increment an internal signal on each rising edge of the clock unless clear is asserted, and assigns the internal signal to the output. Experiments covered include up, down, and up/down counters.

Uploaded by

Ashok kumar
Copyright
© © All Rights Reserved
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
204 views

VHDL Code To Simulate 4-Bit Binary Counter by Software

VHDL code to simulate 4-bit Binary Counter by Software This document describes a VHDL code to simulate a 4-bit binary up counter using a Spartan 3 Starter Kit. It includes a flow chart and code listing. The code uses a process sensitive to the clock and clear signals to increment an internal signal on each rising edge of the clock unless clear is asserted, and assigns the internal signal to the output. Experiments covered include up, down, and up/down counters.

Uploaded by

Ashok kumar
Copyright
© © All Rights Reserved
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 17

5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

SHome
U M(https://www.pantechsolutions.net/)
M E R I N T E R N/ VHDL S Hcode
I Pto simulate
- 1 54-Bitt h ACounter
Binary P R byI L 1 9using- spartan
software 3 1 t3 h J KitU L Y 1 9
Starter View Details (/internship/) 

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07 VHDL code to simulate 4-Bit Binary Counter
by software using spartan 3 Starter Kit
(https://www.pantechsolutions.net/vhdl-
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)
code-to-simulate-4-bit-binary-counter-by-
(https://www.pantechsolutions.net/) software-using-spartan-3-starter-kit)
VHDL code to simulate 4-bit Binary Counter by Software
0 My Bag
Sign up to get notified when this product is back in stock
0 item(s) - 0.00
(https://www.pantechsolutions.net/productalert/add/stock/product_id/2033/uenc/aHR0cHM6Ly9
(https://www.pantechsolutions.n
  

(https://www.pantechsolutions.net/wishlist/index/add/product/2033/form_key/F
(https://www.pantechsolutions.net/catalog/product_compare/add/produc
(https://www.pantechsolutions.net/sendfriend/product/send/id/20

(https://www.pantechsolutions.net/media/catalog/product/cache/1/image/600x600/9df78eab33525d08d6e5fb8d27136e95/images/catalog/product/placeholder/image.jpg)

Product Description Reviews (0)

VHDL code to simulate 4-bit Binary Counter by Software


Experiments Covered
https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 1/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

☞Up Counter
SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 
☞Down Counter
Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07
☞Up/Down Counter

Software - Xilinx ISE 9.2


GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)
VHDL code to simulate 4-Bit Binary Counter by software
(https://www.pantechsolutions.net/)
COUNTERS
A counter is a device which stores the number of times a particular event or process has occurred, often in
relationship to a clock signal. There are two types of counters: 0 My Bag
0 item(s) - 0.00
☞up counters (https://www.pantechsolutions.n

☞down counters

Up counters
Each of the higher-order flip-flops are made ready to toggle (both J and K inputs "high") if the Q outputs of all
previous flip-flops are "high." Otherwise, the J and K inputs for that flip-flop will both be "low," placing it into the
"latch" mode where it will maintain its present output state at the next clock pulse. Since the first (LSB) flip-flop
needs to toggle at every clock pulse, its J and K inputs are connected to Vcc or Vdd, where they will be "high" all the
time.

Up Counter (Program for 4-bit binary counter using behavior description)


Description
In this program an up counter has a 1- bit input and a 4- bit output. Additional control signals may be added such
as enable. The output of the multiplexers depends on the level of the select line.

Flow Chart
https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 2/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07

GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)

(https://www.pantechsolutions.net/)

0 My Bag
0 item(s) - 0.00
(https://www.pantechsolutions.n

Code Listing

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 3/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

library IEEE;
SUMM ER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 
use ieee.std_logic_1164.all;
Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07
use ieee.std_logic_unsigned.all;

entity counter is
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/) port(Clock, CLR : in std_logic;

Q : out std_logic_vector(3 downto 0));


(https://www.pantechsolutions.net/)

end counter;

architecture archi of counter is 0 My Bag


0 item(s) - 0.00
signal tmp: std_logic_vector(3 downto 0); (https://www.pantechsolutions.n

begin

process (Clock, CLR)

begin

if (CLR='1') then

tmp <= "0000";

elsif (Clock'event and Clock='1') then

tmp <= tmp + 1;

end if;

end process;

Q <= tmp;

end archi;

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 4/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

S UResult
MMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 

SW : PSTYRO-FPGASP3\Code\EXA-8a\..............
Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07

GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)

(https://www.pantechsolutions.net/)
Down Counter (Program for 4-bit binary counter using behavior description)
Description
0 My Bag
In this program a down counter has a 1- bit input and a 4- bit output. Additional control signals may be0 item(s)
added such
- 0.00

as enable. The output of the multiplexers depends on the level of the select line. (https://www.pantechsolutions.n

Flow Chart

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 5/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07

GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)

(https://www.pantechsolutions.net/)

0 My Bag
0 item(s) - 0.00
(https://www.pantechsolutions.n

Code Listing

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 6/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

library IEEE;
SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 
use ieee.std_logic_1164.all;
Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07
use ieee.std_logic_unsigned.all;

entity counter is
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/) port(Clock, CLR : in std_logic;

Q : out std_logic_vector(3 downto 0));


(https://www.pantechsolutions.net/)

end counter;

architecture archi of counter is 0 My Bag


0 item(s) - 0.00
signal tmp: std_logic_vector(3 downto 0); (https://www.pantechsolutions.n

begin

process (Clock, CLR)

begin

if (CLR='1') then

tmp <= "0000";

elsif (Clock'event and Clock='1') then

tmp <= tmp + 1;

end if;

end process;

Q <= tmp;

end archi;

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 7/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 


Result

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07

SW : PSTYRO-FPGASP3\Code\EXA-8a\..............

GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)

up-counter
(https://www.pantechsolutions.net/)

Down Counter (Program for 4-bit binary counter using behavior description)

0 My Bag
Description 0 item(s) - 0.00
(https://www.pantechsolutions.n

In this program a down counter has a 1- bit input and a 4- bit output. Additional control signals may be added such as enable. T

Flow Chart

vhcl-down-counter-flow-chart-of-tyro

Code Listing

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;
https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 8/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

S U M M E R Ientity
NTE R N SisH I P - 1 5 t h A P R I L 1 9 - 3 1 t h J U L Y 1 9
counter View Details (/internship/) 

port(Clock, CLR : in std_logic;


Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07

Q : out std_logic_vector(3 downto 0));

end counter;
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)
architecture archi of counter is

(https://www.pantechsolutions.net/)
signal tmp: std_logic_vector(3 downto 0);

begin
0 My Bag
process (Clock, CLR) 0 item(s) - 0.00
(https://www.pantechsolutions.n
begin

if (CLR='1') then

tmp <= "1111";

elsif (Clock'event and Clock='1') then

tmp <= tmp - 1;

end if;

end process;

Q <= tmp;

end archi;

end process;

Q <= tmp;

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 9/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

end archi;
SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07


Result
SW : PSTYRO-FPGASP3\Code\EXA-8b\..............
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)

(https://www.pantechsolutions.net/)

0 My Bag
Up/Down Counter (Program for 4-bit binary counter using behavior description) 0 item(s) - 0.00
(https://www.pantechsolutions.n
Description
The Up/Down control input line simply enables either the upper string or lower string of AND gates to pass the
Q/Q' outputs to the succeeding stages of flip-flops. If the Up/Down control line is "high," the top AND gates
become enabled. If the Up/Down control line is made "low," the bottom AND gates become enabled.

Flow Chart

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 10/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07

GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)

(https://www.pantechsolutions.net/)

0 My Bag
0 item(s) - 0.00
(https://www.pantechsolutions.n

Code Listing

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 11/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

S U M M E R Ilibrary
N T Eieee;
RNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 
use ieee.std_logic_1164.all;
Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07
use ieee.std_logic_unsigned.all;

entity counter is
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/) port(C, CLR, up_down : in std_logic;

Q : out std_logic_vector(3 downto 0));


(https://www.pantechsolutions.net/)

end counter;

architecture archi of counter is 0 My Bag


0 item(s) - 0.00
signal tmp: std_logic_vector(3 downto 0); (https://www.pantechsolutions.n

begin

process (C, CLR)

begin

if (CLR='1') then

tmp <= "0000";

elsif (C'event and C='1') then

if (up_down='1') then

tmp <= tmp + 1;

else

tmp <= tmp - 1;

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 12/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 


end if;

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07


end if;

end process;
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
Q <= tmp;
-us/)

end archi;
(https://www.pantechsolutions.net/)

end process;

Q <= tmp;
0 My Bag
end archi; 0 item(s) - 0.00
(https://www.pantechsolutions.n
end process;

Q <= tmp;

end archi;

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 13/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07

GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)

(https://www.pantechsolutions.net/)

0 My Bag
0 item(s) - 0.00
(https://www.pantechsolutions.n

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 14/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/) 

Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07

More Information
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/) Warranty Information (https://www.pantechsolutions.net/warranty-information/)

Online Retail store for Trainer Kits,Lab equipment's,Electronic components,Sensors


(https://www.pantechsolutions.net/) Return Policy (https://www.pantechsolutions.net/return-policy/)
and open source hardware.
Cancellation Order (https://www.pantechsolutions.net/cancellation-order/)

     holidays (https://www.pantechsolutions.net/holidays/)
0 My Bag
Terms for Softwares (https://www.pantechsolutions.net/terms-for-software/)
0 item(s) - 0.00

rohs (https://www.pantechsolutions.net/rohs/) (https://www.pantechsolutions.n

Dealership (https://www.pantechsolutions.net/dealership/)

Shipping Policy (https://www.pantechsolutions.net/shipping-policy/)

Company Resources

About Us (https://www.pantechsolutions.net/about-us/) Blog (https://www.pantechsolutions.net/blog/)

Terms of use (https://www.pantechsolutions.net/terms-of-use/) Videos (http://youtube.com/user/pantechsolutions)

Privacy policy (https://www.pantechsolutions.net/privacy-policy/) Presentations (http://www.slideshare.net/pantechsolutions)

Terms & Conditions (https://www.pantechsolutions.net/terms-and-conditions/)

Careers (https://www.pantechsolutions.net/careers/)

Events (https://www.pantechsolutions.net/events/)

Contact us (https://www.pantechsolutions.net/contact-us/)

BOARDS AND STARTER KITS

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 15/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

8051 BOARDS : 8051 Development Board (https://www.pantechsolutions.net/microcontroller-boards/8051-development-board) | 8051 Trainer Kit (https://www.pantechsolutions.net/microcontroller-
SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19
boards/8051-lab-trainer-kit) | 8051 Project Board (https://www.pantechsolutions.net/microcontroller-boards/8051-project-board) View Details (/internship/) 
PIC BOARDS : PIC16F877A Development Board (https://www.pantechsolutions.net/microcontroller-boards/pic-development-board) | PIC Advanced Development board
(https://www.pantechsolutions.net/microcontroller-boards/pic-advanced-development-board)
Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07
AVR BOARDS : AVR Development Board (https://www.pantechsolutions.net/microcontroller-boards/avr-development-board)
ARM BOARDS : LPC2148 Starter Kit (https://www.pantechsolutions.net/microcontroller-boards/arm7-trainer-kit)| ARM Development Board (https://www.pantechsolutions.net/microcontroller-boards/arm7-
development-board) | ARM9 Development Board (https://www.pantechsolutions.net/microcontroller-boards/arm-9-development-board)
GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
PSOC BOARDS : PsOC Pioneer Kit (https://www.pantechsolutions.net/microcontroller-boards/psoc4-pioneer-kit) | PsOC Development Board (https://www.pantechsolutions.net/microcontroller-
-us/)
boards/psoc3-development-board)
FPGA BOARDS : FPGA Starter Kit (https://www.pantechsolutions.net/cpld-fpga-boards/spartan3an-fpga-project-kit)
(https://www.pantechsolutions.net/) | FPGA Development Board (https://www.pantechsolutions.net/cpld-fpga-
boards/spartan6-fpga-project-board) | FPGA Universal Development Board (https://www.pantechsolutions.net/cpld-fpga-boards/fpga-universal-development-board)
DSP FLOATING POINT BOARDS : TMS320F2812 Development Board (https://www.pantechsolutions.net/dsp-dsc-boards/tms320f2812-dsp-development-board) | TMS320C6745 DSP Trainner Kit
(https://www.pantechsolutions.net/dsp-dsc-boards/tms320c6745-dsp-trainer-kit) | TMS320F28335 Development Board (https://www.pantechsolutions.net/dsp-dsc-boards/tms320f28335-dsp-development-
board) 0 My Bag
DSP FIXED POINT BOARDS : TMS320C5505 Development Board (https://www.pantechsolutions.net/dsp-dsc-boards/tms320c5505-dsp-development-board) 0 item(s) - 0.00
(https://www.pantechsolutions.n
MSP430 BOARDS : MSP430 Launchpad (https://www.pantechsolutions.net/microcontroller-boards/msp430-launchpad) | MSP430 Development Board (https://www.pantechsolutions.net/microcontroller-
boards/msp430-development-board)
ARDUINO BOARDS : Arduino UNO | Arduino Basic Kit | Netduino | Arduino Due | Arduino ADK
RASPBERRY PI : Raspberry Pi 3| Rapserry Pi Accessories
WIRELESS COMMUNICATION BOARDS : GSM Modem (https://www.pantechsolutions.net/wireless-boards/sim900a-gsm-modem) | Zigbee Board (https://www.pantechsolutions.net/wireless-
boards/zigbee-module-with-base-board ) | WiFI Board (https://www.pantechsolutions.net/module-ic-s/wi-fi-proto-board) | Bluetooth Board (https://www.pantechsolutions.net/wireless-boards/hc-05-bluetooth-
module-with-base-board)
WIRED COMMUNICATION BOARDS : SPI to Ethernet Interface (https://www.pantechsolutions.net/interface-cards/serial-ethernet-card)
WEARABLE TECHNOLOGY : Neurosky Mindwave Mobile : Brainwave Starter Kit (https://www.pantechsolutions.net/sensors/brainwave-starter-kit)
ACCESSORY BOARDS : GPS Board (https://www.pantechsolutions.net/wireless-boards/l80-gps-module-with-baseboard)
MODULES : GSM Modules (https://www.pantechsolutions.net/module-ic-s/m10-gsm-module) | GPS Modules (https://www.pantechsolutions.net/wireless-boards/gps-module) | Bluetooth Modules
(https://www.pantechsolutions.net/module-ic-s/hc-05-bluetooth-module) | WIFI Modues (https://www.pantechsolutions.net/wireless-boards/esp8266-wifi-module) | RF Modules
(https://www.pantechsolutions.net/module-ic-s/rf-module-433-mhz)
SENSORS : HM2007 Speech Recognition (https://www.pantechsolutions.net/sensors/hm2007-speech-recognition) | Gesture Recognition (https://www.pantechsolutions.net/sensors/gesture-recognition) |
Brain Computer Interface (https://www.pantechsolutions.net/sensors/brainsense) | Brainsense (https://www.pantechsolutions.net/sensors/brainsense)
PROGRAMMERS AND EMULATORS : Xilinx platform usb download cable (https://www.pantechsolutions.net/xilinx-platform-usb-download-cable)|FPGA JTAG Programmer
(https://www.pantechsolutions.net/fpga-jtag-programmer)
ROBOTICS : Line Follower Robot (https://www.pantechsolutions.net/robotics/line-follower-robot) | Gesture Controlled Robot (https://www.pantechsolutions.net/robotics/gesture-control-robot) | Robotic ARM
(https://www.pantechsolutions.net/robotics/owi-robot-arm) | Android Controlled Robot (https://www.pantechsolutions.net/robotics-projects/android-controlled-arduino-robot-car)

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 16/17
5/8/2019 VHDL code to simulate 4-bit Binary Counter by Software

MOTOR DRIVES : PMSM Motor Drive (https://www.pantechsolutions.net/power-electronics-and-drives/pmsm-motor-control-using-dspic) | BLDC Motor Drive (https://www.pantechsolutions.net/power-
SUMMER INTERNSHIP - 15th APRIL 19 - 31th JULY 19 View Details (/internship/)
electronics-and-drives/bldc-motor-control-using-dspic) | Three Phase Induction Motor Drive (https://www.pantechsolutions.net/power-electronics-and-drives/three-phase-induction-motor-control-using-dspic)

| SRM Motor Drive (https://www.pantechsolutions.net/power-electronics-and-drives/switched-reluctance-motor-control-using-dspic) | Stepper Motor Drive (https://www.pantechsolutions.net/power-
electronics-and-drives/stepper-motor-control-using-dspic)
Online Sales: 9840974406 | 9003113840 Academic: 9840974408 / 07
MOTORS : PMSM Motor (https://www.pantechsolutions.net/motors/pmsm-motor) | BLDC Motor (https://www.pantechsolutions.net/motors/bldc-motor) | Servo Motor
(https://www.pantechsolutions.net/motors/ac-servo-motor) | Three Phase Induction Motor (https://www.pantechsolutions.net/motors/three-phase-induction-motor) |

PROJECTS AND RESOURCES


GVjaHNvbHV0aW9ucy5uZXQvdmhkbC1jb2RlLXRvLXNpbXVsYXRlLTQtYml0LWJpbmFyeS1jb3VudGVyLWJ5LXNvZnR3YXJlLXVzaW5nLXNwYXJ0YW4tMy1zdGFydGVyLWtpdA,,/)
-us/)
ECE MINI PROJECTS : 8051 Projects (https://www.pantechsolutions.net/8051-projects) | ARM Projects (https://www.pantechsolutions.net/arm7-projects) | FPGA Projects
(https://www.pantechsolutions.net/fpga-projects) | VLSI Projects (https://www.pantechsolutions.net/vlsi-projects) | VHDL Projects (https://www.pantechsolutions.net/vhdl-projects) |Robotics Projects
(https://www.pantechsolutions.net/)
(https://www.pantechsolutions.net/robotics-projects)
EEE MINI PROJECTS: Electrical Projects | Simulink Projects
DIP PROJECTS : Image Processing Projects (https://www.pantechsolutions.net/image-processing-projects) | Video Processing Projects (https://www.pantechsolutions.net/video-processing-projects)
0 My Bag
0 item(s) - 0.00
PROJECTS AND RESOURCES
(https://www.pantechsolutions.n
MICROCONTROLLERS IC'S : P89V51RD2 (https://www.pantechsolutions.net/p89v51rd2) | AT89S51 (https://www.pantechsolutions.net/at89s51) | LPC2148
(https://www.pantechsolutions.net/microcontrollers-ic-s/lpc2148) | LPC2929 (https://www.pantechsolutions.net/microcontrollers-ic-s/lpc2929)
DISPLAY DEVICES :Seven segment -Common Anode (https://www.pantechsolutions.net/common-anode) | Seven segment-Common Cathode (https://www.pantechsolutions.net/common-cathode) | 2.5
inch TFT | 3.5 inch TFT | Seven Segment Display | LED
CONNECTORS : USB Mini-B SMD Connector (https://www.pantechsolutions.net/usb-mini-b-smd-connector) | DB9 FEMALE CABLE CONNECTOR (https://www.pantechsolutions.net/db9-female-cable-
connector)
(https://api.whatsapp.com/send?phone=919840974406&text=)

https://www.pantechsolutions.net/vhdl-code-to-simulate-4-bit-binary-counter-by-software-using-spartan-3-starter-kit 17/17

You might also like