Genus Messages
Genus Messages
Genus Messages
Cadence Design Systems, Inc. (Cadence), 2655 Seely Ave., San Jose, CA 95134, USA.
Open SystemC, Open SystemC Initiative, OSCI, SystemC, and SystemC Initiative are trademarks or registered
trademarks of Open SystemC Initiative, Inc. in the United States and other countries and are used with permission.
Trademarks: Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in this document
are attributed to Cadence with the appropriate symbol. For queries regarding Cadence's trademarks, contact the
corporate legal department at the address shown above or call 800.862.4522.
Restricted Permission: This publication is protected by copyright law and international treaties and contains trade
secrets and proprietary information owned by Cadence. Unauthorized reproduction or distribution of this publication, or
any portion of it, may result in civil and criminal penalties. Except as specified in this permission statement, this
publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed in any way,
without prior written permission from Cadence. Unless otherwise agreed to by Cadence in writing, this statement grants
Cadence customers permission to print one (1) hard copy of this publication subject to the following conditions:
1. The publication may be used only in accordance with a written agreement between Cadence and its customer.
2. The publication may not be modified in any way.
3. Any authorized copy of the publication or portion thereof must include all original copyright, trademark, and other
proprietary notices and this permission statement.
4. The information contained in this document cannot be used in the development of like products or software,
whether for internal or external use, and shall not be used for the benefit of any other party, whether or not for
consideration.
Disclaimer: Information in this publication is subject to change without notice and does not represent a commitment on
the part of Cadence. Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly
disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information
contained in this document. Cadence does not warrant that use of such information will not infringe any third party rights,
nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information.
Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth in
FAR52.227-14 and DFAR252.227-7013 et seq. or its successor.
Genus Message Reference
Table of Contents
Contents
1 164
1801 164
1801 Error Messages 164
1801-1 164
1801-2 165
1801-3 165
1801-98 166
1801 Information Messages 166
1801-700 166
1801-900 167
1801 Warning Messages 167
1801-99 167
1801-100 168
1801-199 168
2 170
ANALYSIS 170
ANALYSIS Error Messages 170
ANALYSIS-100 170
ANALYSIS Information Messages 170
ANALYSIS-102 171
ANALYSIS Warning Messages 171
ANALYSIS-101 171
ANALYSIS-201 172
ANALYSIS-202 172
ANALYSIS-203 173
3 174
ATTR 174
ATTR Information Messages 174
ATTR-101 174
ATTR-102 174
4 176
CDFG 176
CDFG Error Messages 176
CDFG-1 184
CDFG-2 184
CDFG-3 185
CDFG-10 185
CDFG-100 186
CDFG-101 186
CDFG-102 187
CDFG-103 187
CDFG-104 188
CDFG-105 188
CDFG-106 189
CDFG-107 189
CDFG-108 190
CDFG-110 190
CDFG-111 191
CDFG-112 191
CDFG-113 192
CDFG-114 192
CDFG-122 193
CDFG-123 193
CDFG-124 194
CDFG-126 194
CDFG-128 195
CDFG-129 195
CDFG-130 196
CDFG-131 196
CDFG-138 197
CDFG-144 197
CDFG-159 198
CDFG-160 198
CDFG-161 199
CDFG-162 199
CDFG-163 200
CDFG-164 200
CDFG-165 201
CDFG-167 201
CDFG-168 202
CDFG-170 202
CDFG-171 203
CDFG-172 203
CDFG-173 204
CDFG-178 204
CDFG-179 205
CDFG-180 205
CDFG-181 206
CDFG-182 206
CDFG-183 207
CDFG-184 207
CDFG-200 208
CDFG-201 208
CDFG-203 209
CDFG-204 209
CDFG-205 210
CDFG-206 210
CDFG-207 211
CDFG-209 211
CDFG-210 212
CDFG-211 213
CDFG-212 214
CDFG-213 214
CDFG-214 215
CDFG-215 215
CDFG-216 216
CDFG-218 216
CDFG-219 217
CDFG-220 217
CDFG-221 218
CDFG-222 218
CDFG-224 219
CDFG-226 219
CDFG-227 220
CDFG-228 220
CDFG-229 221
CDFG-230 221
CDFG-231 222
CDFG-232 222
CDFG-233 223
CDFG-234 223
CDFG-235 224
CDFG-238 224
CDFG-240 225
CDFG-241 225
CDFG-242 226
CDFG-244 226
CDFG-245 227
CDFG-246 227
CDFG-247 228
CDFG-249 228
CDFG-251 229
CDFG-252 229
CDFG-253 230
CDFG-254 230
CDFG-255 231
CDFG-256 231
CDFG-257 232
CDFG-258 232
CDFG-259 233
CDFG-260 233
CDFG-261 234
CDFG-262 234
CDFG-263 235
CDFG-264 235
CDFG-266 236
CDFG-267 236
CDFG-268 237
CDFG-269 237
CDFG-270 238
CDFG-271 238
CDFG-272 239
CDFG-273 239
CDFG-274 240
CDFG-275 240
CDFG-276 241
CDFG-278 241
CDFG-280 242
CDFG-283 242
CDFG-288 243
CDFG-294 243
CDFG-298 244
CDFG-299 244
CDFG-307 245
CDFG-320 245
CDFG-321 246
CDFG-323 246
CDFG-324 247
CDFG-326 247
CDFG-333 248
CDFG-336 248
CDFG-351 249
CDFG-352 249
CDFG-355 250
CDFG-356 250
CDFG-364 251
CDFG-369 251
CDFG-400 252
CDFG-403 252
CDFG-404 253
CDFG-405 253
CDFG-406 254
CDFG-407 254
CDFG-408 255
CDFG-411 255
CDFG-412 256
CDFG-413 256
CDFG-414 257
CDFG-415 257
CDFG-429 258
CDFG-430 258
CDFG-431 259
CDFG-432 259
CDFG-433 260
CDFG-434 260
CDFG-435 261
CDFG-437 261
CDFG-438 262
CDFG-439 262
CDFG-442 263
CDFG-443 263
CDFG-444 264
CDFG-445 264
CDFG-446 265
CDFG-447 265
CDFG-450 266
CDFG-451 266
CDFG-452 267
CDFG-453 267
CDFG-454 268
CDFG-455 268
CDFG-457 269
CDFG-460 269
CDFG-461 270
CDFG-462 270
CDFG-463 271
CDFG-486 271
CDFG-510 272
CDFG-550 272
CDFG-551 273
CDFG-553 273
CDFG-554 274
CDFG-557 274
CDFG-561 275
CDFG-601 275
CDFG-607 276
CDFG-608 276
CDFG-609 277
CDFG-810 277
CDFG-813 278
CDFG-816 278
CDFG-817 279
CDFG-821 279
CDFG-822 280
CDFG-823 280
CDFG-824 281
CDFG-826 281
CDFG-828 281
CDFG-829 282
CDFG-830 282
CDFG-892 283
CDFG-901 283
CDFG-903 284
CDFG-904 284
CDFG-905 285
CDFG-906 285
CDFG-907 286
CDFG-908 286
CDFG-909 287
CDFG-912 287
CDFG-913 288
CDFG Information Messages 288
CDFG-5 292
CDFG-6 292
CDFG-22 293
CDFG-23 293
CDFG-250 293
CDFG-286 294
CDFG-295 294
CDFG-300 294
CDFG-301 295
CDFG-302 295
CDFG-303 296
CDFG-305 296
CDFG-308 296
CDFG-309 297
CDFG-325 297
CDFG-327 298
CDFG-328 298
CDFG-335 298
CDFG-340 299
CDFG-345 299
CDFG-359 299
CDFG-361 300
CDFG-362 300
CDFG-363 301
CDFG-365 301
CDFG-372 301
CDFG-373 302
CDFG-425 302
CDFG-426 302
CDFG-427 303
CDFG-458 303
CDFG-459 304
CDFG-470 304
CDFG-478 304
CDFG-479 305
CDFG-488 305
CDFG-500 306
CDFG-501 306
CDFG-505 307
CDFG-509 307
CDFG-511 308
CDFG-512 308
CDFG-555 309
CDFG-560 309
CDFG-565 309
CDFG-738 310
CDFG-739 310
CDFG-740 310
CDFG-741 311
CDFG-742 311
CDFG-743 311
CDFG-744 312
CDFG-747 312
CDFG-749 312
CDFG-750 313
CDFG-752 313
CDFG-753 313
CDFG-754 314
CDFG-755 314
CDFG-757 314
CDFG-758 315
CDFG-759 315
CDFG-767 316
CDFG-768 316
CDFG-769 316
CDFG-771 317
CDFG-772 317
CDFG-773 317
CDFG-774 318
CDFG-775 318
CDFG-776 318
CDFG-777 319
CDFG-780 319
CDFG-781 319
CDFG-782 320
CDFG-783 320
CDFG-784 320
CDFG-800 321
CDFG-815 321
CDFG-820 321
CDFG-893 322
CDFG-895 322
CDFG-896 323
CDFG-897 323
CDFG-898 323
CDFG-899 324
CDFG-900 324
CDFG-902 324
CDFG-910 325
CDFG-911 325
CDFG-914 325
CDFG-915 326
CDFG-916 326
CDFG-917 326
CDFG-918 327
CDFG-919 327
CDFG-920 327
CDFG Warning Messages 327
CDFG-24 332
CDFG-145 333
CDFG-146 333
CDFG-147 333
CDFG-148 334
CDFG-149 334
CDFG-150 334
CDFG-185 335
CDFG-186 335
CDFG-187 336
CDFG-217 336
CDFG-223 337
CDFG-236 337
CDFG-237 338
CDFG-239 338
CDFG-243 339
CDFG-248 339
CDFG-265 340
CDFG-277 340
CDFG-279 341
CDFG-281 341
CDFG-282 341
CDFG-284 342
CDFG-285 342
CDFG-287 343
CDFG-289 343
CDFG-290 343
CDFG-291 344
CDFG-292 344
CDFG-293 344
CDFG-296 345
CDFG-297 345
CDFG-304 346
CDFG-310 346
CDFG-311 347
CDFG-312 347
CDFG-313 347
CDFG-314 348
CDFG-315 348
CDFG-316 348
CDFG-317 349
CDFG-331 349
CDFG-332 349
CDFG-334 350
CDFG-360 350
CDFG-366 351
CDFG-367 352
CDFG-368 352
CDFG-370 352
CDFG-371 353
CDFG-380 353
CDFG-381 353
CDFG-382 354
CDFG-409 354
CDFG-417 355
CDFG-418 355
CDFG-419 355
CDFG-422 356
CDFG-423 356
CDFG-428 357
CDFG-436 358
CDFG-440 359
CDFG-441 359
CDFG-448 360
CDFG-449 360
CDFG-456 360
CDFG-464 361
CDFG-465 361
CDFG-466 362
CDFG-467 362
CDFG-471 363
CDFG-472 363
CDFG-473 364
CDFG-474 364
CDFG-475 365
CDFG-476 365
CDFG-477 366
CDFG-480 366
CDFG-481 366
CDFG-482 367
CDFG-483 367
CDFG-484 368
CDFG-485 368
CDFG-487 369
CDFG-507 369
CDFG-508 370
CDFG-552 371
CDFG-556 372
CDFG-558 372
CDFG-559 373
CDFG-562 373
CDFG-563 374
CDFG-564 374
CDFG-566 375
CDFG-600 375
CDFG-602 376
CDFG-603 376
CDFG-604 377
CDFG-605 377
CDFG-606 378
CDFG-610 378
CDFG-611 379
CDFG-612 379
CDFG-745 380
CDFG-746 380
CDFG-748 380
CDFG-751 381
CDFG-756 381
CDFG-760 382
CDFG-761 382
CDFG-762 382
CDFG-763 383
CDFG-764 383
CDFG-765 383
CDFG-766 384
CDFG-778 384
CDFG-779 384
CDFG-801 385
CDFG-811 385
CDFG-812 386
CDFG-814 386
CDFG-818 387
CDFG-825 387
CDFG-827 387
CDFG-831 388
CDFG-894 388
5 389
CDFG2G 389
CDFG2G Error Messages 389
CDFG2G-200 389
CDFG2G-201 390
CDFG2G-203 390
CDFG2G-204 391
CDFG2G-209 391
CDFG2G-211 392
CDFG2G-212 392
CDFG2G-213 393
CDFG2G-215 393
CDFG2G-416 394
CDFG2G-700 394
CDFG2G Information Messages 394
CDFG2G-110 395
CDFG2G-210 395
CDFG2G-616 396
CDFG2G-617 396
CDFG2G-624 397
CDFG2G-628 397
CDFG2G-630 397
CDFG2G Warning Messages 397
CDFG2G-207 398
CDFG2G-216 399
CDFG2G-217 399
CDFG2G-300 400
CDFG2G-607 400
CDFG2G-608 401
CDFG2G-610 401
CDFG2G-611 402
CDFG2G-612 402
CDFG2G-613 403
CDFG2G-614 403
CDFG2G-615 404
CDFG2G-618 404
CDFG2G-619 405
CDFG2G-620 405
CDFG2G-621 406
CDFG2G-622 406
CDFG2G-623 407
CDFG2G-625 407
CDFG2G-626 408
CDFG2G-627 408
6 409
CFM 409
CFM Error Messages 409
CFM-3 410
CFM-101 410
CFM-102 411
CFM-103 411
CFM-104 412
CFM-114 412
CFM-206 413
CFM-301 413
CFM-302 414
CFM-303 414
CFM-305 415
CFM-306 415
CFM-307 416
CFM Information Messages 416
CFM-1 417
CFM-2 417
CFM-5 417
CFM-6 418
CFM-7 418
CFM-16 418
CFM-211 419
CFM-212 419
CFM-308 419
CFM-502 420
CFM-503 420
CFM-600 420
CFM Warning Messages 421
CFM-4 422
CFM-8 423
CFM-9 423
CFM-10 423
CFM-11 424
CFM-12 424
CFM-13 424
CFM-14 425
CFM-106 425
CFM-107 426
CFM-108 426
CFM-109 427
CFM-111 427
CFM-112 428
CFM-113 428
CFM-116 428
CFM-117 429
CFM-201 429
CFM-202 430
CFM-205 430
CFM-207 431
CFM-208 431
CFM-209 432
CFM-210 432
CFM-213 433
CFM-214 433
CFM-649 433
CFM-651 434
CFM-652 434
CFM-653 434
CFM-654 435
7 436
CG 436
CG Error Messages 436
CG-101 436
CG-201 437
CG-207 437
CG-209 438
CG-215 438
CG-216 439
CG-300 439
CG-301 440
CG-406 440
CG-500 441
CG-701 441
CG Information Messages 441
CG-103 442
CG-210 442
CG-211 443
CG-214 443
CG-400 444
CG-430 444
CG-700 444
CG-801 445
CG-802 445
CG-803 446
CG Warning Messages 446
CG-102 448
CG-202 448
CG-203 449
CG-204 449
CG-206 450
CG-208 450
CG-212 451
CG-302 451
CG-303 452
CG-401 452
CG-404 452
CG-405 453
CG-407 453
CG-408 454
CG-409 454
CG-410 455
CG-411 455
CG-412 456
CG-413 456
CG-414 457
CG-415 457
CG-416 457
CG-417 458
CG-418 458
CG-419 459
CG-420 459
CG-421 460
CG-422 460
CG-423 460
CG-424 461
CG-425 461
CG-426 462
CG-427 462
CG-428 463
CG-429 463
CG-431 464
CG-432 464
CG-433 465
CG-434 465
CG-435 466
CG-436 466
CG-437 466
CG-438 467
CG-439 467
CG-440 468
CG-601 468
CG-602 469
CG-603 469
CG-901 469
8 471
CG_CLONE 471
CG_CLONE Warning Messages 471
CG_CLONE-102 471
CG_CLONE-103 472
CG_CLONE-104 472
CG_CLONE-105 472
9 474
CHECK_CWD 474
CHECK_CWD-101 492
CHECK_CWD-102 492
CHECK_CWD-103 493
CHECK_CWD-105 493
CHECK_CWD-106 493
CHECK_CWD-110 494
CHECK_CWD-127 494
CHECK_CWD-130 494
CHECK_CWD-149 495
CHECK_CWD-157 495
CHECK_CWD-159 496
10 497
CHIPWARE 497
CHIPWARE Error Messages 497
CHIPWARE-102 497
CHIPWARE-103 498
CHIPWARE-104 498
CHIPWARE-105 499
CHIPWARE-106 499
CHIPWARE-107 500
CHIPWARE-108 500
11 502
CHKDES 502
CHKDES Warning Messages 502
CHKDES-1 503
CHKDES-2 503
CHKDES-3 504
CHKDES-4 504
CHKDES-5 505
CHKDES-6 505
CHKDES-7 506
CHKDES-8 506
CHKDES-9 507
CHKDES-10 507
CHKDES-11 508
CHKDES-12 508
CHKDES-13 509
CHKDES-14 509
CHKDES-15 510
CHKDES-16 510
CHKDES-17 511
CHKDES-18 511
CHKDES-19 512
CHKDES-20 512
CHKDES-21 513
CHKDES-22 513
CHKDES-23 514
CHKDES-24 514
CHKDES-25 515
12 516
CHKDFT 516
CHKDFT Warning Messages 516
CHKDFT-1 516
13 517
CHKLIB 517
CHKLIB Warning Messages 517
CHKLIB-1 517
CHKLIB-2 518
CHKLIB-3 518
CHKLIB-4 519
CHKLIB-701 519
CHKLIB-702 520
CHKLIB-703 520
CHKLIB-704 520
CHKLIB-705 521
CHKLIB-706 521
CHKLIB-707 521
CHKLIB-708 522
CHKLIB-709 522
14 523
CHKTIM 523
CHLNK-109 540
CHLNK-110 540
CHLNK-301 541
CHLNK-302 541
16 542
CHNM 542
CHNM Error Messages 542
CHNM-109 542
CHNM-112 543
CHNM Information Messages 543
CHNM-102 543
CHNM-104 544
CHNM Warning Messages 544
CHNM-100 544
CHNM-101 545
CHNM-103 545
CHNM-105 546
CHNM-106 546
CHNM-107 546
CHNM-108 547
CHNM-110 547
CHNM-111 548
17 549
CLIPPER 549
CLIPPER Warning Messages 549
CLIPPER-999 549
18 550
CPF 550
CPF Error Messages 550
CPF-1 550
CPF-2 551
CPF-3 551
CPF-98 552
CPF-100 552
CPF-101 553
CPF-102 553
CPF-109 554
CPF-290 554
CPF Information Messages 554
CPF-392 555
CPF-565 555
CPF-566 556
CPF-900 556
CPF Warning Messages 557
CPF-99 558
CPF-150 558
CPF-151 559
CPF-198 559
CPF-199 560
CPF-200 560
CPF-201 561
CPF-203 561
CPF-204 562
CPF-205 562
CPF-206 563
CPF-207 563
CPF-292 563
CPF-293 564
CPF-303 564
CPF-341 565
CPF-342 565
CPF-391 566
CPF-401 566
CPF-402 567
CPF-403 567
CPF-560 568
CPF-561 568
CPF-568 569
CPF-800 569
19 570
CPF_ISO 570
CPF_ISO-805 585
CPF_ISO-806 585
CPF_ISO-807 586
CPF_ISO-808 586
CPF_ISO-809 586
CPF_ISO-812 587
CPF_ISO-820 587
CPF_ISO-821 587
CPF_ISO-822 588
CPF_ISO-823 588
CPF_ISO-901 588
CPF_ISO-904 589
CPF_ISO-910 589
CPF_ISO-912 589
CPF_ISO-914 590
CPF_ISO Warning Messages 590
CPF_ISO-101 592
CPF_ISO-106 593
CPF_ISO-110 593
CPF_ISO-111 593
CPF_ISO-114 594
CPF_ISO-115 594
CPF_ISO-116 595
CPF_ISO-118 595
CPF_ISO-206 595
CPF_ISO-207 596
CPF_ISO-208 596
CPF_ISO-209 597
CPF_ISO-210 597
CPF_ISO-211 598
CPF_ISO-212 598
CPF_ISO-213 599
CPF_ISO-216 599
CPF_ISO-219 600
CPF_ISO-222 600
CPF_ISO-303 601
CPF_ISO-304 601
CPF_ISO-305 601
CPF_ISO-306 602
CPF_ISO-307 602
CPF_ISO-308 602
CPF_ISO-309 603
CPF_ISO-310 603
CPF_ISO-311 603
CPF_ISO-312 604
CPF_ISO-313 604
CPF_ISO-314 604
CPF_ISO-315 605
CPF_ISO-316 605
CPF_ISO-317 605
CPF_ISO-318 606
CPF_ISO-801 606
CPF_ISO-902 607
CPF_ISO-903 607
CPF_ISO-905 608
CPF_ISO-906 608
CPF_ISO-907 608
CPF_ISO-908 609
CPF_ISO-911 609
CPF_ISO-913 610
CPF_ISO-915 610
20 611
CPF_LS 611
CPF_LS Information Messages 611
CPF_LS-809 611
CPF_LS-810 612
CPF_LS-814 612
CPF_LS-816 612
CPF_LS-817 613
CPF_LS Warning Messages 613
CPF_LS-801 614
CPF_LS-803 614
CPF_LS-804 615
CPF_LS-805 615
CPF_LS-806 616
CPF_LS-807 616
CPF_LS-808 617
CPF_LS-811 617
CPF_LS-812 617
CPF_LS-813 618
CPF_LS-815 618
CPF_LS-913 619
21 620
CPF_SYNTH 620
CPF_SYNTH Warning Messages 620
CPF_SYNTH-102 620
CPF_SYNTH-103 620
22 622
CPI 622
CPI Error Messages 622
CPI-299 622
CPI Information Messages 622
CPI-200 624
CPI-201 625
CPI-500 625
CPI-501 626
CPI-502 626
CPI-503 626
CPI-504 627
CPI-505 627
CPI-507 627
CPI-508 628
CPI-509 628
CPI-510 628
CPI-511 629
CPI-512 629
CPI-513 630
CPI-514 630
CPI-515 630
CPI-516 631
CPI-517 631
CPI-518 631
CPI-519 632
CPI-520 632
CPI-521 632
CPI-522 633
CPI-523 633
CPI-524 633
CPI-525 634
CPI-526 634
CPI-530 634
CPI-531 635
CPI-533 635
CPI-535 636
CPI-537 636
CPI-538 636
CPI-539 637
CPI-540 637
CPI-542 638
CPI-543 638
CPI-544 639
CPI-545 639
CPI-546 639
CPI-547 640
CPI-548 640
CPI-600 641
CPI-601 641
CPI-602 641
CPI-603 642
CPI Warning Messages 642
CPI-100 646
CPI-300 646
CPI-301 646
CPI-302 647
CPI-303 647
CPI-304 648
CPI-305 648
CPI-306 648
CPI-307 649
CPI-308 649
CPI-309 650
CPI-310 650
CPI-311 651
CPI-312 651
CPI-314 651
CPI-315 652
CPI-316 652
CPI-317 653
CPI-318 653
CPI-319 653
CPI-320 654
CPI-321 654
CPI-322 654
CPI-323 655
CPI-324 655
CPI-325 656
CPI-326 656
CPI-327 656
CPI-328 657
CPI-329 657
CPI-330 657
CPI-331 658
CPI-332 658
CPI-333 658
CPI-334 659
CPI-335 659
CPI-336 660
CPI-337 660
CPI-338 661
CPI-339 661
CPI-341 661
CPI-342 662
CPI-343 662
CPI-344 663
CPI-345 663
CPI-346 663
CPI-347 664
CPI-348 664
CPI-349 665
CPI-350 665
CPI-351 665
CPI-352 666
CPI-353 666
CPI-354 667
CPI-355 667
CPI-356 667
CPI-357 668
CPI-358 668
CPI-359 668
CPI-360 669
CPI-361 669
CPI-362 669
CPI-363 670
CPI-364 670
CPI-365 670
CPI-366 671
CPI-367 671
CPI-368 672
CPI-369 672
CPI-370 673
CPI-371 673
CPI-372 674
CPI-373 674
CPI-374 674
CPI-400 675
CPI-401 675
CPI-402 675
CPI-403 676
CPI-404 676
CPI-405 677
CPI-406 677
CPI-407 678
CPI-408 678
CPI-409 679
CPI-410 679
CPI-411 680
CPI-412 680
CPI-413 680
CPI-414 681
CPI-506 681
CPI-527 681
CPI-528 682
CPI-529 682
CPI-532 682
CPI-536 683
CPI-541 683
23 685
CT 685
CT Error Messages 685
CT-101 685
CT-102 686
CT-104 686
24 687
CTP 687
CTP Error Messages 687
CTP-8 687
CTP-13 688
CTP-17 688
CTP-19 689
CTP Information Messages 689
CTP-2 689
CTP-9 690
CTP-14 690
CTP Warning Messages 690
CTP-1 691
CTP-3 691
CTP-4 692
CTP-5 692
CTP-6 693
CTP-7 693
CTP-10 694
CTP-11 694
CTP-12 695
CTP-15 695
CTP-16 696
CTP-18 696
CTP-20 697
25 698
CTSTCH 698
CTSTCH Error Messages 698
CTSTCH-3 698
CTSTCH-4 699
CTSTCH-5 699
CTSTCH Information Messages 699
CTSTCH-2 700
CTSTCH Warning Messages 700
CTSTCH-1 700
CTSTCH-6 701
26 702
CWD 702
CWD Error Messages 702
CWD-1 703
CWD-2 703
CWD-3 704
CWD-4 704
CWD-12 705
CWD-13 705
CWD-14 706
CWD-16 706
CWD-17 707
CWD-18 707
CWD-20 708
CWD-22 708
CWD-25 709
CWD-27 709
CWD-28 710
CWD-30 710
CWD-33 711
CWD-34 711
CWD-35 712
CWD-44 712
CWD-45 713
CWD-47 713
CWD Information Messages 713
CWD-11 714
CWD-19 714
CWD-21 715
CWD-26 715
CWD-29 715
CWD-36 716
CWD-37 716
CWD-46 716
CWD Warning Messages 717
CWD-5 718
CWD-6 718
CWD-7 719
CWD-8 719
CWD-15 720
CWD-23 720
CWD-24 721
CWD-31 721
CWD-32 721
CWD-38 722
CWD-39 722
CWD-40 723
CWD-41 723
CWD-42 724
CWD-43 724
CWD-48 725
CWD-49 725
CWD-50 726
27 727
CWD_INSTALL 727
CWD_INSTALL Error Messages 727
CWD_INSTALL-101 727
CWD_INSTALL-102 728
CWD_INSTALL-103 728
28 729
DATABASE 729
DATABASE Error Messages 729
DATABASE-106 730
DATABASE-110 730
DATABASE-114 731
DATABASE-115 731
DATABASE-116 732
DATABASE-117 732
DATABASE-119 733
DATABASE-121 733
DATABASE-122 734
DATABASE-123 734
DATABASE-125 735
DATABASE-127 735
DATABASE-129 736
DATABASE-130 736
DATABASE-131 737
DATABASE-132 737
DATABASE-133 738
DATABASE-136 738
DATABASE Information Messages 738
DATABASE-101 739
DATABASE-102 739
DATABASE-111 740
DATABASE-112 740
DATABASE-113 741
DATABASE-120 741
DATABASE-126 742
DATABASE-128 742
DATABASE-134 742
DATABASE Warning Messages 743
DATABASE-103 743
DATABASE-105 744
DATABASE-107 744
DATABASE-108 745
DATABASE-109 745
DATABASE-118 746
DATABASE-124 746
DATABASE-135 747
DATABASE-137 747
DATABASE-138 748
DATABASE-139 748
DATABASE-140 748
29 750
DFM 750
DFM Error Messages 750
DFM-100 750
DFM-202 751
DFM Information Messages 751
DFM-201 751
DFM Warning Messages 752
DFM-200 752
DFM-203 752
30 754
DFT 754
DFT Error Messages 754
DFT-105 762
DFT-106 763
DFT-107 763
DFT-112 764
DFT-114 764
DFT-116 765
DFT-119 765
DFT-120 766
DFT-121 766
DFT-123 767
DFT-124 767
DFT-125 768
DFT-131 768
DFT-132 769
DFT-133 769
DFT-134 770
DFT-135 771
DFT-139 772
DFT-141 772
DFT-142 773
DFT-143 773
DFT-144 774
DFT-150 774
DFT-154 775
DFT-156 775
DFT-157 776
DFT-159 776
DFT-165 777
DFT-167 777
DFT-169 778
DFT-172 778
DFT-173 779
DFT-174 779
DFT-175 780
DFT-178 780
DFT-181 781
DFT-183 781
DFT-184 782
DFT-188 782
DFT-194 783
DFT-195 783
DFT-197 784
DFT-198 784
DFT-200 785
DFT-201 785
DFT-202 786
DFT-203 786
DFT-205 787
DFT-206 787
DFT-207 788
DFT-208 788
DFT-209 789
DFT-210 789
DFT-213 790
DFT-214 790
DFT-215 791
DFT-216 791
DFT-217 792
DFT-218 792
DFT-219 793
DFT-221 793
DFT-222 794
DFT-223 794
DFT-225 795
DFT-227 795
DFT-228 796
DFT-229 796
DFT-231 797
DFT-232 797
DFT-233 798
DFT-234 798
DFT-237 799
DFT-239 799
DFT-240 800
DFT-241 800
DFT-242 801
DFT-243 801
DFT-244 802
DFT-245 802
DFT-246 803
DFT-249 803
DFT-250 804
DFT-251 804
DFT-252 805
DFT-253 805
DFT-256 806
DFT-259 806
DFT-260 807
DFT-261 807
DFT-262 808
DFT-263 808
DFT-265 809
DFT-266 809
DFT-267 810
DFT-268 810
DFT-269 811
DFT-272 811
DFT-273 812
DFT-274 812
DFT-277 813
DFT-278 813
DFT-279 814
DFT-280 814
DFT-288 815
DFT-289 815
DFT-290 816
DFT-291 816
DFT-292 817
DFT-297 817
DFT-310 818
DFT-320 818
DFT-326 819
DFT-328 819
DFT-404 820
DFT-407 820
DFT-409 821
DFT-411 822
DFT-412 822
DFT-413 823
DFT-414 823
DFT-419 824
DFT-420 824
DFT-421 825
DFT-422 825
DFT-423 826
DFT-426 826
DFT-427 827
DFT-428 827
DFT-429 828
DFT-500 828
DFT-533 829
DFT-551 829
DFT-560 830
DFT-562 830
DFT-563 831
DFT-566 831
DFT-580 832
DFT-600 832
DFT-601 833
DFT-603 833
DFT-604 834
DFT-605 834
DFT-607 835
DFT-608 835
DFT-609 836
DFT-610 836
DFT-612 837
DFT-660 837
DFT-661 838
DFT-662 838
DFT-664 839
DFT-665 839
DFT-666 840
DFT-667 840
DFT-668 841
DFT-669 841
DFT-670 842
DFT-671 842
DFT-672 843
DFT-673 843
DFT-674 844
DFT-686 844
DFT-687 845
DFT-688 845
DFT-689 846
DFT-690 846
DFT-691 847
DFT-692 847
DFT-693 848
DFT-695 848
DFT-696 849
DFT-697 849
DFT-698 850
DFT-699 850
DFT-701 851
DFT-702 851
DFT-703 852
DFT-705 852
DFT-706 853
DFT-707 853
DFT-708 854
DFT-713 854
DFT-721 855
DFT-726 855
DFT-800 856
DFT-801 856
DFT-803 857
DFT-901 857
DFT-902 858
DFT-903 858
DFT-904 859
DFT-905 859
DFT-906 860
DFT-907 860
DFT-908 861
DFT-910 861
DFT-911 862
DFT-912 862
DFT-913 863
DFT-914 863
DFT-915 864
DFT-916 864
DFT-917 865
DFT-918 865
DFT-919 866
DFT-920 866
DFT-921 867
DFT-922 867
DFT-923 868
DFT-924 868
DFT-926 869
DFT-927 869
DFT-928 870
DFT-931 870
DFT-952 871
DFT-954 871
DFT-955 872
DFT-1000 872
DFT-1001 873
DFT-1201 873
DFT-1300 874
DFT-1301 874
DFT-1302 875
DFT Information Messages 875
DFT-17 877
DFT-19 878
DFT-20 878
DFT-100 878
DFT-101 879
DFT-102 879
DFT-103 879
DFT-118 880
DFT-130 880
DFT-140 881
DFT-151 881
DFT-163 881
DFT-164 882
DFT-182 882
DFT-185 883
DFT-186 883
DFT-187 884
DFT-191 884
DFT-220 884
DFT-275 885
DFT-276 885
DFT-296 886
DFT-298 886
DFT-299 887
DFT-300 887
DFT-303 888
DFT-306 888
DFT-327 889
DFT-425 889
DFT-501 890
DFT-502 890
DFT-550 891
DFT-564 891
DFT-569 891
DFT-570 892
DFT-571 892
DFT-572 892
DFT-573 893
DFT-574 893
DFT-575 893
DFT-577 894
DFT-582 894
DFT-650 895
DFT-653 895
DFT-655 896
DFT-656 896
DFT-657 896
DFT-675 897
DFT-676 897
DFT-679 898
DFT-680 898
DFT-681 898
DFT-682 899
DFT-683 899
DFT-684 899
DFT-685 900
DFT-694 900
DFT-700 900
DFT-709 901
DFT-710 901
DFT-715 901
DFT-797 902
DFT Warning Messages 902
DFT-104 908
DFT-108 909
DFT-109 909
DFT-110 909
DFT-111 910
DFT-113 910
DFT-115 911
DFT-117 911
DFT-122 912
DFT-126 912
DFT-127 913
DFT-129 913
DFT-136 914
DFT-137 914
DFT-153 915
DFT-158 915
DFT-160 916
DFT-161 916
DFT-162 917
DFT-166 917
DFT-168 918
DFT-170 918
DFT-171 919
DFT-176 919
DFT-177 920
DFT-179 920
DFT-180 921
DFT-189 922
DFT-190 922
DFT-192 923
DFT-193 923
DFT-196 923
DFT-199 924
DFT-204 924
DFT-211 925
DFT-212 925
DFT-224 926
DFT-226 926
DFT-230 927
DFT-235 927
DFT-236 928
DFT-238 928
DFT-247 929
DFT-248 929
DFT-254 930
DFT-255 930
DFT-257 930
DFT-258 931
DFT-264 931
DFT-270 932
DFT-271 932
DFT-281 933
DFT-282 933
DFT-283 934
DFT-284 934
DFT-285 935
DFT-286 935
DFT-287 936
DFT-293 936
DFT-294 937
DFT-295 937
DFT-301 938
DFT-302 938
DFT-304 939
DFT-305 939
DFT-307 940
DFT-308 940
DFT-309 941
DFT-311 941
DFT-312 942
DFT-313 942
DFT-314 943
DFT-315 943
DFT-316 944
DFT-317 944
DFT-318 945
DFT-319 945
DFT-321 946
DFT-322 946
DFT-323 947
DFT-324 947
DFT-325 948
DFT-400 948
DFT-401 949
DFT-403 949
DFT-405 950
DFT-406 950
DFT-408 950
DFT-410 951
DFT-415 951
DFT-416 952
DFT-417 952
DFT-418 953
DFT-424 953
DFT-510 954
DFT-511 954
DFT-512 955
DFT-513 955
DFT-514 956
DFT-515 956
DFT-516 957
DFT-517 957
DFT-521 958
DFT-522 958
DFT-552 958
DFT-553 959
DFT-554 959
DFT-555 960
DFT-556 960
DFT-557 961
DFT-558 961
DFT-559 962
DFT-561 962
DFT-565 962
DFT-567 963
DFT-568 963
DFT-576 964
DFT-578 964
DFT-579 965
DFT-581 965
DFT-585 966
DFT-586 966
DFT-587 967
DFT-602 967
DFT-606 968
DFT-611 968
DFT-613 969
DFT-651 969
DFT-652 970
DFT-654 970
DFT-663 971
DFT-677 971
DFT-678 972
DFT-704 972
DFT-711 973
DFT-712 973
DFT-714 974
DFT-716 974
DFT-717 975
DFT-718 975
DFT-719 976
DFT-720 976
DFT-722 977
DFT-723 977
DFT-724 978
DFT-725 978
DFT-727 979
DFT-796 979
DFT-798 980
DFT-799 980
DFT-802 981
DFT-804 981
DFT-909 982
DFT-925 982
DFT-929 983
DFT-930 983
DFT-932 984
DFT-950 984
DFT-951 985
DFT-953 985
DFT-1200 986
31 987
DFT_GL 987
DFT_RTL-114 1004
DFT_RTL-115 1005
DFT_RTL-117 1005
DFT_RTL-118 1006
DFT_RTL-119 1006
DFT_RTL-120 1007
DFT_RTL Warning Messages 1007
DFT_RTL-116 1007
34 1009
DPOPT 1009
DPOPT Information Messages 1009
DPOPT-1 1009
DPOPT-2 1010
DPOPT-3 1010
DPOPT-4 1010
DPOPT-5 1011
DPOPT-6 1011
DPOPT-7 1011
DPOPT-10 1012
DPOPT-54 1012
DPOPT-61 1012
DPOPT-71 1013
DPOPT Warning Messages 1013
DPOPT-50 1013
DPOPT-51 1014
DPOPT-52 1014
DPOPT-53 1014
DPOPT-55 1015
35 1016
ECCD 1016
ECCD Error Messages 1016
ECCD-412 1016
ECCD-413 1017
ECCD-415 1017
ECCD-416 1018
ECCD Information Messages 1018
ECCD-414 1018
ECCD Warning Messages 1019
ECCD-410 1019
ECCD-411 1019
36 1021
ECO 1021
ECO Error Messages 1021
ECO-100 1021
ECO-101 1022
ECO-102 1022
ECO-103 1023
ECO-104 1023
ECO Warning Messages 1023
ECO-150 1024
37 1025
ECO_MANIPULATION 1025
ECO_MANIPULATION Error Messages 1025
ECO_MANIPULATION-100 1025
ECO_MANIPULATION-101 1026
ECO_MANIPULATION-102 1026
ECO_MANIPULATION Warning Messages 1026
ECO_MANIPULATION-103 1027
38 1028
ELAB 1028
ELAB Information Messages 1028
ELAB-1 1028
ELAB-2 1028
ELAB-3 1029
ELAB-4 1029
ELAB-5 1029
39 1031
ELABUTL 1031
ELABUTL Error Messages 1031
ELABUTL-120 1031
ELABUTL-121 1032
ELABUTL-122 1032
ELABUTL-126 1033
ELABUTL-140 1033
ELABUTL Information Messages 1033
ELABUTL-128 1034
ELABUTL-129 1034
ELABUTL-130 1035
ELABUTL-131 1035
ELABUTL-132 1036
ELABUTL-133 1036
ELABUTL-134 1037
ELABUTL-135 1037
ELABUTL Warning Messages 1037
ELABUTL-101 1038
ELABUTL-102 1038
ELABUTL-123 1039
ELABUTL-124 1039
ELABUTL-125 1040
ELABUTL-127 1040
ELABUTL-136 1041
ELABUTL-137 1041
ELABUTL-138 1042
ELABUTL-139 1042
40 1043
ENCRYPT 1043
ENCRYPT Error Messages 1043
ENCRYPT-1 1043
ENCRYPT-3 1043
41 1045
ENV_PA 1045
ENV_PA Warning Messages 1045
ENV_PA-31 1045
ENV_PA-32 1046
ENV_PA-33 1046
ENV_PA-34 1047
ENV_PA-35 1047
ENV_PA-36 1048
ENV_PA-37 1048
42 1049
FILE 1049
FILE Error Messages 1049
FILE-100 1049
FILE Warning Messages 1049
FILE-101 1050
FILE-102 1050
FILE-103 1050
FILE-104 1051
43 1052
FPLN 1052
FPLN Information Messages 1052
FPLN-1 1052
FPLN-2 1053
FPLN-3 1053
FPLN-4 1054
FPLN-5 1054
FPLN-6 1055
FPLN-7 1055
FPLN-8 1055
FPLN-9 1056
FPLN-10 1056
FPLN-11 1056
FPLN-12 1057
44 1058
FSDB 1058
FSDB Error Messages 1058
FSDB-1 1058
FSDB-2 1058
45 1060
FV 1060
FV Warning Messages 1060
FV-101 1060
FV-102 1061
FV-103 1061
FV-104 1062
FV-201 1062
FV-202 1063
FV-203 1063
46 1064
GB 1064
GB Information Messages 1064
GB-1 1064
GB-2 1065
GB-3 1065
GB-4 1065
GB-6 1066
GB-9 1066
GB-10 1066
GB-11 1067
GB-14 1067
GB Warning Messages 1067
GB-5 1067
GB-12 1068
GB-13 1068
47 1069
GLO 1069
GLO Information Messages 1069
GLO-12 1070
GLO-13 1071
GLO-14 1071
GLO-15 1072
GLO-16 1072
GLO-17 1073
GLO-18 1073
GLO-19 1074
GLO-20 1074
GLO-21 1075
GLO-22 1075
GLO-23 1076
GLO-24 1076
GLO-25 1077
GLO-30 1077
GLO-31 1078
GLO-32 1078
GLO-33 1079
GLO-34 1079
GLO-40 1080
GLO-41 1080
GLO-42 1081
GLO-43 1081
GLO-45 1082
GLO-46 1082
GLO-47 1082
GLO-48 1083
GLO-49 1083
GLO-51 1083
GLO-52 1084
GLO-53 1084
GLO Warning Messages 1085
GLO-26 1085
GLO-27 1086
GLO-28 1086
GLO-29 1087
GLO-44 1087
GLO-54 1088
48 1089
GSC 1089
GSC Error Messages 1089
GSC-300 1091
GSC-301 1091
GSC-302 1092
GSC-303 1092
GSC-304 1093
GSC-305 1093
GSC-306 1094
GSC-307 1094
GSC-308 1095
GSC-309 1095
GSC-310 1096
GSC-311 1096
GSC-312 1097
GSC-313 1097
GSC-314 1098
GSC-315 1098
GSC-316 1099
GSC-317 1099
GSC-318 1100
GSC-319 1100
GSC-320 1101
GSC-321 1101
GSC-322 1102
GSC-323 1102
GSC-324 1103
GSC-325 1103
GSC-326 1104
GSC-327 1104
GSC-328 1105
GSC-329 1105
GSC-330 1106
GSC-331 1106
GSC-332 1107
GSC-333 1107
GSC-334 1108
GSC-335 1108
GSC-336 1109
GSC-337 1109
GSC-338 1110
GSC-339 1110
GSC Information Messages 1110
GSC-1 1111
GSC-2 1111
HF-101 1127
HF-111 1127
HF-112 1128
HF Information Messages 1128
HF-113 1128
HF-114 1129
HF Warning Messages 1129
HF-110 1129
51 1131
HIER 1131
HIER Error Messages 1131
HIER-100 1132
HIER-101 1132
HIER-104 1133
HIER-105 1133
HIER-106 1134
HIER-107 1134
HIER-108 1135
HIER-111 1135
HIER-112 1136
HIER-118 1136
HIER-119 1137
HIER-123 1137
HIER-124 1138
HIER-125 1138
HIER-126 1139
HIER-127 1139
HIER-130 1140
HIER-131 1140
HIER Information Messages 1140
HIER-102 1141
HIER-113 1141
HIER-114 1142
HIER-115 1142
HIER-116 1142
HIER-117 1143
HIER-121 1143
HIER-122 1144
HIER Warning Messages 1144
HIER-110 1144
HIER-120 1145
52 1146
HLO 1146
HLO Error Messages 1146
HLO-1 1146
HLO-2 1147
HLO Warning Messages 1147
HLO-3 1147
HLO-4 1148
53 1149
HPT 1149
HPT Error Messages 1149
HPT-41 1149
HPT-67 1150
HPT-74 1150
HPT-81 1151
HPT-82 1151
HPT-88 1152
HPT-89 1152
HPT-92 1153
HPT-94 1153
HPT-95 1154
HPT Information Messages 1154
HPT-78 1154
HPT Warning Messages 1154
HPT-5 1155
HPT-62 1155
HPT-76 1156
HPT-83 1156
HPT-84 1157
HPT-85 1157
HPT-86 1158
HPT-87 1158
HPT-90 1159
HPT-91 1159
HPT-93 1160
HPT-96 1160
HPT-97 1160
54 1162
INVS 1162
INVS Error Messages 1162
INVS-1 1162
INVS-9 1163
INVS-14 1163
INVS-16 1164
INVS-22 1164
INVS-24 1165
INVS-25 1165
INVS-29 1166
INVS Information Messages 1166
INVS-8 1166
INVS-13 1167
INVS-17 1167
INVS-18 1168
INVS-21 1168
INVS Warning Messages 1169
INVS-2 1169
INVS-3 1170
INVS-4 1170
INVS-6 1171
INVS-10 1171
INVS-15 1172
INVS-23 1172
INVS-26 1173
INVS-27 1173
INVS-28 1174
55 1175
INVS_MSV 1175
LBR-116 1194
LBR-119 1194
LBR-125 1195
LBR-127 1195
LBR-128 1196
LBR-130 1196
LBR-131 1197
LBR-132 1197
LBR-133 1198
LBR-138 1198
LBR-144 1199
LBR-145 1199
LBR-154 1200
LBR-163 1200
LBR-164 1201
LBR-171 1201
LBR-172 1202
LBR-199 1203
LBR-214 1203
LBR-231 1204
LBR-354 1204
LBR-355 1205
LBR-367 1205
LBR-407 1206
LBR-411 1206
LBR-502 1207
LBR-507 1207
LBR-508 1208
LBR-509 1208
LBR-517 1209
LBR-521 1209
LBR-522 1210
LBR-523 1210
LBR-527 1211
LBR-528 1211
LBR-530 1212
LBR-533 1212
LBR-552 1213
LBR-553 1213
LBR-554 1214
LBR-600 1214
LBR-601 1215
LBR-608 1215
LBR-611 1216
LBR-612 1216
LBR-613 1217
LBR-614 1217
LBR-615 1218
LBR-616 1218
LBR-617 1219
LBR-618 1219
LBR-619 1220
LBR-707 1220
LBR-710 1221
LBR Information Messages 1221
LBR-1 1223
LBR-3 1224
LBR-5 1224
LBR-27 1225
LBR-30 1225
LBR-31 1225
LBR-40 1226
LBR-41 1226
LBR-42 1227
LBR-46 1227
LBR-47 1228
LBR-48 1228
LBR-49 1229
LBR-54 1229
LBR-70 1229
LBR-71 1230
LBR-72 1230
LBR-74 1231
LBR-77 1231
LBR-83 1232
LBR-109 1232
LBR-111 1232
LBR-114 1233
LBR-118 1233
LBR-120 1234
LBR-122 1234
LBR-123 1234
LBR-149 1235
LBR-155 1235
LBR-160 1236
LBR-161 1236
LBR-162 1237
LBR-170 1237
LBR-202 1238
LBR-204 1238
LBR-206 1238
LBR-209 1239
LBR-218 1239
LBR-362 1239
LBR-363 1240
LBR-364 1240
LBR-365 1240
LBR-366 1241
LBR-409 1241
LBR-412 1242
LBR-415 1242
LBR-436 1243
LBR-516 1243
LBR-518 1243
LBR-519 1244
LBR-520 1244
LBR Warning Messages 1244
LBR-4 1250
LBR-7 1251
LBR-8 1251
LBR-9 1252
LBR-10 1252
LBR-11 1253
LBR-12 1253
LBR-20 1254
LBR-21 1254
LBR-22 1255
LBR-23 1255
LBR-24 1256
LBR-25 1256
LBR-26 1257
LBR-34 1257
LBR-36 1257
LBR-37 1258
LBR-38 1258
LBR-39 1259
LBR-43 1259
LBR-58 1260
LBR-63 1260
LBR-64 1261
LBR-65 1261
LBR-66 1262
LBR-67 1262
LBR-73 1262
LBR-75 1263
LBR-76 1263
LBR-78 1264
LBR-79 1264
LBR-81 1265
LBR-84 1265
LBR-89 1266
LBR-90 1266
LBR-91 1267
LBR-92 1267
LBR-93 1268
LBR-96 1268
LBR-97 1269
LBR-98 1269
LBR-100 1270
LBR-101 1270
LBR-102 1271
LBR-103 1271
LBR-105 1272
LBR-106 1272
LBR-110 1273
LBR-117 1273
LBR-121 1274
LBR-124 1274
LBR-126 1275
LBR-129 1275
LBR-136 1276
LBR-139 1276
LBR-140 1276
LBR-141 1277
LBR-142 1277
LBR-143 1278
LBR-146 1278
LBR-147 1279
LBR-148 1279
LBR-150 1280
LBR-151 1280
LBR-152 1281
LBR-153 1281
LBR-156 1282
LBR-157 1282
LBR-158 1283
LBR-159 1283
LBR-165 1284
LBR-166 1284
LBR-167 1285
LBR-173 1285
LBR-200 1286
LBR-201 1286
LBR-203 1287
LBR-205 1287
LBR-207 1288
LBR-210 1288
LBR-211 1289
LBR-212 1289
LBR-219 1290
LBR-220 1290
LBR-221 1290
LBR-223 1291
LBR-224 1291
LBR-225 1292
LBR-227 1292
LBR-228 1293
LBR-229 1293
LBR-230 1293
LBR-242 1294
LBR-243 1294
LBR-301 1295
LBR-350 1295
LBR-351 1296
LBR-352 1296
LBR-353 1296
LBR-356 1297
LBR-357 1297
LBR-358 1297
LBR-359 1298
LBR-360 1298
LBR-361 1299
LBR-403 1299
LBR-404 1299
LBR-405 1300
LBR-406 1300
LBR-408 1301
LBR-413 1301
LBR-414 1301
LBR-416 1302
LBR-417 1302
LBR-418 1303
LBR-419 1303
LBR-420 1304
LBR-500 1304
LBR-501 1305
LBR-504 1305
LBR-505 1306
LBR-506 1306
LBR-510 1306
LBR-511 1307
LBR-512 1307
LBR-513 1308
LBR-514 1308
LBR-524 1308
LBR-525 1309
LBR-526 1309
LBR-529 1309
LBR-531 1310
LBR-532 1310
LBR-534 1311
LBR-550 1311
LBR-551 1311
LBR-609 1312
LBR-610 1312
LBR-702 1313
LBR-703 1313
LBR-704 1313
LBR-705 1314
LBR-706 1314
LBR-708 1314
LBR-709 1315
LBR-711 1315
LBR-712 1316
LBR-713 1316
LBR-714 1317
LBR-715 1317
57 1318
LIC 1318
LIC Error Messages 1318
LIC-12 1318
LIC Information Messages 1318
LIC-1 1319
LIC-2 1319
LIC-10 1320
LIC-11 1320
LIC Warning Messages 1320
LIC-5 1321
LIC-6 1321
58 1322
LJLS 1322
LJLS Error Messages 1322
LJLS-1 1323
LJLS-2 1323
LJLS-4 1324
LJLS-5 1324
LJLS-6 1325
LJLS-7 1325
LJLS-8 1326
LJLS-9 1326
LJLS-11 1327
LJLS-12 1327
LJLS-13 1328
LJLS-14 1328
LJLS-15 1329
LJLS-16 1329
LJLS-17 1330
LJLS-18 1330
LJLS-19 1331
LJLS-21 1331
LJLS Information Messages 1331
LJLS-3 1332
LJLS-10 1332
LJLS-20 1333
59 1334
MAP 1334
MAP Error Messages 1334
MAP-1 1335
MAP-2 1335
MAP-3 1336
MAP-12 1336
MAP-14 1337
MAP-16 1337
MAP-17 1338
MAP-18 1338
MAP-19 1339
MAP-20 1339
MAP-21 1340
MAP-28 1340
MAP-34 1341
MAP-129 1341
MAP-132 1342
MAP-135 1342
MAP-200 1343
MAP-205 1343
MAP-206 1344
MAP-301 1344
MAP Information Messages 1344
MAP-4 1346
MAP-6 1346
MAP-7 1347
MAP-9 1347
MAP-10 1347
MAP-15 1348
MAP-24 1348
MAP-25 1349
MAP-26 1349
MAP-29 1350
MAP-31 1350
MAP-32 1351
MAP-38 1351
MAP-41 1352
MAP-42 1352
MAP-43 1353
MAP-127 1353
MAP-128 1354
MAP-130 1354
MAP-133 1355
MAP-134 1355
MAP-137 1356
MAP-138 1356
MAP-139 1357
MAP-140 1357
MAP-141 1358
MAP-142 1358
MAP-143 1359
MAP-144 1359
MAP-145 1359
MAP-151 1360
MAP-152 1360
MAP Warning Messages 1360
MAP-5 1361
MAP-8 1362
MAP-11 1362
MAP-13 1363
MAP-22 1363
MAP-23 1364
MAP-27 1364
MAP-30 1365
MAP-35 1365
MAP-36 1366
MAP-37 1366
MAP-40 1367
MAP-44 1367
MAP-125 1368
MAP-126 1368
MAP-131 1368
MAP-136 1369
MAP-201 1369
MAP-203 1370
MAP-204 1370
MAP-300 1371
60 1372
MCTL 1372
MCTL Error Messages 1372
MCTL-5000 1373
MCTL-5001 1373
MCTL-5002 1374
MCTL-5004 1374
MCTL-5006 1375
MCTL-5007 1375
MCTL-5012 1376
MCTL-5013 1376
MCTL-5014 1377
MCTL-5015 1377
MCTL-5016 1378
MCTL-5019 1378
MCTL-5022 1379
MCTL-5023 1379
MCTL-5024 1380
MCTL-5025 1380
MCTL-5026 1381
MCTL-5027 1381
MCTL-5028 1382
MCTL Information Messages 1382
MCTL-5003 1382
MCTL Warning Messages 1382
MCTL-5005 1383
MCTL-5008 1383
MCTL-5010 1384
MCTL-5011 1384
MCTL-5017 1385
MCTL-5018 1385
MCTL-5021 1386
61 1387
MESG 1387
MESG Error Messages 1387
MESG-1 1387
MESG-3 1388
MESG-4 1388
MESG-5 1389
MESG-8 1389
MESG-9 1390
MESG Information Messages 1390
MESG-7 1390
MESG Warning Messages 1390
MESG-2 1391
MESG-6 1391
MESG-10 1392
MESG-11 1392
62 1393
MM_INVS 1393
MM_INVS Error Messages 1393
MM_INVS-61 1393
MM_INVS-62 1394
MM_INVS-63 1394
MM_INVS-65 1395
MM_INVS-67 1395
MM_INVS-68 1396
MM_INVS-69 1396
MM_INVS-70 1397
MM_INVS Information Messages 1397
MM_INVS-50 1397
MM_INVS-51 1398
MM_INVS-53 1398
MM_INVS-66 1399
MM_INVS Warning Messages 1399
MM_INVS-1 1399
63 1400
MMMC 1400
MMMC Error Messages 1400
MMMC-104 1400
MMMC-105 1401
MMMC-107 1401
MMMC Warning Messages 1401
MMMC-100 1402
MMMC-101 1402
MMMC-102 1403
MMMC-103 1403
MMMC-106 1404
64 1405
MSV_INVS 1405
MSV_INVS Error Messages 1405
MSV_INVS-80 1406
MSV_INVS-81 1406
MSV_INVS-82 1407
MSV_INVS-83 1407
MSV_INVS-84 1408
MSV_INVS-85 1408
MSV_INVS-86 1409
MSV_INVS-87 1409
MSV_INVS-88 1410
MSV_INVS-89 1410
MSV_INVS-92 1411
MSV_INVS-94 1411
MSV_INVS-95 1412
MSV_INVS-96 1412
MSV_INVS-97 1413
MSV_INVS-98 1413
MSV_INVS Information Messages 1413
MSV_INVS-50 1414
MSV_INVS-51 1414
MSV_INVS-52 1415
MSV_INVS-54 1415
MSV_INVS-55 1415
MSV_INVS-56 1416
MSV_INVS-57 1416
MSV_INVS-59 1416
MSV_INVS-60 1417
MSV_INVS Warning Messages 1417
MSV_INVS-1 1418
MSV_INVS-2 1418
MSV_INVS-3 1418
MSV_INVS-5 1419
MSV_INVS-11 1419
MSV_INVS-13 1419
MSV_INVS-15 1420
MSV_INVS-17 1420
MSV_INVS-19 1420
MSV_INVS-20 1421
MSV_INVS-21 1421
MSV_INVS-22 1421
MSV_INVS-23 1422
MSV_INVS-24 1422
65 1423
MTDCL 1423
MTDCL Error Messages 1423
MTDCL-13 1423
MTDCL-16 1424
MTDCL-24 1424
MTDCL-27 1425
MTDCL-28 1425
MTDCL-34 1426
MTDCL-36 1426
MTDCL-39 1427
MTDCL Information Messages 1427
MTDCL-12 1427
MTDCL-14 1428
MTDCL-15 1428
MTDCL-18 1428
MTDCL-19 1429
MTDCL-20 1429
MTDCL-29 1430
MTDCL-30 1430
MTDCL Warning Messages 1430
MTDCL-11 1431
MTDCL-21 1431
MTDCL-22 1432
MTDCL-23 1432
MTDCL-25 1432
MTDCL-26 1433
MTDCL-31 1433
MTDCL-32 1434
MTDCL-33 1434
MTDCL-35 1435
MTDCL-37 1435
MTDCL-38 1436
66 1437
MUX_MAPPING 1437
MUX_MAPPING Error Messages 1437
MUX_MAPPING-100 1437
MUX_MAPPING-101 1438
MUX_MAPPING Information Messages 1438
MUX_MAPPING-102 1438
MUX_MAPPING-110 1439
67 1440
MUXOPTO 1440
MUXOPTO Information Messages 1440
MUXOPTO-1 1440
MUXOPTO-2 1440
68 1442
NAME_SCOPE 1442
NAME_SCOPE Warning Messages 1442
NAME_SCOPE-101 1442
NAME_SCOPE-102 1442
69 1444
PA 1444
PA Error Messages 1444
PA-9 1444
PA-12 1445
PA Information Messages 1445
PA-6 1445
PA-7 1446
PA-8 1446
PA-10 1447
PA-18 1447
PA-21 1448
PA-30 1448
PA-32 1449
PA Warning Messages 1449
PA-3 1450
PA-4 1450
PA-5 1451
PA-11 1451
PA-13 1452
PA-14 1452
PA-15 1453
PA-16 1453
PA-17 1454
PA-19 1454
PA-20 1455
PA-31 1455
70 1456
PBS 1456
PBS Information Messages 1456
PBS-1 1456
PBS Warning Messages 1456
PBS-2 1457
PBS-3 1457
PBS-4 1457
PBS-5 1458
71 1459
PHYS 1459
PHYS Error Messages 1459
PHYS-10 1463
PHYS-50 1463
PHYS-51 1464
PHYS-54 1464
PHYS-55 1465
PHYS-60 1465
PHYS-62 1466
PHYS-65 1466
PHYS-66 1467
PHYS-69 1467
PHYS-100 1468
PHYS-102 1468
PHYS-110 1469
PHYS-116 1469
PHYS-117 1470
PHYS-130 1470
PHYS-134 1471
PHYS-148 1471
PHYS-149 1472
PHYS-151 1472
PHYS-177 1473
PHYS-198 1473
PHYS-200 1474
PHYS-201 1474
PHYS-202 1475
PHYS-204 1475
PHYS-205 1476
PHYS-206 1476
PHYS-213 1477
PHYS-220 1477
PHYS-221 1478
PHYS-222 1478
PHYS-224 1479
PHYS-236 1479
PHYS-250 1480
PHYS-251 1480
PHYS-263 1481
PHYS-270 1481
PHYS-271 1482
PHYS-272 1482
PHYS-273 1483
PHYS-274 1483
PHYS-275 1484
PHYS-276 1484
PHYS-296 1485
PHYS-352 1485
PHYS-354 1486
PHYS-380 1486
PHYS-381 1487
PHYS-382 1487
PHYS-384 1488
PHYS-385 1488
PHYS-386 1489
PHYS-387 1489
PHYS-388 1490
PHYS-389 1490
PHYS-390 1491
PHYS-391 1491
PHYS-392 1492
PHYS-393 1492
PHYS-394 1493
PHYS-395 1493
PHYS-396 1494
PHYS-397 1494
PHYS-409 1495
PHYS-411 1495
PHYS-412 1496
PHYS-415 1496
PHYS-416 1497
PHYS-417 1497
PHYS-418 1498
PHYS-426 1498
PHYS-427 1499
PHYS-428 1499
PHYS-430 1500
PHYS-436 1500
PHYS-441 1501
PHYS-442 1501
PHYS-445 1502
PHYS-446 1502
PHYS-447 1503
PHYS-602 1503
PHYS-603 1504
PHYS-604 1504
PHYS-605 1505
PHYS-607 1505
PHYS-700 1506
PHYS-1010 1506
PHYS-1015 1507
PHYS-1017 1507
PHYS-1018 1508
PHYS-1021 1508
PHYS-1023 1509
PHYS-1024 1509
PHYS-1026 1510
PHYS-1100 1510
PHYS-1101 1511
PHYS-1102 1511
PHYS-1213 1512
PHYS-1214 1512
PHYS-1215 1513
PHYS-6187 1513
PHYS-6189 1514
PHYS-16185 1514
PHYS-16186 1515
PHYS Information Messages 1515
PHYS-52 1518
PHYS-53 1518
PHYS-63 1519
PHYS-90 1519
PHYS-127 1520
PHYS-129 1520
PHYS-145 1520
PHYS-146 1521
PHYS-153 1521
PHYS-154 1522
PHYS-160 1522
PHYS-173 1522
PHYS-174 1523
PHYS-180 1523
PHYS-181 1523
PHYS-182 1524
PHYS-183 1524
PHYS-184 1524
PHYS-185 1525
PHYS-186 1525
PHYS-188 1526
PHYS-194 1526
PHYS-215 1526
PHYS-216 1527
PHYS-218 1527
PHYS-223 1527
PHYS-230 1528
PHYS-235 1528
PHYS-244 1528
PHYS-277 1529
PHYS-278 1529
PHYS-298 1529
PHYS-310 1530
PHYS-320 1530
PHYS-358 1530
PHYS-362 1531
PHYS-364 1531
PHYS-383 1532
PHYS-398 1532
PHYS-399 1533
PHYS-400 1533
PHYS-401 1534
PHYS-402 1534
PHYS-403 1535
PHYS-404 1535
PHYS-405 1536
PHYS-406 1536
PHYS-407 1537
PHYS-408 1537
PHYS-410 1538
PHYS-414 1538
PHYS-419 1539
PHYS-420 1539
PHYS-421 1540
PHYS-422 1540
PHYS-423 1541
PHYS-424 1541
PHYS-425 1542
PHYS-431 1542
PHYS-432 1543
PHYS-434 1543
PHYS-435 1544
PHYS-437 1544
PHYS-438 1545
PHYS-439 1545
PHYS-440 1546
PHYS-444 1546
PHYS-448 1547
PHYS-752 1547
PHYS-800 1547
PHYS-1014 1548
PHYS-1020 1548
PHYS Warning Messages 1549
PHYS-12 1556
PHYS-13 1556
PHYS-14 1557
PHYS-15 1557
PHYS-16 1558
PHYS-17 1558
PHYS-18 1559
PHYS-20 1559
PHYS-22 1560
PHYS-23 1560
PHYS-24 1561
PHYS-25 1561
PHYS-26 1562
PHYS-27 1562
PHYS-28 1562
PHYS-29 1563
PHYS-30 1563
PHYS-56 1563
PHYS-57 1564
PHYS-58 1564
PHYS-59 1565
PHYS-61 1565
PHYS-64 1566
PHYS-67 1566
PHYS-68 1567
PHYS-91 1567
PHYS-92 1568
PHYS-93 1568
PHYS-101 1569
PHYS-103 1569
PHYS-104 1569
PHYS-105 1570
PHYS-106 1570
PHYS-107 1571
PHYS-108 1571
PHYS-109 1571
PHYS-111 1572
PHYS-112 1572
PHYS-113 1572
PHYS-114 1573
PHYS-115 1573
PHYS-118 1573
PHYS-119 1574
PHYS-120 1574
PHYS-121 1575
PHYS-122 1575
PHYS-123 1576
PHYS-124 1576
PHYS-125 1576
PHYS-126 1577
PHYS-128 1577
PHYS-131 1578
PHYS-132 1578
PHYS-133 1578
PHYS-135 1579
PHYS-136 1579
PHYS-140 1580
PHYS-141 1580
PHYS-142 1581
PHYS-143 1581
PHYS-147 1581
PHYS-150 1582
PHYS-152 1582
PHYS-155 1582
PHYS-156 1583
PHYS-157 1583
PHYS-158 1583
PHYS-159 1584
PHYS-168 1584
PHYS-169 1584
PHYS-170 1585
PHYS-171 1585
PHYS-172 1585
PHYS-175 1586
PHYS-176 1586
PHYS-178 1587
PHYS-179 1587
PHYS-187 1587
PHYS-189 1588
PHYS-190 1588
PHYS-191 1589
PHYS-192 1589
PHYS-193 1590
PHYS-195 1590
PHYS-196 1590
PHYS-197 1591
PHYS-199 1591
PHYS-203 1591
PHYS-207 1592
PHYS-208 1592
PHYS-209 1593
PHYS-210 1593
PHYS-211 1594
PHYS-212 1594
PHYS-214 1594
PHYS-217 1595
PHYS-219 1595
PHYS-225 1596
PHYS-226 1596
PHYS-227 1596
PHYS-228 1597
PHYS-229 1597
PHYS-231 1597
PHYS-232 1598
PHYS-240 1598
PHYS-241 1599
PHYS-242 1599
PHYS-243 1599
PHYS-245 1600
PHYS-246 1600
PHYS-252 1600
PHYS-253 1601
PHYS-254 1601
PHYS-255 1602
PHYS-256 1602
PHYS-257 1602
PHYS-258 1603
PHYS-259 1603
PHYS-260 1603
PHYS-261 1604
PHYS-262 1604
PHYS-264 1604
PHYS-265 1605
PHYS-266 1605
PHYS-267 1605
PHYS-279 1606
PHYS-280 1606
PHYS-297 1607
PHYS-299 1607
PHYS-300 1607
PHYS-301 1608
PHYS-302 1608
PHYS-305 1609
PHYS-311 1609
PHYS-330 1610
PHYS-350 1610
PHYS-351 1610
PHYS-353 1611
PHYS-356 1611
PHYS-359 1612
PHYS-360 1612
PHYS-361 1613
PHYS-370 1613
PHYS-413 1614
PHYS-429 1614
PHYS-433 1615
PHYS-443 1615
PHYS-500 1616
PHYS-501 1616
PHYS-502 1617
PHYS-510 1617
PHYS-599 1618
PHYS-600 1618
PHYS-601 1619
PHYS-606 1619
PHYS-900 1620
PHYS-1000 1620
PHYS-1001 1621
PHYS-1002 1621
PHYS-1003 1622
PHYS-1009 1622
PHYS-1011 1623
PHYS-1012 1623
PHYS-1013 1624
PHYS-1016 1624
PHYS-1019 1625
PHYS-1022 1625
PHYS-1025 1626
PHYS-1211 1626
PHYS-1212 1626
PHYS-1306 1627
PHYS-1405 1627
PHYS-1406 1628
PHYS-2029 1628
PHYS-2040 1628
PHYS-2089 1629
PHYS-2228 1629
PHYS-2257 1630
PHYS-2258 1630
PHYS-2328 1630
PHYS-2381 1631
PHYS-2400 1631
PHYS-2401 1632
PHYS-2402 1632
PHYS-2421 1633
PHYS-2425 1633
PHYS-2426 1634
PHYS-6185 1634
PHYS-6186 1634
PHYS-11211 1635
PHYS-11224 1635
PHYS-15029 1635
72 1636
PI 1636
PI Error Messages 1636
PI-1 1636
PI-2 1637
PI-100 1637
PI Information Messages 1637
PI-406 1638
PI-610 1638
PI-611 1639
PI-612 1639
PI-614 1639
PI-701 1640
PI-702 1640
PI-901 1641
PI Warning Messages 1641
PI-101 1642
PI-102 1643
PI-300 1643
PI-401 1644
PI-402 1644
PI-403 1645
PI-404 1645
PI-405 1646
PI-407 1646
PI-410 1647
PI-411 1647
PI-412 1647
PI-450 1648
PI-460 1648
PI-461 1649
PI-498 1649
PI-499 1650
PI-500 1650
PI-613 1651
PI-615 1651
73 1652
PLC 1652
PLC Information Messages 1652
PLC-1 1652
PLC Warning Messages 1652
PLC-2 1653
PLC-3 1653
74 1654
PMBIST 1654
PMBIST Error Messages 1654
PMBIST-56 1664
PMBIST-69 1664
PMBIST-71 1665
PMBIST-94 1665
PMBIST-95 1666
PMBIST-98 1666
PMBIST-99 1667
PMBIST-100 1667
PMBIST-102 1668
PMBIST-104 1668
PMBIST-105 1669
PMBIST-112 1669
PMBIST-113 1670
PMBIST-114 1670
PMBIST-115 1671
PMBIST-116 1671
PMBIST-117 1672
PMBIST-118 1672
PMBIST-119 1673
PMBIST-120 1673
PMBIST-121 1674
PMBIST-122 1674
PMBIST-123 1675
PMBIST-124 1675
PMBIST-125 1676
PMBIST-126 1676
PMBIST-127 1677
PMBIST-128 1677
PMBIST-129 1678
PMBIST-130 1678
PMBIST-131 1679
PMBIST-132 1679
PMBIST-133 1680
PMBIST-134 1680
PMBIST-135 1681
PMBIST-136 1681
PMBIST-137 1682
PMBIST-713 1682
PMBIST-731 1683
PMBIST-737 1683
PMBIST-739 1684
PMBIST-749 1684
PMBIST-750 1685
PMBIST-751 1685
PMBIST-752 1686
PMBIST-790 1686
PMBIST-791 1687
PMBIST-792 1687
PMBIST-793 1688
PMBIST-794 1688
PMBIST-795 1689
PMBIST-797 1689
PMBIST-914 1690
PMBIST-915 1690
PMBIST-935 1691
PMBIST-937 1691
PMBIST-938 1692
PMBIST-954 1692
PMBIST-955 1693
PMBIST-956 1693
PMBIST-3005 1694
PMBIST-3006 1694
PMBIST-3011 1695
PMBIST-3013 1695
PMBIST-3015 1696
PMBIST-3018 1696
PMBIST-3019 1697
PMBIST-3020 1697
PMBIST-3023 1698
PMBIST-3027 1698
PMBIST-3032 1699
PMBIST-3033 1699
PMBIST-3034 1700
PMBIST-3042 1700
PMBIST-3048 1701
PMBIST-3049 1701
PMBIST-3050 1702
PMBIST-3051 1702
PMBIST-3052 1703
PMBIST-3053 1703
PMBIST-3056 1704
PMBIST-3059 1704
PMBIST-3060 1705
PMBIST-3061 1705
PMBIST-3062 1706
PMBIST-3064 1706
PMBIST-3066 1707
PMBIST-3067 1707
PMBIST-3068 1708
PMBIST-3070 1708
PMBIST-3071 1709
PMBIST-3074 1709
PMBIST-3082 1710
PMBIST-3083 1710
PMBIST-3084 1711
PMBIST-3085 1711
PMBIST-3087 1712
PMBIST-3089 1712
PMBIST-3090 1713
PMBIST-3094 1713
PMBIST-3096 1714
PMBIST-3098 1714
PMBIST-3100 1715
PMBIST-3101 1715
PMBIST-3102 1716
PMBIST-3103 1716
PMBIST-3104 1717
PMBIST-3105 1717
PMBIST-3106 1718
PMBIST-3107 1718
PMBIST-3110 1719
PMBIST-4000 1719
PMBIST-4001 1720
PMBIST-4002 1720
PMBIST-4003 1721
PMBIST-4004 1721
PMBIST-4005 1722
PMBIST-4006 1722
PMBIST-4007 1723
PMBIST-4008 1723
PMBIST-4009 1724
PMBIST-4010 1724
PMBIST-4011 1725
PMBIST-4012 1725
PMBIST-4013 1726
PMBIST-4014 1726
PMBIST-4015 1727
PMBIST-4016 1727
PMBIST-4017 1728
PMBIST-4018 1728
PMBIST-4019 1729
PMBIST-4020 1729
PMBIST-4021 1730
PMBIST-4022 1730
PMBIST-4023 1731
PMBIST-4024 1731
PMBIST-4025 1732
PMBIST-4026 1732
PMBIST-4027 1733
PMBIST-4028 1733
PMBIST-4029 1734
PMBIST-4030 1734
PMBIST-4031 1735
PMBIST-4032 1735
PMBIST-4033 1736
PMBIST-4034 1736
PMBIST-4035 1737
PMBIST-4036 1737
PMBIST-4037 1738
PMBIST-4038 1738
PMBIST-4039 1739
PMBIST-4040 1739
PMBIST-4041 1740
PMBIST-4042 1740
PMBIST-4043 1741
PMBIST-4044 1741
PMBIST-4045 1742
PMBIST-4046 1742
PMBIST-4047 1743
PMBIST-4048 1743
PMBIST-4049 1744
PMBIST-4050 1744
PMBIST-4051 1745
PMBIST-4052 1745
PMBIST-4053 1746
PMBIST-4054 1746
PMBIST-4055 1747
PMBIST-4056 1747
PMBIST-4057 1748
PMBIST-4058 1748
PMBIST-4059 1749
PMBIST-4060 1749
PMBIST-4061 1750
PMBIST-4062 1750
PMBIST-4063 1751
PMBIST-4064 1751
PMBIST-4065 1752
PMBIST-4066 1752
PMBIST-4067 1753
PMBIST-4068 1753
PMBIST-4069 1754
PMBIST-4070 1754
PMBIST-4071 1755
PMBIST-4072 1755
PMBIST-4073 1756
PMBIST-4074 1756
PMBIST-4075 1757
PMBIST-4076 1757
PMBIST-4077 1758
PMBIST-4078 1758
PMBIST-4079 1759
PMBIST-4080 1759
PMBIST-4081 1760
PMBIST-4082 1760
PMBIST-4083 1761
PMBIST-4084 1761
PMBIST-4085 1762
PMBIST-4086 1762
PMBIST-4087 1763
PMBIST-4088 1763
PMBIST-4089 1764
PMBIST-4090 1764
PMBIST-4091 1765
PMBIST-4092 1765
PMBIST-4093 1766
PMBIST-4094 1766
PMBIST-4095 1767
PMBIST-4096 1767
PMBIST-4097 1768
PMBIST-4098 1768
PMBIST-4099 1769
PMBIST-4100 1769
PMBIST-4101 1770
PMBIST-4102 1770
PMBIST-4103 1771
PMBIST-4104 1771
PMBIST-4105 1772
PMBIST-4106 1772
PMBIST-4107 1773
PMBIST-4108 1773
PMBIST-4109 1774
PMBIST-5000 1774
PMBIST-5001 1775
PMBIST-5002 1775
PMBIST-5003 1776
PMBIST-5004 1776
PMBIST-5005 1777
PMBIST-5006 1777
PMBIST-5007 1778
PMBIST-5008 1778
PMBIST-5009 1779
PMBIST-5010 1779
PMBIST-5011 1780
PMBIST-5102 1780
PMBIST-5103 1781
PMBIST-5104 1781
PMBIST-5105 1782
PMBIST-5106 1782
PMBIST-5107 1783
PMBIST-5108 1783
PMBIST-5109 1784
PMBIST-5110 1784
PMBIST-5111 1785
PMBIST-5112 1785
PMBIST-5113 1786
PMBIST-5114 1786
PMBIST-5115 1787
PMBIST-5116 1787
PMBIST-5117 1788
PMBIST-5119 1788
PMBIST-5120 1789
PMBIST-5121 1789
PMBIST-5122 1790
PMBIST-5123 1790
PMBIST-5124 1791
PMBIST-5125 1791
PMBIST Information Messages 1791
PMBIST-12 1794
PMBIST-13 1794
PMBIST-14 1794
PMBIST-15 1795
PMBIST-16 1795
PMBIST-17 1795
PMBIST-18 1796
PMBIST-19 1796
PMBIST-20 1797
PMBIST-21 1797
PMBIST-22 1797
PMBIST-23 1798
PMBIST-24 1798
PMBIST-25 1798
PMBIST-26 1799
PMBIST-27 1799
PMBIST-28 1799
PMBIST-29 1800
PMBIST-30 1800
PMBIST-31 1801
PMBIST-32 1801
PMBIST-33 1801
PMBIST-34 1802
PMBIST-35 1802
PMBIST-36 1803
PMBIST-37 1803
PMBIST-38 1803
PMBIST-39 1804
PMBIST-40 1804
PMBIST-41 1805
PMBIST-42 1805
PMBIST-43 1805
PMBIST-44 1806
PMBIST-45 1806
PMBIST-46 1806
PMBIST-47 1807
PMBIST-48 1807
PMBIST-49 1807
PMBIST-50 1808
PMBIST-51 1808
PMBIST-52 1808
PMBIST-53 1809
PMBIST-54 1809
PMBIST-55 1809
PMBIST-57 1810
PMBIST-58 1810
PMBIST-59 1811
PMBIST-61 1811
PMBIST-62 1811
PMBIST-64 1812
PMBIST-65 1812
PMBIST-66 1812
PMBIST-93 1813
PMBIST-96 1813
PMBIST Warning Messages 1813
PMBIST-60 1816
PMBIST-63 1817
PMBIST-67 1817
PMBIST-68 1817
PMBIST-70 1818
PMBIST-72 1818
PMBIST-81 1819
PMBIST-87 1819
PMBIST-97 1820
PMBIST-101 1820
PMBIST-103 1821
PMBIST-900 1821
PMBIST-902 1822
PMBIST-930 1822
PMBIST-931 1823
PMBIST-933 1823
PMBIST-936 1824
PMBIST-939 1824
PMBIST-1014 1825
PMBIST-1015 1825
PMBIST-1022 1826
PMBIST-1023 1826
PMBIST-1024 1827
PMBIST-1025 1827
PMBIST-1026 1828
PMBIST-1027 1828
PMBIST-1037 1829
PMBIST-1038 1829
PMBIST-1039 1830
PMBIST-1040 1830
PMBIST-1044 1831
PMBIST-1045 1831
PMBIST-1046 1832
PMBIST-1047 1832
PMBIST-1056 1833
PMBIST-1057 1833
PMBIST-1058 1834
PMBIST-1059 1834
PMBIST-1060 1835
PMBIST-1061 1835
PMBIST-1062 1836
PMBIST-1063 1836
PMBIST-1064 1837
PMBIST-1065 1837
PMBIST-1066 1838
PMBIST-1067 1838
PMBIST-1068 1839
PMBIST-1069 1839
PMBIST-1070 1840
PMBIST-1071 1840
PMBIST-1072 1841
PMBIST-1073 1841
PMBIST-1074 1842
PMBIST-1075 1842
PMBIST-1076 1843
PMBIST-1077 1843
PMBIST-1078 1844
PMBIST-1079 1844
PMBIST-1080 1845
PMBIST-1081 1845
PMBIST-1082 1846
PMBIST-1083 1846
PMBIST-1084 1847
PMBIST-1085 1847
PMBIST-1086 1848
PMBIST-1087 1848
PMBIST-5118 1849
75 1850
POPT 1850
POPT Error Messages 1850
POPT-1 1851
POPT-15 1851
POPT-16 1852
POPT-37 1852
POPT-41 1853
POPT-46 1853
POPT-59 1854
POPT-60 1854
POPT-70 1855
POPT-76 1855
POPT-78 1856
POPT-84 1856
POPT-93 1857
POPT-203 1857
POPT-516 1857
POPT-517 1858
POPT-521 1858
POPT-545 1859
POPT-550 1859
POPT-551 1860
POPT-552 1860
POPT-554 1861
POPT Information Messages 1861
POPT-10 1864
POPT-11 1864
POPT-12 1864
POPT-13 1865
POPT-17 1865
POPT-22 1866
POPT-24 1866
POPT-25 1866
POPT-27 1867
POPT-28 1867
POPT-29 1867
POPT-30 1868
POPT-34 1868
POPT-35 1869
POPT-50 1869
POPT-51 1870
POPT-52 1870
POPT-53 1871
POPT-54 1871
POPT-56 1871
POPT-57 1872
POPT-61 1872
POPT-62 1873
POPT-63 1873
POPT-64 1874
POPT-65 1874
POPT-66 1875
POPT-67 1875
POPT-68 1876
POPT-69 1876
POPT-71 1877
POPT-72 1877
POPT-73 1878
POPT-79 1878
POPT-83 1878
POPT-86 1879
POPT-92 1879
POPT-96 1880
POPT-102 1880
POPT-103 1881
POPT-111 1881
POPT-113 1881
POPT-114 1882
POPT-115 1882
POPT-201 1883
POPT-204 1883
POPT-205 1884
POPT-207 1884
POPT-208 1884
POPT-500 1885
POPT-507 1885
POPT-508 1886
POPT-510 1886
POPT-511 1887
POPT-513 1887
POPT-514 1888
POPT-515 1888
POPT-525 1888
POPT-536 1889
POPT-539 1889
POPT-541 1890
POPT-543 1890
POPT-544 1891
POPT-557 1891
POPT-558 1892
POPT-559 1892
POPT-560 1893
POPT-570 1893
POPT-571 1894
POPT-600 1894
POPT Warning Messages 1895
POPT-14 1897
POPT-18 1898
POPT-19 1898
POPT-20 1899
POPT-21 1899
POPT-23 1900
POPT-26 1900
POPT-31 1901
POPT-32 1901
POPT-33 1902
POPT-36 1902
POPT-38 1903
POPT-39 1903
POPT-40 1904
POPT-42 1904
POPT-43 1905
POPT-44 1905
POPT-45 1906
POPT-47 1906
POPT-48 1907
POPT-49 1907
POPT-55 1908
POPT-58 1908
POPT-75 1909
POPT-77 1909
POPT-80 1909
POPT-81 1910
POPT-82 1910
POPT-85 1911
POPT-90 1911
POPT-91 1912
POPT-94 1912
POPT-95 1913
POPT-97 1913
POPT-98 1913
POPT-99 1914
POPT-104 1914
POPT-105 1915
POPT-106 1915
POPT-112 1916
POPT-121 1916
POPT-150 1917
POPT-151 1917
POPT-152 1918
POPT-209 1918
POPT-501 1919
POPT-502 1919
POPT-503 1920
POPT-504 1920
POPT-505 1921
POPT-506 1921
POPT-512 1922
POPT-519 1922
POPT-522 1923
POPT-526 1923
POPT-527 1924
POPT-528 1924
POPT-529 1925
POPT-530 1925
POPT-532 1926
POPT-534 1926
POPT-535 1927
POPT-538 1927
POPT-553 1928
POPT-555 1928
POPT-556 1929
POPT-561 1929
POPT-999 1930
76 1931
PROTO_FT 1931
PROTO_FT Warning Messages 1931
PROTO_FT-1 1931
PROTO_FT-2 1931
77 1933
PROTO_HDL 1933
PROTO_HDL Warning Messages 1933
PROTO_HDL-1 1933
PROTO_HDL-2 1933
PROTO_HDL-3 1934
PROTO_HDL-4 1934
PROTO_HDL-5 1934
78 1936
PTAM 1936
PTAM Error Messages 1936
PTAM-300 1938
PTAM-301 1938
PTAM-302 1939
PTAM-303 1939
PTAM-304 1940
PTAM-305 1940
PTAM-306 1941
PTAM-307 1941
PTAM-308 1942
PTAM-309 1942
PTAM-310 1943
PTAM-311 1943
PTAM-312 1944
PTAM-313 1944
PTAM-314 1945
PTAM-315 1945
PTAM-316 1946
PTAM-317 1946
PTAM-318 1947
PTAM-319 1947
PTAM-321 1948
PTAM-322 1948
PTAM-323 1949
PTAM-324 1949
PTAM-325 1950
PTAM-326 1950
PTAM-329 1951
PTAM-330 1951
PTAM-331 1952
PTAM-332 1952
PTAM-334 1953
PTAM-338 1953
PTAM-339 1954
PTAM-340 1954
PTAM-341 1955
PTAM-342 1955
PTAM-343 1956
PTAM-344 1956
PTAM-345 1957
PTAM-346 1957
PTAM-347 1958
PTAM-348 1958
PTAM-349 1959
PTAM Information Messages 1959
PTAM-1 1961
PTAM-2 1962
PTAM-3 1962
PTAM-4 1962
PTAM-5 1963
PTAM-6 1963
PTAM-7 1963
PTAM-8 1964
PTAM-9 1964
PTAM-10 1964
PTAM-11 1965
PTAM-12 1965
PTAM-13 1966
PTAM-14 1966
PTAM-15 1967
PTAM-16 1967
PTAM-17 1967
PTAM-18 1968
PTAM-19 1968
PTAM-20 1968
PTAM-21 1969
PTAM-22 1969
PTAM-23 1970
PTAM-24 1970
PTAM-25 1970
PTAM-26 1971
PTAM-27 1971
PTAM-28 1972
PTAM-29 1972
PTAM-30 1972
PTAM-31 1973
PTAM-32 1973
PTAM-33 1973
PTAM-34 1974
PTAM-35 1974
PTAM-36 1975
PTAM-37 1975
PTAM-38 1975
PTAM-39 1976
PTAM-40 1976
PTAM-41 1976
PTAM-42 1977
PTAM-43 1977
PTAM-44 1977
PTAM-45 1978
PTAM-46 1978
PTAM-47 1978
PTAM-48 1979
PTAM-49 1979
PTAM-50 1980
PTAM-51 1980
PTAM-52 1980
PTAM-53 1981
PTAM Warning Messages 1981
PTAM-100 1982
PTAM-101 1982
PTAM-102 1983
PTAM-103 1983
PTAM-104 1984
PTAM-105 1984
PTAM-106 1985
PTAM-107 1985
PTAM-108 1986
PTAM-109 1986
PTAM-110 1987
PTAM-111 1987
PTAM-112 1988
PTAM-113 1988
PTAM-114 1989
PTAM-115 1989
PTAM-116 1990
PTAM-117 1990
PTAM-118 1991
PTAM-119 1991
PTAM-120 1992
PTAM-121 1992
PTAM-122 1993
PTAM-123 1993
PTAM-320 1994
79 1995
RCLP 1995
RCLP Error Messages 1995
RCLP-101 1995
RCLP-201 1996
RCLP-202 1996
RCLP-203 1997
RCLP-205 1997
RCLP-206 1998
RCLP-207 1998
RCLP-209 1999
RCLP-210 1999
RCLP-211 2000
RCLP Warning Messages 2000
RCLP-204 2000
RCLP-208 2001
80 2002
READ_SPEF_LITE 2002
READ_SPEF_LITE Error Messages 2002
READ_SPEF_LITE-200 2002
READ_SPEF_LITE-201 2003
READ_SPEF_LITE-202 2003
READ_SPEF_LITE-203 2004
READ_SPEF_LITE-204 2004
READ_SPEF_LITE-206 2005
READ_SPEF_LITE-207 2005
READ_SPEF_LITE-209 2006
READ_SPEF_LITE Warning Messages 2006
READ_SPEF_LITE-205 2006
READ_SPEF_LITE-208 2007
81 2008
RET 2008
RET Information Messages 2008
RET-200 2008
RET-201 2009
RET Warning Messages 2009
RET-150 2009
RET-451 2010
82 2011
RETIME 2011
RETIME Error Messages 2011
RETIME-101 2012
RETIME-102 2012
RETIME-104 2013
RETIME-105 2013
RETIME-106 2014
RETIME-107 2014
RETIME-108 2015
RETIME-109 2015
RETIME-201 2016
RETIME-401 2016
RETIME-402 2017
RETIME-403 2017
RETIME-404 2018
RETIME-407 2018
RETIME-408 2019
RETIME-502 2019
RETIME-503 2020
RETIME-504 2020
RETIME-505 2021
RETIME-506 2021
RETIME-602 2022
RETIME-801 2022
RETIME Information Messages 2022
RETIME-112 2023
RETIME-113 2023
RETIME-114 2024
RETIME-501 2024
RETIME-601 2025
RETIME-701 2025
RETIME-702 2026
RETIME Warning Messages 2026
RETIME-103 2027
RETIME-110 2027
RETIME-111 2028
RETIME-301 2028
RETIME-302 2029
RETIME-303 2029
RETIME-304 2030
RETIME-305 2030
RETIME-306 2031
RETIME-307 2031
RETIME-309 2032
RETIME-310 2032
RETIME-311 2033
RETIME-312 2033
RETIME-313 2034
RETIME-314 2034
RETIME-315 2035
RETIME-316 2035
RETIME-405 2036
RETIME-409 2036
83 2037
RMSENA 2037
RMSENA Information Messages 2037
RMSENA-10 2037
RMSENA-11 2038
RMSENA-12 2038
RMSENA-13 2039
RMSENA-14 2039
RMSENA-15 2040
RMSENA-16 2040
RMSENA-17 2041
RMSENA Warning Messages 2041
RMSENA-1 2041
84 2043
RPT 2043
RPT Error Messages 2043
RPT-1 2045
RPT-2 2045
RPT-3 2046
RPT-6 2046
RPT-8 2047
RPT-9 2047
RPT-12 2048
RPT-15 2048
RPT-18 2049
RPT-20 2049
RPT-21 2050
RPT-31 2050
RPT-32 2051
RPT-35 2051
RPT-36 2052
RPT-37 2052
RPT-40 2053
RPT-44 2053
RPT-45 2054
RPT-46 2054
RPT-60 2055
RPT-61 2055
RPT-100 2056
RPT-101 2056
RPT-202 2057
RPT-300 2057
RPT-301 2058
RPT-308 2058
RPT-309 2059
RPT-450 2059
RPT-451 2060
RPT-452 2060
RPT-901 2061
RPT-902 2061
RPT-905 2062
RPT-906 2062
RPT-907 2063
RPT-908 2063
RPT-909 2064
RPT-916 2064
RPT-917 2065
RPT-918 2065
RPT-920 2066
RPT Information Messages 2066
RPT-7 2067
RPT-11 2067
RPT-16 2067
RPT-22 2068
RPT-34 2068
RPT-42 2069
RPT-70 2069
RPT-302 2070
RPT-303 2070
RPT-304 2070
RPT-305 2071
RPT-306 2071
RPT-310 2071
RPT Warning Messages 2071
RPT-4 2072
RPT-5 2073
RPT-10 2073
RPT-13 2074
RPT-23 2074
RPT-38 2075
RPT-39 2075
RPT-41 2076
RPT-80 2076
RPT-201 2077
RPT-307 2077
RPT-603 2078
RPT-900 2078
RPT-903 2079
RPT-904 2079
RPT-910 2080
RPT-911 2080
RPT-912 2081
RPT-915 2081
85 2082
RPT_CG 2082
RPT_CG Error Messages 2082
RPT_CG-1 2082
RPT_CG-2 2083
RPT_CG-9 2083
RPT_CG Warning Messages 2084
RPT_CG-3 2084
RPT_CG-4 2085
RPT_CG-5 2085
RPT_CG-6 2085
RPT_CG-7 2086
RPT_CG-8 2086
RPT_CG-10 2086
RPT_CG-11 2087
RPT_CG-12 2087
86 2089
RPT_CWD 2089
RPT_CWD Error Messages 2089
RPT_CWD-100 2089
RPT_CWD Warning Messages 2089
RPT_CWD-101 2090
RPT_CWD-102 2090
87 2091
RPT_DP 2091
RPT_DP Error Messages 2091
RPT_DP-101 2091
RPT_DP-105 2092
RPT_DP-107 2092
RPT_DP Warning Messages 2092
RPT_DP-100 2093
RPT_DP-103 2093
RPT_DP-104 2094
RPT_DP-106 2094
88 2095
RSCL 2095
RSCL Warning Messages 2095
RSCL-101 2095
RSCL-102 2096
RSCL-103 2096
89 2097
RTLOPT 2097
RTLOPT Information Messages 2097
RTLOPT-1 2098
RTLOPT-2 2098
RTLOPT-3 2098
RTLOPT-7 2099
RTLOPT-10 2099
RTLOPT-15 2099
RTLOPT-16 2100
RTLOPT-17 2100
RTLOPT-19 2100
RTLOPT-20 2101
RTLOPT-21 2101
RTLOPT-22 2101
RTLOPT-23 2102
RTLOPT-29 2102
RTLOPT-30 2102
RTLOPT-31 2103
RTLOPT-40 2103
RTLOPT-42 2103
RTLOPT-43 2104
RTLOPT-50 2104
RTLOPT-51 2104
RTLOPT-52 2105
RTLOPT Warning Messages 2105
RTLOPT-53 2105
RTLOPT-54 2106
RTLOPT-55 2106
90 2107
SAIF 2107
SAIF Error Messages 2107
SAIF-1 2107
SAIF-3 2108
SAIF-8 2108
SAIF-9 2109
SAIF Warning Messages 2109
SAIF-2 2109
SAIF-4 2110
SAIF-5 2110
SAIF-6 2110
SAIF-7 2111
SAIF-10 2111
91 2112
SDC 2112
SDC Error Messages 2112
SDC-19 2113
SDC-20 2114
SDC-21 2114
SDC-22 2115
SDC-24 2115
SDC-25 2116
SDC-202 2116
SDC-203 2117
SDC-204 2117
SDC-205 2118
SDC-210 2118
SDC-211 2119
SDC-212 2119
SDC-213 2120
SDC-215 2120
SDC-216 2121
SDC-217 2121
SDC-218 2122
SDC-220 2122
SDC-221 2123
SDC-222 2123
SDC-223 2124
SDC-224 2124
SDC-225 2125
SDC-226 2125
SDC-227 2126
SDC-228 2126
SDC-231 2127
SDC-234 2127
SDC-235 2128
SDC-240 2128
SDC-243 2129
SDC-245 2129
SDC Information Messages 2129
SDC-300 2130
SDC-301 2130
SDC Warning Messages 2130
SDC-23 2132
SDC-200 2132
SDC-201 2133
SDC-208 2133
SDC-209 2134
SDC-214 2134
SDC-219 2135
SDC-229 2135
SDC-230 2135
SDC-232 2136
SDC-233 2136
SDC-236 2137
SDC-238 2137
SDC-239 2138
SDC-241 2138
SDC-242 2139
SDC-244 2139
SDC-246 2140
SDC-247 2140
SDC-248 2141
SDC-249 2141
SDC-250 2142
SDC-251 2142
SDC-252 2143
92 2144
SDP 2144
SDP Error Messages 2144
SDP-1 2144
SDP-11 2145
SDP-12 2145
SDP-13 2146
SDP-14 2146
SDP-15 2147
SDP-20 2147
SDP-21 2148
SDP-30 2148
SDP-31 2149
SDP-32 2149
SDP-33 2150
SDP-34 2150
93 2151
ST 2151
ST Error Messages 2151
ST-129 2151
ST-133 2152
ST-137 2152
ST-138 2153
ST Information Messages 2153
ST-110 2154
ST-112 2154
ST-120 2155
ST-121 2155
ST-124 2156
ST-128 2156
ST-150 2156
ST-151 2157
ST-153 2157
ST Warning Messages 2158
ST-111 2159
ST-113 2159
ST-114 2159
ST-115 2160
ST-116 2161
ST-118 2161
ST-119 2162
ST-122 2162
ST-123 2163
ST-125 2163
ST-126 2164
ST-127 2164
ST-130 2164
ST-134 2165
ST-135 2165
ST-136 2166
ST-139 2166
ST-152 2167
ST-155 2167
94 2168
STAT 2168
STAT Error Messages 2168
STAT-1 2168
STAT-5 2169
STAT-8 2169
STAT-9 2170
STAT-10 2170
STAT-11 2171
STAT-15 2171
STAT-25 2172
STAT Information Messages 2172
STAT-2 2172
STAT-3 2173
STAT-4 2173
STAT-6 2173
STAT-7 2174
STAT-12 2174
95 2175
STRUCT 2175
STRUCT Error Messages 2175
STRUCT-120 2176
STRUCT-121 2176
STRUCT-122 2177
STRUCT-124 2177
STRUCT-125 2178
STRUCT-126 2178
STRUCT-128 2179
STRUCT-129 2179
STRUCT-130 2180
STRUCT-131 2180
STRUCT-132 2181
STRUCT-133 2181
STRUCT-135 2182
STRUCT-136 2182
STRUCT-137 2183
STRUCT-138 2183
STRUCT-139 2184
STRUCT-141 2184
STRUCT-143 2185
STRUCT-144 2185
STRUCT-145 2186
STRUCT-146 2186
STRUCT-148 2187
STRUCT-149 2187
STRUCT Information Messages 2187
STRUCT-100 2188
STRUCT-101 2189
STRUCT-102 2189
STRUCT-103 2189
STRUCT-104 2190
STRUCT-105 2190
STRUCT-106 2191
STRUCT-107 2191
STRUCT-108 2192
STRUCT-117 2192
STRUCT-150 2192
STRUCT Warning Messages 2193
STRUCT-110 2193
STRUCT-111 2194
STRUCT-112 2194
STRUCT-113 2194
STRUCT-114 2195
STRUCT-115 2195
STRUCT-116 2196
STRUCT-142 2196
STRUCT-147 2197
96 2198
SYNTH 2198
SYNTH Error Messages 2198
SYNTH-22 2198
SYNTH-25 2199
SYNTH-27 2199
SYNTH-28 2200
SYNTH-29 2200
SYNTH Information Messages 2200
SYNTH-1 2201
SYNTH-2 2202
SYNTH-3 2202
SYNTH-4 2202
SYNTH-5 2203
SYNTH-6 2203
SYNTH-7 2203
SYNTH-8 2204
SYNTH-9 2204
SYNTH-10 2204
SYNTH-11 2205
SYNTH-12 2205
SYNTH-13 2205
SYNTH-14 2206
SYNTH-15 2206
SYNTH-20 2206
SYNTH Warning Messages 2206
SYNTH-16 2207
SYNTH-21 2207
SYNTH-23 2208
SYNTH-26 2208
SYNTH-30 2208
97 2210
TCF 2210
TCF Error Messages 2210
TCF-1 2210
TCF-3 2211
TCF-9 2211
TCF-10 2212
TCF-12 2212
TCF-13 2213
TCF-17 2213
TCF-19 2214
TCF Warning Messages 2214
TCF-2 2215
TCF-4 2215
TCF-5 2215
TCF-6 2216
TCF-7 2216
TCF-8 2216
TCF-11 2217
TCF-14 2217
TCF-15 2218
TCF-16 2218
TCF-18 2219
98 2220
TIM 2220
TIM Error Messages 2220
TIM-30 2222
TIM-31 2222
TIM-32 2223
TIM-33 2223
TIM-103 2224
TIM-119 2224
TIM-122 2225
TIM-125 2225
TIM-127 2226
TIM-145 2226
TIM-233 2227
TIM-234 2227
TIM-235 2228
TIM-236 2228
TIM-290 2229
TIM-291 2229
TIM-295 2230
TIM-296 2230
TIM-302 2231
TIM-303 2231
TIM-305 2232
TIM-306 2232
TIM-310 2233
TIM-318 2233
TIM-319 2234
TIM-323 2234
TIM-324 2235
TIM-331 2235
TIM-335 2236
TIM-401 2236
TIM-403 2237
TIM-405 2237
TIM-407 2238
TIM-408 2238
TIM-409 2239
TIM-410 2239
TIM-411 2240
TIM-412 2240
TIM-413 2241
TIM-414 2241
TIM-415 2242
TIM-550 2242
TIM-600 2243
TIM-602 2243
TIM-1002 2244
TIM Information Messages 2244
TIM-44 2245
TIM-45 2245
TIM-92 2246
TIM-102 2246
TIM-167 2247
TIM-301 2247
TIM-304 2248
TIM-307 2248
TIM-308 2248
TIM-400 2249
TIM-406 2249
TIM-501 2250
TIM-502 2250
TIM-560 2251
TIM-1000 2251
TIM-1001 2251
TIM Warning Messages 2252
TIM-11 2253
TIM-20 2254
TIM-40 2254
TIM-41 2255
TIM-42 2255
TIM-43 2256
TIM-50 2256
TIM-87 2257
TIM-101 2257
TIM-104 2258
TIM-108 2258
TIM-118 2259
TIM-126 2259
TIM-129 2260
TIM-130 2260
TIM-136 2261
TIM-137 2261
TIM-139 2262
TIM-140 2262
TIM-293 2263
TIM-309 2263
TIM-311 2264
TIM-312 2264
TIM-314 2265
TIM-315 2265
TIM-316 2266
TIM-317 2266
TIM-328 2267
TIM-330 2267
TIM-332 2268
TIM-333 2268
TIM-334 2268
TIM-337 2269
TIM-402 2269
99 2271
TSY 2271
TSY Error Messages 2271
TSY-26 2273
TSY-32 2274
TSY-56 2274
TSY-57 2275
TSY-59 2275
TSY-60 2276
TSY-61 2276
TSY-63 2277
TSY-100 2277
TSY-101 2278
TSY-102 2278
TSY-103 2279
TSY-104 2279
TSY-105 2280
TSY-108 2280
TSY-109 2281
TSY-365 2281
TSY-366 2282
TSY-367 2282
TSY-368 2283
TSY-369 2283
TSY-370 2284
TSY-371 2284
TSY-372 2285
TSY-373 2285
TSY-374 2286
TSY-376 2286
TSY-377 2287
TSY-378 2287
TSY-379 2288
TSY-380 2288
TSY-381 2289
TSY-383 2289
TSY-384 2290
TSY-385 2290
TSY-386 2291
TSY-387 2291
TSY-388 2292
TSY-389 2292
TSY-390 2293
TSY-392 2293
TSY-393 2294
TSY-395 2294
TSY-400 2295
TSY-401 2295
TSY-460 2296
TSY-464 2296
TSY-465 2297
TSY-500 2297
TSY-501 2298
TSY-502 2298
TSY-503 2299
TSY-504 2299
TSY-505 2300
TSY-506 2300
TSY-559 2301
TSY-560 2301
TSY-900 2302
TSY Information Messages 2302
TSY-107 2303
TSY-110 2303
TSY-111 2304
TSY-812 2304
TSY-813 2305
TSY-814 2305
TSY Warning Messages 2306
TSY-37 2307
TSY-106 2307
TSY-382 2308
TSY-391 2308
TSY-394 2309
TSY-396 2309
TSY-402 2310
TSY-471 2310
TSY-472 2311
TSY-473 2311
TSY-474 2312
TSY-477 2312
TSY-478 2313
TSY-479 2313
TSY-482 2314
TSY-483 2314
TSY-484 2315
TSY-486 2316
TSY-487 2316
TSY-550 2317
TSY-589 2317
TSY-815 2318
100 2319
TUI 2319
TUI Error Messages 2319
TUI-6 2326
TUI-7 2327
TUI-8 2327
TUI-9 2328
TUI-10 2328
TUI-11 2329
TUI-12 2329
TUI-13 2330
TUI-14 2330
TUI-15 2331
TUI-16 2331
TUI-17 2332
TUI-18 2332
TUI-19 2333
TUI-20 2333
TUI-21 2334
TUI-22 2334
TUI-23 2335
TUI-24 2335
TUI-26 2336
TUI-27 2336
TUI-28 2337
TUI-33 2337
TUI-35 2338
TUI-36 2338
TUI-38 2339
TUI-39 2339
TUI-40 2340
TUI-41 2340
TUI-44 2341
TUI-45 2341
TUI-46 2342
TUI-47 2342
TUI-48 2343
TUI-49 2343
TUI-50 2344
TUI-52 2344
TUI-53 2345
TUI-55 2345
TUI-56 2346
TUI-60 2346
TUI-61 2347
TUI-62 2347
TUI-63 2348
TUI-64 2348
TUI-65 2349
TUI-66 2349
TUI-68 2350
TUI-71 2350
TUI-72 2351
TUI-73 2351
TUI-76 2352
TUI-80 2352
TUI-81 2353
TUI-84 2353
TUI-87 2354
TUI-88 2354
TUI-89 2355
TUI-90 2355
TUI-91 2356
TUI-95 2356
TUI-96 2357
TUI-97 2357
TUI-100 2358
TUI-101 2358
TUI-102 2359
TUI-112 2359
TUI-160 2360
TUI-170 2360
TUI-171 2361
TUI-172 2361
TUI-180 2362
TUI-181 2362
TUI-182 2363
TUI-183 2363
TUI-184 2364
TUI-185 2364
TUI-186 2365
TUI-187 2365
TUI-188 2366
TUI-189 2366
TUI-191 2367
TUI-192 2367
TUI-193 2368
TUI-194 2368
TUI-195 2369
TUI-196 2369
TUI-198 2370
TUI-199 2370
TUI-200 2371
TUI-201 2371
TUI-202 2372
TUI-203 2372
TUI-204 2373
TUI-206 2373
TUI-209 2374
TUI-210 2374
TUI-214 2375
TUI-219 2375
TUI-221 2376
TUI-222 2376
TUI-223 2377
TUI-225 2377
TUI-226 2378
TUI-227 2378
TUI-228 2379
TUI-229 2379
TUI-230 2380
TUI-231 2380
TUI-232 2381
TUI-233 2381
TUI-234 2382
TUI-235 2382
TUI-236 2383
TUI-238 2383
TUI-239 2384
TUI-240 2384
TUI-241 2385
TUI-242 2385
TUI-243 2386
TUI-244 2386
TUI-245 2387
TUI-248 2387
TUI-250 2388
TUI-251 2388
TUI-252 2389
TUI-254 2389
TUI-256 2390
TUI-257 2390
TUI-258 2391
TUI-259 2391
TUI-260 2392
TUI-261 2392
TUI-262 2393
TUI-263 2393
TUI-264 2394
TUI-267 2394
TUI-270 2395
TUI-271 2395
TUI-272 2396
TUI-274 2396
TUI-275 2397
TUI-282 2397
TUI-283 2398
TUI-285 2398
TUI-286 2399
TUI-289 2399
TUI-290 2400
TUI-291 2400
TUI-292 2401
TUI-293 2401
TUI-294 2402
TUI-300 2402
TUI-301 2403
TUI-303 2403
TUI-304 2404
TUI-309 2404
TUI-310 2405
TUI-312 2405
TUI-313 2406
TUI-315 2406
TUI-320 2407
TUI-321 2407
TUI-340 2408
TUI-393 2408
TUI-396 2408
TUI-397 2409
TUI-398 2409
TUI-500 2410
TUI-505 2410
TUI-506 2411
TUI-509 2411
TUI-600 2412
TUI-601 2412
TUI-603 2413
TUI-604 2413
TUI-608 2414
TUI-614 2414
TUI-615 2415
TUI-711 2415
TUI-713 2416
TUI-723 2416
TUI-724 2417
TUI-732 2417
TUI-736 2418
TUI-741 2418
TUI-742 2419
TUI-772 2419
TUI-786 2420
TUI-802 2420
TUI-892 2421
TUI-901 2421
TUI-902 2422
TUI-903 2422
TUI-904 2423
TUI-905 2423
TUI-906 2424
TUI-908 2424
TUI-909 2425
TUI Information Messages 2425
TUI-54 2427
TUI-58 2427
TUI-59 2428
TUI-69 2428
TUI-135 2429
TUI-173 2429
TUI-207 2430
TUI-212 2430
TUI-246 2431
TUI-247 2431
TUI-269 2432
TUI-280 2432
TUI-284 2433
TUI-287 2433
TUI-296 2434
TUI-297 2434
TUI-306 2435
TUI-307 2435
TUI-316 2435
TUI-391 2436
TUI-392 2436
TUI-401 2437
TUI-507 2437
TUI-508 2438
TUI-605 2438
TUI-710 2439
TUI-726 2439
TUI-737 2440
TUI-739 2440
TUI-740 2441
TUI-744 2441
TUI-745 2442
TUI-746 2442
TUI-747 2443
TUI-748 2443
TUI-852 2444
TUI-853 2444
TUI-856 2444
TUI-857 2445
TUI-858 2445
TUI-861 2445
TUI-862 2446
TUI-863 2446
TUI Warning Messages 2446
TUI-25 2450
TUI-29 2450
TUI-30 2451
TUI-31 2451
TUI-32 2452
TUI-34 2452
TUI-37 2452
TUI-42 2453
TUI-43 2453
TUI-51 2454
TUI-57 2454
TUI-67 2455
TUI-70 2455
TUI-74 2456
TUI-75 2456
TUI-77 2457
TUI-79 2457
TUI-82 2458
TUI-83 2458
TUI-92 2458
TUI-93 2459
TUI-94 2459
TUI-190 2460
TUI-197 2460
TUI-205 2461
TUI-211 2461
TUI-220 2461
TUI-224 2462
TUI-237 2462
TUI-249 2463
TUI-253 2463
TUI-255 2464
TUI-265 2464
TUI-266 2465
TUI-268 2465
TUI-273 2466
TUI-279 2466
TUI-281 2467
TUI-288 2467
TUI-295 2468
TUI-298 2468
TUI-299 2469
TUI-302 2469
TUI-305 2470
TUI-308 2470
TUI-394 2471
TUI-399 2471
TUI-400 2472
TUI-501 2472
TUI-502 2472
TUI-503 2473
TUI-504 2473
TUI-602 2473
TUI-607 2474
TUI-613 2474
TUI-666 2475
TUI-667 2475
TUI-668 2476
TUI-701 2476
TUI-719 2477
TUI-727 2477
TUI-728 2477
TUI-729 2478
TUI-738 2478
TUI-743 2479
TUI-771 2479
TUI-779 2480
TUI-780 2480
TUI-781 2481
TUI-782 2481
TUI-783 2482
TUI-784 2482
TUI-785 2483
TUI-791 2483
TUI-800 2483
TUI-801 2484
TUI-850 2484
TUI-851 2485
TUI-854 2485
TUI-855 2485
TUI-859 2486
TUI-881 2486
TUI-882 2486
TUI-883 2487
TUI-884 2487
TUI-886 2488
TUI-887 2488
TUI-888 2489
TUI-890 2489
TUI-891 2490
TUI-893 2490
TUI-900 2491
TUI-907 2491
TUI-910 2492
TUI-1000 2492
101 2493
UI 2493
UI Error Messages 2493
UI-511 2493
102 2494
UME 2494
UME Error Messages 2494
UME-1 2494
UME-2 2495
UME Warning Messages 2495
UME-3 2495
UME-4 2496
103 2497
UTUI 2497
UTUI Error Messages 2497
UTUI-101 2498
UTUI-111 2498
UTUI-112 2499
UTUI-120 2499
UTUI-138 2500
UTUI-206 2500
UTUI-211 2501
UTUI-212 2501
UTUI-213 2502
UTUI-214 2502
UTUI-215 2503
UTUI-216 2503
UTUI-220 2504
UTUI-221 2504
UTUI-222 2505
UTUI Information Messages 2505
UTUI-119 2506
UTUI-122 2506
UTUI-125 2507
UTUI-130 2507
UTUI-132 2508
UTUI-133 2508
UTUI-143 2508
UTUI-201 2509
UTUI-202 2509
UTUI-203 2510
UTUI-207 2510
UTUI-210 2511
UTUI-217 2511
UTUI-224 2511
UTUI Warning Messages 2512
UTUI-100 2513
UTUI-102 2513
UTUI-103 2514
UTUI-114 2514
UTUI-115 2515
UTUI-116 2515
UTUI-117 2516
UTUI-118 2516
UTUI-121 2517
UTUI-127 2517
UTUI-128 2518
UTUI-129 2518
UTUI-131 2519
UTUI-134 2519
UTUI-135 2520
UTUI-136 2520
UTUI-137 2520
UTUI-139 2521
UTUI-140 2521
UTUI-141 2521
UTUI-142 2522
UTUI-144 2522
UTUI-145 2522
UTUI-146 2523
UTUI-204 2523
UTUI-205 2523
UTUI-208 2524
UTUI-209 2524
UTUI-218 2525
UTUI-219 2525
UTUI-223 2525
104 2526
VCD 2526
VCD Error Messages 2526
VCD-1 2527
VCD-2 2527
VCD-3 2528
VCD-7 2528
VCD-8 2529
VCD-9 2529
VCD-10 2530
VCD-11 2530
VCD-12 2531
VCD-13 2531
VCD-15 2532
VCD-17 2532
VCD-22 2533
VCD-23 2533
VCD-24 2534
VCD-30 2534
VCD Information Messages 2534
VCD-16 2535
VCD-18 2535
VCD-19 2536
VCD-20 2536
VCD-21 2537
VCD Warning Messages 2537
VCD-4 2538
VCD-5 2538
VCD-6 2539
VCD-14 2539
VCD-25 2540
VCD-26 2540
VCD-27 2541
VCD-28 2541
VCD-29 2542
105 2543
VHDL 2543
VHDL Error Messages 2543
VHDL-111 2545
VHDL-112 2545
VHDL-114 2546
VHDL-204 2546
VHDL-208 2547
VHDL-210 2547
VHDL-211 2548
VHDL-214 2548
VHDL-216 2549
VHDL-219 2549
VHDL-228 2550
VHDL-312 2550
VHDL-412 2551
VHDL-413 2551
VHDL-414 2552
VHDL-415 2552
VHDL-416 2553
VHDL-417 2553
VHDL-512 2554
VHDL-516 2554
VHDL-600 2555
VHDL-601 2555
VHDL-602 2556
VHDL-603 2556
VHDL-606 2557
VHDL-607 2557
VHDL-608 2558
VHDL-609 2558
VHDL-610 2559
VHDL-613 2559
VHDL-614 2560
VHDL-615 2560
VHDL-617 2561
VHDL-620 2561
VHDL-630 2562
VHDL-631 2562
VHDL-633 2563
VHDL-634 2563
VHDL-635 2564
VHDL-636 2564
VHDL-638 2565
VHDL-647 2565
VHDL-652 2566
VHDL-656 2566
VHDL-658 2567
VHDL-665 2567
VHDL-669 2568
VHDL-673 2568
VHDL-676 2569
VHDLPT-511 2595
VHDLPT-513 2595
VHDLPT-516 2596
VHDLPT-517 2596
VHDLPT-519 2597
VHDLPT-521 2597
VHDLPT-526 2598
VHDLPT-527 2598
VHDLPT-528 2599
VHDLPT-529 2599
VHDLPT-530 2600
VHDLPT-531 2600
VHDLPT-533 2601
VHDLPT-534 2601
VHDLPT-535 2602
VHDLPT-536 2602
VHDLPT-537 2603
VHDLPT-538 2603
VHDLPT-539 2604
VHDLPT-540 2604
VHDLPT-541 2605
VHDLPT-542 2605
VHDLPT-543 2606
VHDLPT-545 2606
VHDLPT-546 2607
VHDLPT-547 2607
VHDLPT-549 2608
VHDLPT-550 2608
VHDLPT-551 2609
VHDLPT-552 2609
VHDLPT-553 2610
VHDLPT-554 2610
VHDLPT-555 2611
VHDLPT-556 2611
VHDLPT-557 2612
VHDLPT-558 2612
VHDLPT-559 2613
VHDLPT-560 2613
VHDLPT-561 2614
VHDLPT-562 2614
VHDLPT-563 2615
VHDLPT-564 2615
VHDLPT-565 2616
VHDLPT-566 2616
VHDLPT-567 2617
VHDLPT-568 2617
VHDLPT-569 2618
VHDLPT-570 2618
VHDLPT-571 2619
VHDLPT-572 2619
VHDLPT-573 2620
VHDLPT-574 2620
VHDLPT-575 2621
VHDLPT-576 2621
VHDLPT-577 2622
VHDLPT-578 2622
VHDLPT-579 2623
VHDLPT-580 2623
VHDLPT-581 2624
VHDLPT-582 2624
VHDLPT-583 2625
VHDLPT-584 2625
VHDLPT-585 2626
VHDLPT-586 2626
VHDLPT-587 2627
VHDLPT-589 2627
VHDLPT-590 2628
VHDLPT-591 2628
VHDLPT-592 2629
VHDLPT-593 2629
VHDLPT-594 2630
VHDLPT-595 2630
VHDLPT-596 2631
VHDLPT-597 2631
VHDLPT-598 2632
VHDLPT-599 2632
VHDLPT-600 2633
VHDLPT-601 2633
VHDLPT-602 2634
VHDLPT-603 2634
VHDLPT-605 2635
VHDLPT-606 2635
VHDLPT-607 2636
VHDLPT-608 2636
VHDLPT-609 2637
VHDLPT-610 2637
VHDLPT-611 2638
VHDLPT-612 2638
VHDLPT-613 2639
VHDLPT-614 2639
VHDLPT-615 2640
VHDLPT-616 2640
VHDLPT-617 2641
VHDLPT-618 2641
VHDLPT-619 2642
VHDLPT-620 2642
VHDLPT-622 2643
VHDLPT-623 2643
VHDLPT-624 2644
VHDLPT-626 2644
VHDLPT-627 2645
VHDLPT-628 2645
VHDLPT-629 2646
VHDLPT-630 2646
VHDLPT-632 2647
VHDLPT-633 2647
VHDLPT-634 2648
VHDLPT-635 2648
VHDLPT-636 2649
VHDLPT-637 2649
VHDLPT-638 2650
VHDLPT-639 2650
VHDLPT-640 2651
VHDLPT-641 2651
VHDLPT-642 2652
VHDLPT-643 2652
VHDLPT-644 2653
VHDLPT-645 2653
VHDLPT-647 2654
VHDLPT-648 2654
VHDLPT-650 2655
VHDLPT-652 2655
VHDLPT-653 2656
VHDLPT-654 2656
VHDLPT-655 2657
VHDLPT-656 2657
VHDLPT-657 2658
VHDLPT-658 2658
VHDLPT-659 2659
VHDLPT-660 2659
VHDLPT-662 2660
VHDLPT-664 2660
VHDLPT-665 2661
VHDLPT-666 2661
VHDLPT-667 2662
VHDLPT-669 2662
VHDLPT-670 2663
VHDLPT-671 2663
VHDLPT-672 2664
VHDLPT-673 2664
VHDLPT-674 2665
VHDLPT-675 2665
VHDLPT-676 2666
VHDLPT-677 2666
VHDLPT-678 2667
VHDLPT-679 2667
VHDLPT-681 2668
VHDLPT-682 2668
VHDLPT-683 2669
VHDLPT-684 2669
VHDLPT-685 2670
VHDLPT-686 2670
VHDLPT-687 2671
VHDLPT-688 2671
VHDLPT-689 2672
VHDLPT-690 2672
VHDLPT-691 2673
VHDLPT-692 2673
VHDLPT-693 2674
VHDLPT-694 2674
VHDLPT-695 2675
VHDLPT-696 2675
VHDLPT-697 2676
VHDLPT-698 2676
VHDLPT-699 2677
VHDLPT-700 2677
VHDLPT-701 2678
VHDLPT-702 2678
VHDLPT-703 2679
VHDLPT-705 2679
VHDLPT-706 2680
VHDLPT-707 2680
VHDLPT-708 2681
VHDLPT-709 2681
VHDLPT-710 2682
VHDLPT-711 2682
VHDLPT-712 2683
VHDLPT-713 2683
VHDLPT-714 2684
VHDLPT-716 2684
VHDLPT-717 2685
VHDLPT-718 2685
VHDLPT-719 2686
VHDLPT-720 2686
VHDLPT-721 2687
VHDLPT-722 2687
VHDLPT-723 2688
VHDLPT-724 2688
VHDLPT-725 2689
VHDLPT-726 2689
VHDLPT-727 2690
VHDLPT-728 2690
VHDLPT-729 2691
VHDLPT-730 2691
VHDLPT-731 2692
VHDLPT-732 2692
VHDLPT-733 2693
VHDLPT-734 2693
VHDLPT-735 2694
VHDLPT-736 2694
VHDLPT-737 2695
VHDLPT-739 2695
VHDLPT-740 2696
VHDLPT-741 2696
VHDLPT-742 2697
VHDLPT-743 2697
VHDLPT-744 2698
VHDLPT-745 2698
VHDLPT-746 2699
VHDLPT-747 2699
VHDLPT-748 2700
VHDLPT-749 2700
VHDLPT-750 2701
VHDLPT-751 2701
VHDLPT-752 2702
VHDLPT-753 2702
VHDLPT-755 2703
VHDLPT-757 2703
VHDLPT-759 2704
VHDLPT-760 2704
VHDLPT-761 2705
VHDLPT-762 2705
VHDLPT-763 2706
VHDLPT-764 2706
VHDLPT-765 2707
VHDLPT-766 2707
VHDLPT-767 2708
VHDLPT-768 2708
VHDLPT-769 2709
VHDLPT-770 2709
VHDLPT-771 2710
VHDLPT-772 2710
VHDLPT-773 2711
VHDLPT-775 2711
VHDLPT-776 2712
VHDLPT-777 2712
VHDLPT-778 2713
VHDLPT-779 2713
VHDLPT-780 2714
VHDLPT-781 2714
VHDLPT-782 2715
VHDLPT-783 2715
VHDLPT-784 2716
VHDLPT-785 2716
VHDLPT-786 2717
VHDLPT-789 2717
VHDLPT-790 2718
VHDLPT-791 2718
VHDLPT-792 2719
VHDLPT-793 2719
VHDLPT-794 2720
VHDLPT-795 2720
VHDLPT-796 2721
VHDLPT-798 2721
VHDLPT-803 2722
VHDLPT-804 2722
VHDLPT-806 2723
VHDLPT-809 2723
VHDLPT Information Messages 2723
VHDLPT-506 2724
VHDLPT-507 2724
VHDLPT-508 2725
VHDLPT-509 2725
VHDLPT-510 2725
VHDLPT-514 2726
VHDLPT-515 2726
VHDLPT-525 2726
VHDLPT Warning Messages 2726
VHDLPT-500 2728
VHDLPT-512 2728
VHDLPT-518 2729
VHDLPT-522 2729
VHDLPT-523 2729
VHDLPT-532 2730
VHDLPT-544 2730
VHDLPT-548 2730
VHDLPT-588 2731
VHDLPT-604 2731
VHDLPT-631 2732
VHDLPT-646 2732
VHDLPT-649 2732
VHDLPT-651 2733
VHDLPT-661 2733
VHDLPT-663 2733
VHDLPT-668 2734
VHDLPT-680 2734
VHDLPT-704 2735
VHDLPT-715 2735
VHDLPT-738 2736
VHDLPT-754 2736
VHDLPT-756 2737
VHDLPT-758 2737
VHDLPT-774 2738
VHDLPT-787 2738
VHDLPT-788 2738
VHDLPT-797 2739
VHDLPT-799 2739
VHDLPT-800 2740
VHDLPT-801 2740
VHDLPT-802 2741
VHDLPT-805 2741
VHDLPT-807 2742
VHDLPT-808 2742
VHDLPT-810 2742
107 2743
VIAP 2743
VIAP Error Messages 2743
VIAP-1 2743
VIAP-2 2744
VIAP-3 2744
VIAP-4 2745
VIAP-5 2745
VIAP-6 2746
VIAP Warning Messages 2746
VIAP-7 2746
VIAP-8 2747
108 2748
VLOGPT 2748
VLOGPT Error Messages 2748
VLOGPT-1 2753
VLOGPT-3 2754
VLOGPT-5 2754
VLOGPT-7 2755
VLOGPT-8 2755
VLOGPT-9 2756
VLOGPT-11 2756
VLOGPT-15 2757
VLOGPT-17 2757
VLOGPT-19 2758
VLOGPT-20 2758
VLOGPT-22 2759
VLOGPT-23 2760
VLOGPT-25 2760
VLOGPT-26 2761
VLOGPT-28 2761
VLOGPT-29 2762
VLOGPT-30 2762
VLOGPT-32 2763
VLOGPT-34 2763
VLOGPT-36 2764
VLOGPT-39 2764
VLOGPT-41 2765
VLOGPT-42 2765
VLOGPT-45 2766
VLOGPT-47 2766
VLOGPT-50 2767
VLOGPT-53 2767
VLOGPT-56 2768
VLOGPT-57 2768
VLOGPT-58 2769
VLOGPT-59 2769
VLOGPT-60 2770
VLOGPT-61 2770
VLOGPT-62 2771
VLOGPT-63 2771
VLOGPT-64 2772
VLOGPT-65 2772
VLOGPT-66 2773
VLOGPT-67 2773
VLOGPT-68 2774
VLOGPT-69 2774
VLOGPT-70 2775
VLOGPT-71 2775
VLOGPT-72 2776
VLOGPT-73 2776
VLOGPT-74 2777
VLOGPT-75 2777
VLOGPT-77 2778
VLOGPT-78 2778
VLOGPT-79 2779
VLOGPT-80 2779
VLOGPT-81 2780
VLOGPT-82 2780
VLOGPT-83 2781
VLOGPT-84 2781
VLOGPT-85 2782
VLOGPT-86 2782
VLOGPT-87 2783
VLOGPT-88 2783
VLOGPT-89 2784
VLOGPT-90 2784
VLOGPT-91 2785
VLOGPT-92 2785
VLOGPT-93 2786
VLOGPT-94 2786
VLOGPT-95 2787
VLOGPT-96 2787
VLOGPT-97 2788
VLOGPT-98 2788
VLOGPT-99 2789
VLOGPT-100 2789
VLOGPT-101 2790
VLOGPT-102 2790
VLOGPT-104 2791
VLOGPT-108 2791
VLOGPT-109 2792
VLOGPT-110 2792
VLOGPT-113 2793
VLOGPT-114 2793
VLOGPT-116 2794
VLOGPT-117 2794
VLOGPT-118 2795
VLOGPT-119 2795
VLOGPT-120 2796
VLOGPT-121 2796
VLOGPT-123 2797
VLOGPT-124 2797
VLOGPT-125 2798
VLOGPT-201 2798
VLOGPT-203 2799
VLOGPT-204 2799
VLOGPT-205 2800
VLOGPT-206 2800
VLOGPT-207 2801
VLOGPT-208 2801
VLOGPT-211 2802
VLOGPT-212 2802
VLOGPT-213 2803
VLOGPT-321 2803
VLOGPT-414 2804
VLOGPT-424 2804
VLOGPT-426 2805
VLOGPT-429 2805
VLOGPT-430 2806
VLOGPT-432 2806
VLOGPT-433 2807
VLOGPT-435 2807
VLOGPT-436 2808
VLOGPT-437 2808
VLOGPT-501 2809
VLOGPT-505 2809
VLOGPT-600 2810
VLOGPT-631 2810
VLOGPT-632 2811
VLOGPT-633 2811
VLOGPT-634 2812
VLOGPT-636 2812
VLOGPT-637 2813
VLOGPT-638 2813
VLOGPT-639 2814
VLOGPT-640 2814
VLOGPT-641 2815
VLOGPT-642 2815
VLOGPT-644 2816
VLOGPT-645 2816
VLOGPT-648 2817
VLOGPT-651 2817
VLOGPT-653 2818
VLOGPT-654 2818
VLOGPT-655 2819
VLOGPT-666 2819
VLOGPT-667 2820
VLOGPT-668 2820
VLOGPT-669 2821
VLOGPT-671 2821
VLOGPT-672 2822
VLOGPT-680 2822
VLOGPT-682 2823
VLOGPT-685 2823
VLOGPT-686 2824
VLOGPT Information Messages 2824
VLOGPT-657 2824
VLOGPT-673 2825
VLOGPT-674 2825
VLOGPT-676 2825
VLOGPT-678 2826
VLOGPT-679 2826
VLOGPT Warning Messages 2827
VLOGPT-2 2829
VLOGPT-6 2830
VLOGPT-12 2830
VLOGPT-13 2831
VLOGPT-14 2831
VLOGPT-16 2831
VLOGPT-18 2832
VLOGPT-21 2832
VLOGPT-24 2833
VLOGPT-27 2833
VLOGPT-31 2834
VLOGPT-33 2834
VLOGPT-35 2834
VLOGPT-37 2835
VLOGPT-38 2835
VLOGPT-40 2836
VLOGPT-43 2836
VLOGPT-44 2837
VLOGPT-46 2837
VLOGPT-51 2838
VLOGPT-52 2838
VLOGPT-76 2838
VLOGPT-103 2839
VLOGPT-105 2839
VLOGPT-106 2840
VLOGPT-107 2840
VLOGPT-111 2840
VLOGPT-112 2841
VLOGPT-115 2841
VLOGPT-122 2842
VLOGPT-209 2842
VLOGPT-210 2843
VLOGPT-214 2843
VLOGPT-412 2844
VLOGPT-413 2844
VLOGPT-415 2845
VLOGPT-416 2845
VLOGPT-417 2845
VLOGPT-418 2846
VLOGPT-419 2846
VLOGPT-425 2847
VLOGPT-431 2847
VLOGPT-434 2848
VLOGPT-438 2848
VLOGPT-502 2849
VLOGPT-503 2849
VLOGPT-504 2850
VLOGPT-506 2850
VLOGPT-507 2851
VLOGPT-601 2851
VLOGPT-612 2851
VLOGPT-643 2852
VLOGPT-646 2852
VLOGPT-647 2853
VLOGPT-650 2853
VLOGPT-652 2854
VLOGPT-656 2854
VLOGPT-658 2855
VLOGPT-659 2855
VLOGPT-660 2856
VLOGPT-661 2856
VLOGPT-662 2857
VLOGPT-663 2857
VLOGPT-664 2857
VLOGPT-665 2858
VLOGPT-670 2858
VLOGPT-675 2859
VLOGPT-677 2859
VLOGPT-681 2859
VLOGPT-683 2860
VLOGPT-684 2860
109 2861
VRO 2861
VRO Error Messages 2861
VRO-10 2861
VRO-11 2862
VRO-12 2862
VRO-15 2863
VRO-16 2863
VRO-21 2864
VRO Warning Messages 2864
VRO-14 2864
VRO-17 2865
VRO-18 2865
VRO-19 2866
VRO-20 2866
110 2868
VTIM 2868
VTIM Error Messages 2868
VTIM-100 2868
VTIM-101 2869
VTIM-105 2869
VTIM-106 2870
VTIM-107 2870
VTIM Information Messages 2870
VTIM-104 2871
VTIM-108 2871
VTIM Warning Messages 2871
VTIM-102 2872
VTIM-103 2872
111 2873
WDO 2873
WDO Error Messages 2873
WDO-101 2874
WDO-102 2874
WDO-103 2875
WDO-104 2875
WDO-206 2876
WDO-221 2876
WDO-223 2877
WDO-301 2877
WDO-302 2878
WDO-303 2878
WDO-304 2879
WDO-305 2879
WDO-306 2880
WDO-307 2880
WDO-402 2881
WDO-406 2881
WDO-653 2882
WDO Information Messages 2882
WDO-112 2882
WDO-211 2883
WDO-212 2883
WDO-222 2884
WDO-308 2884
WDO-501 2885
WDO-600 2885
WDO Warning Messages 2885
WDO-105 2886
WDO-106 2887
WDO-107 2887
WDO-108 2887
WDO-109 2888
WDO-110 2888
WDO-111 2889
WDO-121 2889
WDO-122 2890
WDO-201 2890
WDO-202 2891
WDO-205 2891
WDO-207 2892
WDO-208 2892
WDO-209 2893
WDO-210 2893
WDO-213 2893
WDO-214 2894
WDO-401 2894
WDO-403 2895
WDO-404 2895
WDO-405 2896
WDO-407 2896
WDO-650 2897
WDO-651 2897
WDO-652 2897
112 2898
WETT 2898
WMT-5 2914
WMT-6 2914
WMT-10 2915
WMT-11 2915
WMT-12 2915
WMT-13 2916
WMT-14 2916
WMT-15 2916
WMT-16 2917
WMT-17 2917
WMT-18 2917
WMT-19 2918
WMT Warning Messages 2918
WMT-100 2918
WMT-101 2919
WMT-102 2919
WMT-103 2920
WMT-104 2920
WMT-105 2921
WMT-106 2921
WMT-107 2922
115 2923
WRTV 2923
WRTV Error Messages 2923
WRTV-1 2923
WRTV-2 2924
WRTV-3 2924
WRTV-4 2925
WRTV-5 2925
116 2926
WSDF 2926
WSDF Error Messages 2926
WSDF-101 2926
WSDF-102 2927
WSDF-103 2927
WSDF Warning Messages 2927
WSDF-104 2928
WSDF-201 2928
117 2929
WT 2929
WT Error Messages 2929
WT-1 2929
WT-2 2930
WT-101 2930
WT-102 2931
WT-103 2931
118 2932
XORCG 2932
XORCG Error Messages 2932
XORCG-106 2932
XORCG Warning Messages 2932
XORCG-102 2933
XORCG-103 2933
XORCG-104 2934
XORCG-105 2934
XORCG-107 2934
1801
1801-1
Severity
Error
Description
Error in 1801 file.
What's Next
Fix the error and read the file again. For more information on the syntax of commands, refer to the
1801 command reference.
1801-2
Severity
Error
Description
Detected error in 1801 file.
What's Next
Update the 1801 file appropriately and restart the session with fixed 1801 file.
1801-3
Severity
Error
Description
Detected unsupported scenario in incremental flow.
What's Next
The specified command or option or scenario is unsupported in incremental flow.
1801-98
Severity
Error
Description
1801 linter detected error in 1801 file.
What's Next
Fix the error and read the 1801 power intent file again. For more information on the syntax of
commands, refer to the 1801 command reference.
1801-700
Severity
Info
Description
A command or option is ignored in black box.
What's Next
The specified command or option is not actionable inside a black box and ignored.
1801-900
Severity
Info
Description
A power object was not written out.
What's Next
The specified object is redundant or incomplete with respect to 1801 semantics. Refer to 1801
reference manual for more information.
1801-99
Severity
Warning
Description
Potential problem while applying power intent of 1801 file.
What's Next
Check the power intent. If the scenario is expected, this message can be ignored.
1801-100
Severity
Warning
Description
The specified scenario is currently not supported.
What's Next
The power intent of design may not be correct.
1801-199
Severity
Warning
Description
1801 linter detected a potential problem in 1801 power intent file.
What's Next
The power intent specification may be incomplete or incorrect.
ANALYSIS
ANALYSIS-100
Severity
Error
Description
Analysis error.
What's Next
Analysis has encountered something unexpected.
ANALYSIS-102
Severity
Info
Description
Analysis information.
What's Next
Analysis information message.
ANALYSIS-101
Severity
Warning
Description
Analysis warning.
What's Next
Analysis has encountered something unexpected.
ANALYSIS-201
Severity
Warning
Description
Unit delays corrupt the timing database.
What's Next
Unit delay annotate_unit has applied unit delays, and the timing database is not usable for
optimization.
ANALYSIS-202
Severity
Warning
Description
Low unit delay annotation.
What's Next
Unit delay annotate_unit has low cell annotation. This can cause errors in the unit delay reports.
ANALYSIS-203
Severity
Warning
Description
Unit delay annotation has not been done.
What's Next
Unit delay annotate_unit has not yet been run.
ATTR
ATTR-101
Severity
Info
Description
Setting attribute.
ATTR-102
Severity
Info
Description
Resetting attribute.
CDFG
CDFG-114
CDFG-122
CDFG-123
CDFG-124
CDFG-126
CDFG-128
CDFG-129
CDFG-130
CDFG-131
CDFG-138
CDFG-144
CDFG-159
CDFG-160
CDFG-161
CDFG-162
CDFG-163
CDFG-164
CDFG-165
CDFG-167
CDFG-168
CDFG-170
CDFG-171
CDFG-172
CDFG-173
CDFG-178
CDFG-179
CDFG-180
CDFG-181
CDFG-182
CDFG-183
CDFG-184
CDFG-200
CDFG-201
CDFG-203
CDFG-204
CDFG-205
CDFG-206
CDFG-207
CDFG-209
CDFG-210
CDFG-211
CDFG-212
CDFG-213
CDFG-214
CDFG-215
CDFG-216
CDFG-218
CDFG-219
CDFG-220
CDFG-221
CDFG-222
CDFG-224
CDFG-226
CDFG-227
CDFG-228
CDFG-229
CDFG-230
CDFG-231
CDFG-232
CDFG-233
CDFG-234
CDFG-235
CDFG-238
CDFG-240
CDFG-241
CDFG-242
CDFG-244
CDFG-245
CDFG-246
CDFG-247
CDFG-249
CDFG-251
CDFG-252
CDFG-253
CDFG-254
CDFG-255
CDFG-256
CDFG-257
CDFG-258
CDFG-259
CDFG-260
CDFG-261
CDFG-262
CDFG-263
CDFG-264
CDFG-266
CDFG-267
CDFG-268
CDFG-269
CDFG-270
CDFG-271
CDFG-272
CDFG-273
CDFG-274
CDFG-275
CDFG-276
CDFG-278
CDFG-280
CDFG-283
CDFG-288
CDFG-294
CDFG-298
CDFG-299
CDFG-307
CDFG-320
CDFG-321
CDFG-323
CDFG-324
CDFG-326
CDFG-333
CDFG-336
CDFG-351
CDFG-352
CDFG-355
CDFG-356
CDFG-364
CDFG-369
CDFG-400
CDFG-403
CDFG-404
CDFG-405
CDFG-406
CDFG-407
CDFG-408
CDFG-411
CDFG-412
CDFG-413
CDFG-414
CDFG-415
CDFG-429
CDFG-430
CDFG-431
CDFG-432
CDFG-433
CDFG-434
CDFG-435
CDFG-437
CDFG-438
CDFG-439
CDFG-442
CDFG-443
CDFG-444
CDFG-445
CDFG-446
CDFG-447
CDFG-450
CDFG-451
CDFG-452
CDFG-453
CDFG-454
CDFG-455
CDFG-457
CDFG-460
CDFG-461
CDFG-462
CDFG-463
CDFG-486
CDFG-510
CDFG-550
CDFG-551
CDFG-553
CDFG-554
CDFG-557
CDFG-561
CDFG-601
CDFG-607
CDFG-608
CDFG-609
CDFG-810
CDFG-813
CDFG-816
CDFG-817
CDFG-821
CDFG-822
CDFG-823
CDFG-824
CDFG-826
CDFG-828
CDFG-829
CDFG-830
CDFG-892
CDFG-901
CDFG-903
CDFG-904
CDFG-905
CDFG-906
CDFG-907
CDFG-908
CDFG-909
CDFG-912
CDFG-913
CDFG-1
Severity
Error
Description
Unexpected NULL handle.
What's Next
Error during elaboration.
CDFG-2
Severity
Error
Description
Unexpected NULL string.
What's Next
Error during elaboration.
CDFG-3
Severity
Error
Description
Unexpected NULL pointer.
What's Next
Error during elaboration.
CDFG-10
Severity
Error
Description
Fatal error.
What's Next
Error during elaboration.
CDFG-100
Severity
Error
Description
Reals are not supported.
What's Next
Error during elaboration.
CDFG-101
Severity
Error
Description
'(Min:typ:max)' expressions are not supported.
What's Next
Error during elaboration.
CDFG-102
Severity
Error
Description
References or assignments to floating point variables are not supported.
What's Next
Error during elaboration.
CDFG-103
Severity
Error
Description
Unimplemented feature.
What's Next
Error during elaboration.
CDFG-104
Severity
Error
Description
Arrays with more than 2 dimensions are not supported.
What's Next
Error during elaboration.
CDFG-105
Severity
Error
Description
Unsupported use of VHDL 'event' construct.
What's Next
See the 'Modeling Flip-Flops' section in the 'HDL Modeling Guide' for examples of supported uses
of the VHDL 'event' construct.
CDFG-106
Severity
Error
Description
Unsupported use of Verilog 'posedge' or 'negedge' construct.
What's Next
See the 'Modeling Flip-Flops' section in the 'HDL Modeling Guide' for examples of supported
modeling styles.
CDFG-107
Severity
Error
Description
Unsupported use of VHDL External Name construct.
What's Next
Error during elaboration.
CDFG-108
Severity
Error
Description
Wait statement (VHDL) not supported for this release.
What's Next
Error during elaboration.
CDFG-110
Severity
Error
Description
Unsupported resolution function.
What's Next
Error during elaboration.
CDFG-111
Severity
Error
Description
Unsupported assignment to loop index.
What's Next
The variable was used to index a 'for' loop and then assigned within the loop itself, which is not
allowed. Remove the assignment from the loop or use a different variable to index the loop.
CDFG-112
Severity
Error
Description
Prefix for multi-concatenations must be constant valued.
What's Next
The prefix expression of a multi-concatenation must evaluate to a positive constant at compile time.
CDFG-113
Severity
Error
Description
Unsupported assignment to a variable on a FALSE branch of an if edge statement.
What's Next
Error during elaboration.
CDFG-114
Severity
Error
Description
Unsupported use of multiple clock edges in one process or block.
What's Next
Error during elaboration.
CDFG-122
Severity
Error
Description
Attribute must be constant valued.
What's Next
The attribute expression must evaluate to a constant at compile time.
CDFG-123
Severity
Error
Description
Multi-dimensional array is not supported for port declarations.
What's Next
Error during elaboration.
CDFG-124
Severity
Error
Description
Global reference to multi-dimensional array is not supported in subprogram.
What's Next
Error during elaboration.
CDFG-126
Severity
Error
Description
Unsupported construct.
What's Next
See the 'Synthesizing VHDL Designs' chapter in the HDL Modeling Guide for a list of supported
VHDL constructs.
CDFG-128
Severity
Error
Description
Unsupported division: numerator or denominator is less than 2 bits wide.
What's Next
Error during elaboration.
CDFG-129
Severity
Error
Description
Unsupported exponentiation.
What's Next
Only the following styles of exponentiation are supported:
CDFG-130
Severity
Error
Description
Illegal Assignment Pattern.
What's Next
Error during elaboration.
CDFG-131
Severity
Error
Description
Illegal assignment to constant.
What's Next
A constant value cannot be the target of an assignment or be connected to an output or an inout
port.
CDFG-138
Severity
Error
Description
Redeclaration of port with inconsistent bounds.
What's Next
Error during elaboration.
CDFG-144
Severity
Error
Description
Illegal return_port_name pragma on task.
What's Next
Error during elaboration.
CDFG-159
Severity
Error
Description
Illegal target type.
What's Next
Specify a valid target for the assignment and reload the design.
CDFG-160
Severity
Error
Description
Unknown expression type.
What's Next
Error during elaboration.
CDFG-161
Severity
Error
Description
Illegal port association.
What's Next
Output and inout ports of instantiated modules and functions can be connected to variables, bit-
selects, part-selects, or concatenations.
CDFG-162
Severity
Error
Description
Unknown concurrent statement.
What's Next
Error during elaboration.
CDFG-163
Severity
Error
Description
Unknown declaration.
What's Next
Error during elaboration.
CDFG-164
Severity
Error
Description
Unknown binary operator.
What's Next
Error during elaboration.
CDFG-165
Severity
Error
Description
Unknown unary operator '%s'.
What's Next
Error during elaboration.
CDFG-167
Severity
Error
Description
Unknown resolution function.
What's Next
Error during elaboration.
CDFG-168
Severity
Error
Description
Unknown statement.
What's Next
Error during elaboration.
CDFG-170
Severity
Error
Description
Unknown case item type.
What's Next
Error during elaboration.
CDFG-171
Severity
Error
Description
Unknown case type.
What's Next
Error during elaboration.
CDFG-172
Severity
Error
Description
Unknown primitive type.
What's Next
Error during elaboration.
CDFG-173
Severity
Error
Description
Unknown builtin operator.
What's Next
Error during elaboration.
CDFG-178
Severity
Error
Description
Real value cannot be rounded to nearest integral value.
What's Next
Error during elaboration.
CDFG-179
Severity
Error
Description
Illegal use of real type in packed declaration.
What's Next
A real data type may not be used in the declaration of a packed struct or union.
CDFG-180
Severity
Error
Description
Illegal use of unpacked type in packed declaration.
What's Next
An unpacked data type may not be used in the declaration of a packed struct, union, or array.
CDFG-181
Severity
Error
Description
Element width mismatch in packed union.
What's Next
All the elements of a packed union must have the same width.
CDFG-182
Severity
Error
Description
Incompatible array element type.
What's Next
An expression in an array must be castable to the type of the array element.
CDFG-183
Severity
Error
Description
Incompatible struct element type.
What's Next
An expression in a struct must be castable to the type of the corresponding struct element.
CDFG-184
Severity
Error
Description
Illegal index or slice of unpacked struct.
What's Next
An unpacked struct type may not be indexed or sliced. Perhaps the struct type should be declared
packed.
CDFG-200
Severity
Error
Description
Could not resolve complex expression.
What's Next
Error during elaboration.
CDFG-201
Severity
Error
Description
Could not find hierarchical name.
What's Next
Error during elaboration.
CDFG-203
Severity
Error
Description
Could not find function.
What's Next
Error during elaboration.
CDFG-204
Severity
Error
Description
Could not find subprogram.
What's Next
Error during elaboration.
CDFG-205
Severity
Error
Description
Could not find return value for function.
What's Next
Error during elaboration.
CDFG-206
Severity
Error
Description
Could not find instance reference.
What's Next
Error during elaboration.
CDFG-207
Severity
Error
Description
Could not find clock signal.
What's Next
Error during elaboration.
CDFG-209
Severity
Error
Description
Could not find a pin.
What's Next
Ensure that the desired pin is specified correctly in the HDL.
CDFG-210
Severity
Error
Description
Could not find an HDL design.
What's Next
This error may happen if you read a set of files, and you try to elaborate a design which description
is not part of the files read. To fix this, check the name of the design you want to elaborate, or check
if you have read all the expected RTL files. .nf For example, let us say we have two files, top.v and
subM.v. top.v instantiates subM and contains this: module top1 (A, B, sen, si, so, clk, Q); parameter
size = 3 ; input clk, sen, si, so; input [size-1:0] A, B; output [size:0] Q; wire [size:0] Q; subM #size
S1_i (.A(A), .B(B), .clk(clk), .Q(Q)); endmodule If you do this : @genus:root: 140> read_hdl top.v
subM.v @genus:root: 141> elaborate top Error : Could not find an HDL design. [CDFG-210]
[elaborate] : The design is 'top'. 1 You can see that the tool could not find the design "top" you tried
to elaborate. In this example, the problem is that the file is indeed named top.v, but the module
inside is named top1. So elaborating top1 instead works just fine. See below. @genus:root: 142>
elaborate top1 Info : Elaborating Design. [ELAB-1] : Elaborating top-level block 'top1' from file
'top.v'. Info : Done Elaborating Design. [ELAB-3] : Done elaborating 'top1'. Checking for analog
nets... Check completed for analog nets. Checking for source RTL... Check completed for source
RTL. flow.cputime flow.realtime timing.setup.tns timing.setup.wns snapshot UM: 3 180 elaborate
design:top1 Going further, when you first run an elaboration, this can be a good idea to just enter
the elaborate command, without specifying any top level name. Once done, you should only get
one top level elaborated, that Genus was able to identify by itself. You can then check the name of
the design elaborated. @genus:root: 146> read_hdl top.v subM.v @genus:root: 147> elaborate Info
: Elaborating Design. [ELAB-1] : Elaborating top-level block 'top1' from file 'top.v'. Info : Done
Elaborating Design. [ELAB-3] : Done elaborating 'top1'. [...] design:top1 We see above that top1
was elaborated, and is the only one. Rather, if after elaborate you get more than one top level this
means either : * you have read too many files, then you get additional top levels for each unused
module * you are missing some files linking the additional top levels to your main design. => In both
cases, you should check the RTL files you have read. @genus:root: 152> read_hdl top.v subM.v
@genus:root: 153> elaborate Info : Elaborating Design. [ELAB-1] : Elaborating top-level block 'top1'
from file 'top.v'. Info : Done Elaborating Design. [ELAB-3] : Done elaborating 'top1'. Info : Elaborating
Design. [ELAB-1] : Elaborating top-level block 'subM' from file 'subM.v'. Info : Done Elaborating
Design. [ELAB-3] : Done elaborating 'subM'. design:subM design:top1 => here elaboration was
successful too but we ended up with two top levels, which is unexpected.
CDFG-211
Severity
Error
Description
Could not find a port.
What's Next
Ensure that the desired port is defined in the module definition of the instantiated instance. The
following example shows that port 'undef' used in the instantiation is not defined in the definition of
module 'foo': .nf module foo_top(a, b, d, f); input a, b, d; output f; wire undef; foo I1 (.f (f), .d (d), .a (a),
.b (b), .undef (undef)); endmodule module foo(f, d, b, a); input d, b, a; output f; assign f = d & (a | b);
endmodule .fi
CDFG-212
Severity
Error
Description
Could not find state vector.
What's Next
Error during elaboration.
CDFG-213
Severity
Error
Description
Could not find function return port.
What's Next
Error during elaboration.
CDFG-214
Severity
Error
Description
Unknown parameter.
What's Next
Ensure that the desired parameter is specified correctly in the HDL.
CDFG-215
Severity
Error
Description
Cannot build an HDL design with unconstrained ports.
What's Next
Check that all array ports are defined with constrained sizes. Any array port whose size is left
undefined is considered an unconstrained port. Define all array port sizes to constrain the port and
synthesize the design again.
CDFG-216
Severity
Error
Description
Cannot build an HDL design with generics that lack a default value.
What's Next
Specify a default value for the generic in the HDL.
CDFG-218
Severity
Error
Description
Exceeded the maximum memory address range limit.
What's Next
The maximum addressable range specifies the maximum number of memory elements that can be
indexed using an address. This limit can be set using 'set_db hdl_max_memory_address_range
<limit>' (in CUI mode) or 'set_attribute hdl_max_memory_address_range <limit> /' (in legacy mode).
CDFG-219
Severity
Error
Description
Width of slice must be a positive constant.
What's Next
The width of a slice must evaluate to a positive constant at compile time.
CDFG-220
Severity
Error
Description
Index out of range.
What's Next
Correct the index or the range in the HDL.
CDFG-221
Severity
Error
Description
Slice out of range. This error happens during elaboration when the array slice read/write operation
is accessing bits outside the declared range of array.
What's Next
Correct the slice indices in the RTL. .SH Related Information This error happens during elaboration
when the array slice read/write operation is accessing bits outside the declared range of array. For
example, the following RTL shows a scenario of out-of-range access. .nf wire [32:26] twire; if
(twire[34:28]) ... // Here the array access is outside the range.
CDFG-222
Severity
Error
Description
Invalid context for streaming concatenation.
What's Next
A streaming concatenation may only appear in an assignment statement or in a cast expression.
CDFG-224
Severity
Error
Description
Invalid assignment.
What's Next
Error during elaboration.
CDFG-226
Severity
Error
Description
Illegal 'divide by zero' operation.
What's Next
Correct the operation in the HDL.
CDFG-227
Severity
Error
Description
Illegal 'modulus by zero' operation.
What's Next
Correct the operation in the HDL.
CDFG-228
Severity
Error
Description
Constant propagation failed.
What's Next
Error during elaboration.
CDFG-229
Severity
Error
Description
'x' is not allowed in casez items.
What's Next
Correct the casez construct in the HDL.
CDFG-230
Severity
Error
Description
Illegal enum identifier.
What's Next
Error during elaboration.
CDFG-231
Severity
Error
Description
Could not synthesize non-constant range values.
What's Next
The left and right range must be constant valued expressions.
CDFG-232
Severity
Error
Description
Invalid expression.
What's Next
An expression or an operand within an expression could not be evaluated.
CDFG-233
Severity
Error
Description
Number of rows in inferred memory must be greater than 1.
What's Next
Error during elaboration.
CDFG-234
Severity
Error
Description
Could not elaborate array reference.
What's Next
Specify all the values of the array assignment pattern. See 'HDL Modeling Guide' for examples of
legal array references.
CDFG-235
Severity
Error
Description
Could not synthesize declaration with empty range.
What's Next
Error during elaboration.
CDFG-238
Severity
Error
Description
Illegal mix of blocking and non-blocking assignments.
What's Next
Variable assignments mixing blocking and non-blocking seen during elaboration.
CDFG-240
Severity
Error
Description
Incompatible array dimensions.
What's Next
Fix the array dimension mismatch in the RTL.
CDFG-241
Severity
Error
Description
Latch inferred. Check your RTL to see whether this is the intended behavior.
What's Next
If the intended behavior is to infer latches, use 'set_attr hdl_error_on_latch false' (LUI) or 'set_db
hdl_error_on_latch false' (CUI).
CDFG-242
Severity
Error
Description
Size for builtin type conversion must be a positive constant.
What's Next
The size expression of a type conversion must evaluate to a positive constant at compile time.
CDFG-244
Severity
Error
Description
Specified value is out of range.
What's Next
Correct the value or the range in the HDL.
CDFG-245
Severity
Error
Description
Alias size mismatch.
What's Next
Error during elaboration.
CDFG-246
Severity
Error
Description
Missing 'return_port_name' pragma for function mapped to synthetic operator or module.
What's Next
A function annotated with the 'map_to_operator' or the 'map_to_module' pragma requires a
'return_port_name' pragma to specify the name of the module port giving the function return value.
CDFG-247
Severity
Error
Description
Function annotated with 'map_to_module' must have constant width.
What's Next
Error during elaboration.
CDFG-249
Severity
Error
Description
Constant expression required.
What's Next
See 'HDL Modeling Guide' for more information.
CDFG-251
Severity
Error
Description
Could not assign to localparam with parameter association.
What's Next
Error during elaboration.
CDFG-252
Severity
Error
Description
Could not determine bounds.
What's Next
Error during elaboration.
CDFG-253
Severity
Error
Description
Could not instantiate module.
What's Next
Error during elaboration.
CDFG-254
Severity
Error
Description
Could not determine port type for blackbox.
What's Next
Error during elaboration.
CDFG-255
Severity
Error
Description
Could not find global signal.
What's Next
Error during elaboration.
CDFG-256
Severity
Error
Description
Illegal number of output ports for gate.
What's Next
Error during elaboration.
CDFG-257
Severity
Error
Description
Illegal number of input ports for gate.
What's Next
Error during elaboration.
CDFG-258
Severity
Error
Description
Incomplete structure.
What's Next
Error during elaboration.
CDFG-259
Severity
Error
Description
Port has more than one driver.
What's Next
Error during elaboration.
CDFG-260
Severity
Error
Description
Undetermined constant data type.
What's Next
Error during elaboration.
CDFG-261
Severity
Error
Description
Could not make connection.
What's Next
Error during elaboration.
CDFG-262
Severity
Error
Description
Could not find conditional construct.
What's Next
Error during elaboration.
CDFG-263
Severity
Error
Description
Undefined instance type.
What's Next
Error during elaboration.
CDFG-264
Severity
Error
Description
Undefined signal type.
What's Next
Error during elaboration.
CDFG-266
Severity
Error
Description
Width mismatch for streaming operator.
What's Next
A streaming operator as the source of an assignment must not be wider than the target of the
assignment. A streaming operator as the target of an assignment must not be wider than source of
the assignment.
CDFG-267
Severity
Error
Description
Missing association in aggregate or assignment pattern.
What's Next
Error during elaboration.
CDFG-268
Severity
Error
Description
Duplicate association in aggregate or assignment pattern.
What's Next
Error during elaboration.
CDFG-269
Severity
Error
Description
Assignment pattern appears in an inappropriate context.
What's Next
An assignment pattern may only appear in a place where its type is constrained to be an array or
struct type.
CDFG-270
Severity
Error
Description
Dimension argument exceeds array dimension.
What's Next
Ensure that the array is accessed correctly in the HDL.
CDFG-271
Severity
Error
Description
Non-negative argument required.
What's Next
Error during elaboration.
CDFG-272
Severity
Error
Description
Illegal use of unpacked array as operand.
What's Next
Error during elaboration.
CDFG-273
Severity
Error
Description
Array size mismatch in type conversion.
What's Next
Error during elaboration.
CDFG-274
Severity
Error
Description
Type mismatch.
What's Next
SystemVerilog requires certain types to match. For instance, if the target of an assignment is of an
unpacked type then the source must be of the same type.
CDFG-275
Severity
Error
Description
Too many elements in struct assignment pattern.
What's Next
The assignment pattern ('{...}) has too many elements for the struct type. There must be one element
in the assignment pattern for each field defined in the struct.
CDFG-276
Severity
Error
Description
Invalid use of value member key for struct assignment pattern.
What's Next
A value member key may only be used to specify a member when the assignment pattern is of an
array type.
CDFG-278
Severity
Error
Description
Comparison to 'X' always evaluates to false. This may cause simulation mismatches between the
original and synthesized designs.
What's Next
Error during elaboration.
CDFG-280
Severity
Error
Description
An assignment pattern is required in this context.
What's Next
A concatenation is being used in a context where an assignment pattern is required. A
concatenation can only be assigned to a bit or integer type. An assignment pattern ('{...}) must be
used instead when the target is a non-packed array or struct type.
CDFG-283
Severity
Error
Description
Incompatible bitwidths in assignment.
What's Next
Use 'set_db hdl_vhdl_assign_width_mismatch true' (in CUI mode) or 'set_attribute
hdl_vhdl_assign_width_mismatch true /' (in legacy mode) to allow such assignments with
mismatching widths of lhs and rhs.
CDFG-288
Severity
Error
Description
Incompatible array index constraint.
What's Next
Ensure that the array constraint is specified correctly in the HDL.
CDFG-294
Severity
Error
Description
Unsupported architecture.
What's Next
Error during elaboration.
CDFG-298
Severity
Error
Description
Could not find field.
What's Next
The HDL references a field which does not belong to the struct type.
CDFG-299
Severity
Error
Description
Missing element(s) in struct assignment pattern.
What's Next
The assignment pattern ('{...}) must specify a value for each field defined in the specified struct type.
CDFG-307
Severity
Error
Description
No top level design has been specified.
What's Next
For mixed RTL and structural input based flow, the top level design name should be provided with
the 'elaborate' command.
CDFG-320
Severity
Error
Description
No design entity specified.
What's Next
Error during elaboration.
CDFG-321
Severity
Error
Description
No design architecture specified.
What's Next
Specify the name of the preferred architecture to use for the entity with the
'hdl_vhdl_preferred_architecture' command.
CDFG-323
Severity
Error
Description
No subprogram body specified.
What's Next
Error during elaboration.
CDFG-324
Severity
Error
Description
Could not find specified architecture.
What's Next
Error during elaboration.
CDFG-326
Severity
Error
Description
Problem in processing the internal representation of the design.
What's Next
Error during elaboration. The internal representation of the design is in an inconsistent state.
CDFG-333
Severity
Error
Description
System Task '$error' called.
What's Next
Error during elaboration.
CDFG-336
Severity
Error
Description
System Task '$fatal' called.
What's Next
Fatal Error during elaboration.
CDFG-351
Severity
Error
Description
Too many parameters for design.
What's Next
Error during elaboration.
CDFG-352
Severity
Error
Description
Could not resolve parameter. Parameters must evaluate to a constant value at the compile time.
What's Next
Error during elaboration.
CDFG-355
Severity
Error
Description
Missing default value for parameter.
What's Next
Error during elaboration.
CDFG-356
Severity
Error
Description
Constant array not supported.
What's Next
Error during elaboration.
CDFG-364
Severity
Error
Description
Unsynthesizable Process.
What's Next
Error during elaboration.
CDFG-369
Severity
Error
Description
Multibit edge is not allowed.
What's Next
A posedge or negedge signal in an event list must be one bit wide.
CDFG-400
Severity
Error
Description
No actual for interface port.
What's Next
Error during elaboration.
CDFG-403
Severity
Error
Description
Could not connect named port.
What's Next
Error during elaboration.
CDFG-404
Severity
Error
Description
Could not connect positional port.
What's Next
Error during elaboration.
CDFG-405
Severity
Error
Description
Could not connect gate port.
What's Next
Error during elaboration.
CDFG-406
Severity
Error
Description
Could not connect subprogram port.
What's Next
Error during elaboration.
CDFG-407
Severity
Error
Description
Too many arguments to subprogram.
What's Next
Error during elaboration.
CDFG-408
Severity
Error
Description
Too few arguments to subprogram.
What's Next
Error during elaboration.
CDFG-411
Severity
Error
Description
Recursive module instantiation is not supported.
What's Next
Error during elaboration.
CDFG-412
Severity
Error
Description
Reached maximum recursion limit for instantiation.
What's Next
The maximum recursion limit specifies the maximum allowed number of recursively instantiated
subprograms to prevent infinite recursion. This limit can be set by 'set_db hdl_max_recursion_limit
<limit> (in CUI mode) or 'set_attribute hdl_max_recursion_limit <limit> /' (in legacy mode).
CDFG-413
Severity
Error
Description
Cannot associate a constant to an output or inout port.
What's Next
Use 'set_db hdl_allow_inout_const_port_connect true' (in CUI mode) or 'set_attribute
hdl_allow_inout_const_port_connect true /' (in legacy mode) to allow connection of a constant to an
inout port.
CDFG-414
Severity
Error
Description
Too many arguments to instance.
What's Next
Error during elaboration.
CDFG-415
Severity
Error
Description
Parametrize instantiation cannot be linked to a non-parametrize module definition.
What's Next
Either supply a parametrize module definition or a non-parametrize instantiation.
CDFG-429
Severity
Error
Description
Width of argument is not a multiple of instance array width.
What's Next
Error during elaboration.
CDFG-430
Severity
Error
Description
Arrays are not supported in port lists.
What's Next
Error during elaboration.
CDFG-431
Severity
Error
Description
Could not resolve reference.
What's Next
Use 'set_db hdl_error_on_blackbox false' (in CUI mode) or 'set_attribute hdl_error_on_blackbox
false /' (in legacy mode) to cause a warning, rather than an error, when a blackbox is found.
CDFG-432
Severity
Error
Description
Illegal port expression in port list.
What's Next
Error during elaboration.
CDFG-433
Severity
Error
Description
Illegal port identifier in port list.
What's Next
Error during elaboration.
CDFG-434
Severity
Error
Description
Indexed identifier must have constant index.
What's Next
Error during elaboration.
CDFG-435
Severity
Error
Description
Unresolved generic interface.
What's Next
Error during elaboration.
CDFG-437
Severity
Error
Description
Could not create port.
What's Next
Error during elaboration.
CDFG-438
Severity
Error
Description
Interface port connection error.
What's Next
Interface port connection is invalid due to name conflict or type conflict.
CDFG-439
Severity
Error
Description
Modport conflict.
What's Next
Error during elaboration.
CDFG-442
Severity
Error
Description
Duplicate association to port.
What's Next
Error during elaboration.
CDFG-443
Severity
Error
Description
Cannot instantiate a blackbox interface.
What's Next
Error during elaboration.
CDFG-444
Severity
Error
Description
Could not find matching modport.
What's Next
Error during elaboration.
CDFG-445
Severity
Error
Description
Could not find a declaration in the interface.
What's Next
Error during elaboration.
CDFG-446
Severity
Error
Description
Could not find matching interface.
What's Next
Error during elaboration.
CDFG-447
Severity
Error
Description
Could not find matching port in the corresponding module definition.
What's Next
Error during elaboration.
CDFG-450
Severity
Error
Description
Invalid for statement.
What's Next
Error during elaboration.
CDFG-451
Severity
Error
Description
Unresolved 'for loop' parameters.
What's Next
The 'for loop' parameters of a 'for' statement must evaluate to a constant at compile time. See 'HDL
Modeling Guide' for more information.
CDFG-452
Severity
Error
Description
Unable to unfold for statement.
What's Next
Error during elaboration.
CDFG-453
Severity
Error
Description
An unsupported initialization statement of the for loop is deducted which is preventing the index
variable to be established and deduced as a constant for each iteration (non-synthesizable).
What's Next
Check if the initialization expression has any unsupported constructs. For example, supply0,
supply1 etc. The initialization expression in a 'for' statement must evaluate to a constant when
synthesizing the design.
CDFG-454
Severity
Error
Description
Unsupported condition expression.
What's Next
The condition expression of a 'for' or 'while' loop statement must evaluate to a constant when
synthesizing the design. See 'HDL Modeling Guide' for more information.
CDFG-455
Severity
Error
Description
Unsupported step assignment in 'for' statement.
What's Next
The step assignment of a 'for' statement must evaluate to a constant at compile time.
CDFG-457
Severity
Error
Description
Reached maximum loop limit while unrolling loop.
What's Next
The maximum loop limit specifies the maximum number of iterations allowed for unrolling loops.
This limit can be set by 'set_db hdl_max_loop_limit <limit>' (in CUI mode) or 'set_attribute
hdl_max_loop_limit <limit> /' (in legacy mode).
CDFG-460
Severity
Error
Description
Instance resolves to a logic abstract.
What's Next
Use 'set_db hdl_error_on_logic_abstract false' (in CUI mode) or 'set_attribute
hdl_error_on_logic_abstract false /' (in legacy mode) to cause a warning, rather than an error, when
a logic abstract is found.
CDFG-461
Severity
Error
Description
Invalid conditional statement in if/case clause.
What's Next
Error during elaboration.
CDFG-462
Severity
Error
Description
Could not create case item.
What's Next
Error during elaboration.
CDFG-463
Severity
Error
Description
All assignments within a conditional statement should be either all blocking or all non-blocking.
What's Next
The following example shows an unallowed mix of blocking and non-blocking assignments.
CDFG-486
Severity
Error
Description
This could be due to a previous elaboration error.
What's Next
Try reading the structural netlist again.
CDFG-510
Severity
Error
Description
Array has multiple drivers.
What's Next
Error during elaboration.
CDFG-550
Severity
Error
Description
Dimensions of formal and argument do not match.
What's Next
Error during elaboration.
CDFG-551
Severity
Error
Description
Missing actual for deferred or unconstrained port.
What's Next
Error during elaboration.
CDFG-553
Severity
Error
Description
No actual corresponding to implicit port.
What's Next
In a module instance, every port specified using implicit ('.name') notation must have a matching
signal in the instantiating module.
CDFG-554
Severity
Error
Description
Actual in array of instances does not match width of formal.
What's Next
Either the width of the actual must match the width of the corresponding formal, or the width of the
actual divided by the number of instances must match the width of the corresponding formal.
CDFG-557
Severity
Error
Description
Missing actual for interface port.
What's Next
Error during elaboration.
CDFG-561
Severity
Error
Description
Port direction differs between component and implementation.
What's Next
Error during elaboration.
CDFG-601
Severity
Error
Description
Parameter specification error.
What's Next
A parameter given to the 'elaborate' command may have one of the following forms:
CDFG-607
Severity
Error
Description
Array size must be greater than zero.
What's Next
The width of an array must evaluate to a positive integer at compile time.
CDFG-608
Severity
Error
Description
Port list contains incorrect usage of port expression.
What's Next
Error during elaboration.
CDFG-609
Severity
Error
Description
Parameter specification error.
What's Next
Parameters given to the 'elaborate' command may either have positional arguments or key value
pair based arguments.
CDFG-810
Severity
Error
Description
Port mismatch for instance.
What's Next
An instantiation has a connected port that is not specified in the module definition. This can happen
if ports are removed from the original module definition or if too many ports are specified in the
instantiation.
CDFG-813
Severity
Error
Description
Could not find definition for name specified in map_to_module pragma.
What's Next
The name specified in map_to_module pragma has not yet been read.
CDFG-816
Severity
Error
Description
Attempt to override localparam definition.
What's Next
To conform with Verilog LRM, Localparams cannot be overridden by module instance parameter
value assignments or Defparam.
CDFG-817
Severity
Error
Description
Illegal positional port association for instantiation of cell with power and ground pins.
What's Next
Only named port association is allowed for instantiations of cells with power and ground pins.
CDFG-821
Severity
Error
Description
Operand mismatch.
What's Next
The operands for the given operation are not compatible.
CDFG-822
Severity
Error
Description
Detected negative or x or z value for the replication prefix.
What's Next
The prefix expression of a replication generally must evaluate to a positive constant. The value of a
replication with a zero prefix depends on the setting of the attribute 'hdl_zero_replicate_is_null'. If
true, the replication is treated as a zero-width expression. If false, the replication evaluates to 1'b0.
CDFG-823
Severity
Error
Description
Zero width concatenation.
What's Next
It is illegal to have zero replication alone within a concatenation.
CDFG-824
Severity
Error
Description
Reversed part-select index range direction.
What's Next
The part-select index range direction must match the declared direction.
CDFG-826
Severity
Error
Description
Assignments to a particular variable within a always block should be either all blocking or all non-
blocking.
CDFG-828
Severity
Error
Description
Illegal named port association for instantiation of module with one or more unnamed port
expressions.
What's Next
Only positional port association is allowed for instantiations of modules with one or more unnamed
port expressions.
CDFG-829
Severity
Error
Description
Incorrect binding specified by Verilog configuration statement.
What's Next
Read in all the files in correct logical libraries.
CDFG-830
Severity
Error
Description
What's Next
Check and correct the width argument for type conversion.
CDFG-892
Severity
Error
Description
Unsupported assignment pattern usage.
What's Next
The usage of an assignment pattern expression on a subprogram inout port is currently not
supported.
CDFG-901
Severity
Error
Description
RAM inference failed.
What's Next
Internal Error, RAM inference failed.
CDFG-903
Severity
Error
Description
X or Z value in 2 state enum type declaration.
What's Next
Error during elaboration.
CDFG-904
Severity
Error
Description
Illegal casting syntax is encountered.
What's Next
The argument of the bit-stream casting is not of bit-stream type.
CDFG-905
Severity
Error
Description
Type mismatch.
What's Next
Formal and actual do not have assignment compatible data types. For instance, if the formal of a
function is of an unpacked type then the actual must be of the same type.
CDFG-906
Severity
Error
Description
Illegal unpacked array concatenation.
What's Next
The target of an unpacked array concatenation must be an unpacked array.
CDFG-907
Severity
Error
Description
Array of interface type port not supported for blackbox module.
What's Next
Error during elaboration.
CDFG-908
Severity
Error
Description
Illegal multi concatenation operand encountered.
What's Next
Operand of type unpacked struct or union is not allowed with multi concatenation.
CDFG-909
Severity
Error
Description
Illegal streaming concatenation operand encountered.
What's Next
Operand of the streaming concatenation is not of bit-stream type.
CDFG-912
Severity
Error
Description
System task illegal format specifier encountered.
What's Next
Error during elaboration.
CDFG-913
Severity
Error
Description
System task argument count mismatch.
What's Next
Error during elaboration.
CDFG-309
CDFG-325
CDFG-327
CDFG-328
CDFG-335
CDFG-340
CDFG-345
CDFG-359
CDFG-361
CDFG-362
CDFG-363
CDFG-365
CDFG-372
CDFG-373
CDFG-425
CDFG-426
CDFG-427
CDFG-458
CDFG-459
CDFG-470
CDFG-478
CDFG-479
CDFG-488
CDFG-500
CDFG-501
CDFG-505
CDFG-509
CDFG-511
CDFG-512
CDFG-555
CDFG-560
CDFG-565
CDFG-738
CDFG-739
CDFG-740
CDFG-741
CDFG-742
CDFG-743
CDFG-744
CDFG-747
CDFG-749
CDFG-750
CDFG-752
CDFG-753
CDFG-754
CDFG-755
CDFG-757
CDFG-758
CDFG-759
CDFG-767
CDFG-768
CDFG-769
CDFG-771
CDFG-772
CDFG-773
CDFG-774
CDFG-775
CDFG-776
CDFG-777
CDFG-780
CDFG-781
CDFG-782
CDFG-783
CDFG-784
CDFG-800
CDFG-815
CDFG-820
CDFG-893
CDFG-895
CDFG-896
CDFG-897
CDFG-898
CDFG-899
CDFG-900
CDFG-902
CDFG-910
CDFG-911
CDFG-914
CDFG-915
CDFG-916
CDFG-917
CDFG-918
CDFG-919
CDFG-920
CDFG-5
Severity
Info
Description
Internal inconsistency encountered during high level optimization.
What's Next
The message refers to an inconsistency in the internal representation of the RTL design, occurred
during one of the word level optimization. Turn off the optimisation by setting the hdl-architecture's
(module's) attribute to false, before elaboration.
CDFG-6
Severity
Info
Description
Internal inconsistency encountered during high level optimization.
What's Next
The optimization can be turned off by setting the tcl variable to 0 before elaboration.
CDFG-22
Severity
Info
Description
Unresolved instance '%s'.
CDFG-23
Severity
Info
Description
Wrote CDFG.
CDFG-250
Severity
Info
Description
CDFG-286
Severity
Info
Description
Reference to global signal in subprogram.
CDFG-295
Severity
Info
Description
Applying architecture pragma.
What's Next
Error during elaboration.
CDFG-300
Severity
Info
Description
Checking HDL design.
CDFG-301
Severity
Info
Description
No HDL designs to process.
What's Next
The 'read_hdl' command creates an HDL design for every Verilog module and every VHDL
architecture. HDL designs are automatically deleted when you use the 'elaborate' or the
'read_netlist' command. Use 'find / -hdl_arch *' to list all available HDL designs.
CDFG-302
Severity
Info
Description
HDL design is up to date.
CDFG-303
Severity
Info
Description
Processing HDL design.
CDFG-305
Severity
Info
Description
Deleting HDL design.
What's Next
Designs are often deleted because of elaboration errors. Look for previous errors and try to resolve
them.
CDFG-308
Severity
Info
Description
Processing HDL design from subprogram.
CDFG-309
Severity
Info
Description
Processing HDL design from operator.
CDFG-325
Severity
Info
Description
Problem in processing of input RTL.
What's Next
Error in processing of HDL during elaboration. Possibly due to combinational loops, or
unsynthesizable constructs.
CDFG-327
Severity
Info
Description
Processing ChipWare component.
CDFG-328
Severity
Info
Description
Processing module from operator.
CDFG-335
Severity
Info
Description
System Task '$info' called.
CDFG-340
Severity
Info
Description
Building parameterized design.
CDFG-345
Severity
Info
Description
Ignored range specification for parameter.
What's Next
In Verilog-1995 a range given in a parameter declaration is ignored. To make use of the parameter
range, read the design with 'read_hdl -v2001' or 'read_hdl -sv'.
CDFG-359
Severity
Info
Description
Building ChipWare component.
CDFG-361
Severity
Info
Description
Signal is not referenced within the process or block, but is in the sensitivity list.
What's Next
Asynchronous logic, such as a latch or combinational logic, is inferred for this process or block.
Signals that are not referenced can be removed from the sensitivity list. If the intent is to infer a flip-
flop, ensure that the process or block is sensitive to the signal edge by adding 'posedge' or
'negedge' for Verilog designs or 'event' for VHDL designs.
CDFG-362
Severity
Info
Description
Assuming that the full range of indexed or sliced sensitivity signal is in the sensitivity list.
CDFG-363
Severity
Info
Description
Ignored invalid sensitivity signal in the sensitivity list.
CDFG-365
Severity
Info
Description
Clock signal is not used as a clock in this process or block.
CDFG-372
Severity
Info
Description
Bitwidth mismatch in assignment.
What's Next
Review and make sure the mismatch is unintentional. Genus can possibly issue bitwidth mismatch
warning for explicit assignments present in RTL as-well-as for implicit assignments inferred by the
tool. For example, in case of enum declaration without value, the tool will implicitly assign value to
the enum variables. It also issues the warning for any bitwidth mismatch that appears in this implicit
assignment.
CDFG-373
Severity
Info
Description
Sign mismatch in assignment.
CDFG-425
Severity
Info
Description
Instantiated design.
CDFG-426
Severity
Info
Description
Searching for library cell.
CDFG-427
Severity
Info
Description
Linking module.
CDFG-458
Severity
Info
Description
Inferred leading 0/1 detector from a 'for loop' statement.
What's Next
For example, a 'for loop' statement of the form:
CDFG-459
Severity
Info
Description
Detected an RTL macro for the 'for loop' statement. Replacing it with an equivalent internal
representation.
What's Next
RTL macro replacement can result in improved QOR.
CDFG-470
Severity
Info
Description
Constant conditional expression.
CDFG-478
Severity
Info
Description
Converting if statement to equivalent case statement.
What's Next
An if statement is internally converted to an equivalent case statement if:
CDFG-479
Severity
Info
Description
Constant relational expression.
What's Next
A relational expression can evaluate to a constant when a variable is compared to a value which is
outside the bounds of the variable.
CDFG-488
Severity
Info
Description
What's Next
Some tools may not support negative values in array bounds.
CDFG-500
Severity
Info
Description
Unused module input port.
What's Next
(In port definition within the module, the input port is not used in any assignment statements or
conditional expressions for decision statements. .SH Related Information This message is
generated whenthe input port is not used in any assignment statements or conditional expressions
for decision statements within the module where the port is defined. This message is only
associated with input ports. For example, in the RTL below, the defined port 'unused_input' is not
used in any statement in the module description. .nf module mod(input logic a, b, unused_input,
output logic e, f); logic d, q2, q1; always_ff @(posedge clock) d <= a & b | ~q2; always_ff
@(posedge clock q2 <= q1; assign f = d & (a | b); assign e = d & q2 ^ b; assign q1 = ~d; endmodule
.fi
CDFG-501
Severity
Info
Description
Unused module inout port.
What's Next
The value of the inout port is not used within the design.
CDFG-505
Severity
Info
Description
Assignment to supply0/supply1.
CDFG-509
Severity
Info
Description
Preserving unused register.
What's Next
A flip-flop or latch that was inferred for an unused signal or variable is being preserved. Better area
results are possible if the 'hdl_preserve_unused_registers' attribute is set to 'false'.
CDFG-511
Severity
Info
Description
An 'X' or 'Z' value propagated to a conditional statement can cause a simulation mismatch between
the original and the synthesized designs.
What's Next
Verify that 'X' and 'Z' assignments in the HDL are as intended. If the HDL source line given for this
assignment is not accurate, search backwards in the file for an explicit 'X' or 'Z' assignment that may
have been propagated to the given location.
CDFG-512
Severity
Info
Description
HDL design already elaborated.
CDFG-555
Severity
Info
Description
Linking parameterized module by name.
CDFG-560
Severity
Info
Description
Implementation selected for component instance.
CDFG-565
Severity
Info
Description
Linking to ChipWare library component instead of user module.
CDFG-738
Severity
Info
Description
Common subexpression eliminated.
CDFG-739
Severity
Info
Description
Common subexpression kept.
CDFG-740
Severity
Info
Description
Constant propagating graph.
CDFG-741
Severity
Info
Description
Tree height reduction on graph.
CDFG-742
Severity
Info
Description
Common subexpression elimination.
CDFG-743
Severity
Info
Description
Balancing Boolean functions.
CDFG-744
Severity
Info
Description
Constant indexed array optimizing.
CDFG-747
Severity
Info
Description
Balancing arithmetic functions.
CDFG-749
Severity
Info
Description
Arithmetic nodes found outside datapath partitions after initial partitioning. This may be due to
incomplete graph cleanup. Creating partitions for these nodes.
CDFG-750
Severity
Info
Description
Created sum-of-products hierarchy.
CDFG-752
Severity
Info
Description
Enabling partial SOP-logic extraction.
CDFG-753
Severity
Info
Description
Transformed priority-encoded case to casex.
CDFG-754
Severity
Info
Description
Transformed if-else-if to priority-encoded case.
CDFG-755
Severity
Info
Description
Combined sum-of-products logic.
CDFG-757
Severity
Info
Description
Performing xz propagation on HDL design.
What's Next
Set the hdl_xz_propagation attribute to false to disable xz propagation (which has no effect on
QOR).
CDFG-758
Severity
Info
Description
Detected an RTL macro. Replacing it with an equivalent internal representation.
What's Next
RTL macro replacement can result in improved QOR.
CDFG-759
Severity
Info
Description
Detected an RTL macro for the conditional logic. Replacing it with an equivalent internal
representation.
What's Next
RTL macro replacement can result in improved QOR.
CDFG-767
Severity
Info
Description
Reversed the data and condition priority for priority-encoded case (possibly created from loop
based RTL).
CDFG-768
Severity
Info
Description
Simplified the variable part select operation.
CDFG-769
Severity
Info
Description
Identified sum-of-products logic to be optimized during syn_generic.
CDFG-771
Severity
Info
Description
Replaced logic with a constant value.
CDFG-772
Severity
Info
Description
Removed unused code identified during constant propagation.
CDFG-773
Severity
Info
Description
Skip related conditional write and read sequence.
CDFG-774
Severity
Info
Description
Replace conditional operators for optimization.
CDFG-775
Severity
Info
Description
Transformed case to full parallel case.
CDFG-776
Severity
Info
Description
Separate conditional mux for invariant bits of case items.
CDFG-777
Severity
Info
Description
Convert dont-care in fanin of index expression as zero.
CDFG-780
Severity
Info
Description
Reversed the data and condition priority for priority mux chain (possibly created from loop based
RTL), using new loop reversal algorithm.
CDFG-781
Severity
Info
Description
Created a balanced log-depth tree implementation for priority mux chain (possibly created from loop
based RTL), using new loop reversal algorithm.
CDFG-782
Severity
Info
Description
For-loop detected in RTL.
CDFG-783
Severity
Info
Description
Comparator sharing transform applied.
CDFG-784
Severity
Info
Description
Long mux chain conversion to log-depth transform applied at the end of elaboration.
CDFG-800
Severity
Info
Description
Statistics for case statements.
CDFG-815
Severity
Info
Description
Redundant conditional branches removed.
What's Next
There were some redundant branches as part of a conditional if-else-if or case statement which are
removed.
CDFG-820
Severity
Info
Description
DesignWare instantiations are mapped to feature compatible ChipWare components, ChipWare
components should be independently verified to meet design requirements.
What's Next
Support for third-party components like DesignWare is provided for compatibility purposes. All
supported third-party components are mapped to ChipWare components proprietary to Cadence.
While the features and functions are compatible they cannot be guaranteed to be exactly
implementation equivalent. It is your responsibility to verify if the specific Cadence implementation
matches your requirements.
CDFG-893
Severity
Info
Description
Optimized the MUX created for array read / write or variable shifter.
CDFG-895
Severity
Info
Description
Module has too many variable array read write or variable shifter optimization opportunities.
CDFG-896
Severity
Info
Description
Merged adjacent array writes.
CDFG-897
Severity
Info
Description
The optimization to merge adjacent array writes was done.
CDFG-898
Severity
Info
Description
Merged adjacent array reads.
CDFG-899
Severity
Info
Description
Merged adjacent array write conditions.
CDFG-900
Severity
Info
Description
A declaration was detected that can be inferred as a RAM.
CDFG-902
Severity
Info
Description
RAM inference successful.
CDFG-910
Severity
Info
Description
Replaced bit merge logic with shifter implementation.
CDFG-911
Severity
Info
Description
Ignored bit merge logic with shifter implementation.
CDFG-914
Severity
Info
Description
Concatenated adjacent array write data.
CDFG-915
Severity
Info
Description
Simplified feedback path in graph.
CDFG-916
Severity
Info
Description
Simplified feedback path seen in array write.
CDFG-917
Severity
Info
Description
Condition of an array write involving binary write value controlled by binary condition simplified.
CDFG-918
Severity
Info
Description
Binary valued array write controlled by binary logic simplification done.
CDFG-919
Severity
Info
Description
Redundant array read write feedback path identified.
CDFG-920
Severity
Info
Description
Transformation to clean up array read write with feedback path done.
CDFG-24
CDFG-145
CDFG-146
CDFG-147
CDFG-148
CDFG-149
CDFG-150
CDFG-185
CDFG-186
CDFG-187
CDFG-217
CDFG-223
CDFG-236
CDFG-237
CDFG-239
CDFG-243
CDFG-248
CDFG-265
CDFG-277
CDFG-279
CDFG-281
CDFG-282
CDFG-284
CDFG-285
CDFG-287
CDFG-289
CDFG-290
CDFG-291
CDFG-292
CDFG-293
CDFG-296
CDFG-297
CDFG-304
CDFG-310
CDFG-311
CDFG-312
CDFG-313
CDFG-314
CDFG-315
CDFG-316
CDFG-317
CDFG-331
CDFG-332
CDFG-334
CDFG-360
CDFG-366
CDFG-367
CDFG-368
CDFG-370
CDFG-371
CDFG-380
CDFG-381
CDFG-382
CDFG-409
CDFG-417
CDFG-418
CDFG-419
CDFG-422
CDFG-423
CDFG-428
CDFG-436
CDFG-440
CDFG-441
CDFG-448
CDFG-449
CDFG-456
CDFG-464
CDFG-465
CDFG-466
CDFG-467
CDFG-471
CDFG-472
CDFG-473
CDFG-474
CDFG-475
CDFG-476
CDFG-477
CDFG-480
CDFG-481
CDFG-482
CDFG-483
CDFG-484
CDFG-485
CDFG-487
CDFG-507
CDFG-508
CDFG-552
CDFG-556
CDFG-558
CDFG-559
CDFG-562
CDFG-563
CDFG-564
CDFG-566
CDFG-600
CDFG-602
CDFG-603
CDFG-604
CDFG-605
CDFG-606
CDFG-610
CDFG-611
CDFG-612
CDFG-745
CDFG-746
CDFG-748
CDFG-751
CDFG-756
CDFG-760
CDFG-761
CDFG-762
CDFG-763
CDFG-764
CDFG-765
CDFG-766
CDFG-778
CDFG-779
CDFG-801
CDFG-811
CDFG-812
CDFG-814
CDFG-818
CDFG-825
CDFG-827
CDFG-831
CDFG-894
CDFG-24
Severity
Warning
Description
License check failed. Unable to write CDFG.
CDFG-145
Severity
Warning
Description
Illegal node in datapath operator merging.
CDFG-146
Severity
Warning
Description
Illegal node in required precision width analysis of graph.
CDFG-147
Severity
Warning
Description
Illegal node in information content analysis of graph.
CDFG-148
Severity
Warning
Description
Illegal node in influence propagation analysis of graph.
CDFG-149
Severity
Warning
Description
Illegal influence section type in influence propagation analysis of graph.
CDFG-150
Severity
Warning
Description
Cleanup based on influence analysis of graph has failed.
CDFG-185
Severity
Warning
Description
Element width mismatch in unpacked union.
What's Next
This may cause verification mismatches between the original and synthesized designs.
CDFG-186
Severity
Warning
Description
Index out of range.
What's Next
Correct the index or the range in the HDL.
CDFG-187
Severity
Warning
Description
Slice out of range.
What's Next
Correct the slice indices in the RTL.
CDFG-217
Severity
Warning
Description
Signal or variable has multiple drivers and no loads. This may cause verification mismatch between
the original and the synthesized designs.
What's Next
Verify that the drivers of this signal are correct.
CDFG-223
Severity
Warning
Description
Detected empty range.
What's Next
An empty range occurs in VHDL when the range is of the form 'L to R', where L > R, or 'L downto R',
where L < R. A port may not have an empty range, and a signal or variable with an empty range
may be assigned to or indexed.
CDFG-236
Severity
Warning
Description
Detected non-positive value for replication prefix.
What's Next
The prefix expression of a replication generally must evaluate to a positive constant. The value of a
replication with a non-positive prefix depends on the setting of the attribute
'hdl_zero_replicate_is_null'. If true, the replication is treated as a zero-width expression. If false, the
replication evaluates to 1'b0.
CDFG-237
Severity
Warning
Description
Port redeclared with different type or size.
What's Next
The type associated with the two declarations of a port should be identical.
CDFG-239
Severity
Warning
Description
Incompatible bitwidths in assignment.
What's Next
The width of lhs and rhs should be identical.
CDFG-243
Severity
Warning
Description
Argument size to integer type conversion is too large.
What's Next
The expression will be truncated to the bitwidth of the integral return type.
CDFG-248
Severity
Warning
Description
Ignored conflicting multiplier pragmas.
What's Next
Multipliers merged into a cluster must have the same type.
CDFG-265
Severity
Warning
Description
Treated wild equality operator as ordinary equality.
What's Next
The SystemVerilog wild equality operators (==? and !=?) can be synthesized correctly only if the
second argument is a constant. When the second argument is not a constant, then these operators
are synthesized to ordinary equality (== and !=) operators. This may produce a mismatch between
synthesis and simulation results.
CDFG-277
Severity
Warning
Description
Ignored 'hdl_ff_keep_feedback' and 'hdl_ff_keep_explicit_feedback' attributes.
What's Next
When the 'lp_insert_clock_gating' attribute is set to 'true', all flip-flop feedback logic is removed
during 'elaborate'.
CDFG-279
Severity
Warning
Description
Comparison of discrete arrays of unequal sizes may produce unexpected results.
CDFG-281
Severity
Warning
Description
Ignored asynchronous set/reset pragma.
What's Next
A signal is connected to the asynchronous set/reset pin on a latch only if constant 0 or 1 is
asynchronously assigned to the signal associated with the latch.
CDFG-282
Severity
Warning
Description
Ignored synchronous set/reset pragma.
What's Next
A signal is connected to the synchronous set/reset pin on a flip-flip only if constant 0 or 1 is
synchronously assigned to the signal associated with the flip-flop.
CDFG-284
Severity
Warning
Description
Invalid use of enum.
What's Next
Enums can only be used for signals and parameters.
CDFG-285
Severity
Warning
Description
CDFG-287
Severity
Warning
Description
Index out of bounds.
What's Next
Ensure that the desired index is specified correctly in the HDL.
CDFG-289
Severity
Warning
Description
Incompatible range constraint.
CDFG-290
Severity
Warning
Description
Bitwidth mismatch.
CDFG-291
Severity
Warning
Description
Could not determine case type.
CDFG-292
Severity
Warning
Description
Ignored architecture.
CDFG-293
Severity
Warning
Description
Detected null array.
CDFG-296
Severity
Warning
Description
Function may not be assigned a value in all cases.
What's Next
For synthesis, a function reg which is not assigned is set to 0, while in simulation, it retains the
value from the previous call. This may result in a simulation mismatch.
CDFG-297
Severity
Warning
Description
Invalid value for sub_arch pragma.
What's Next
The sub_arch pragma accepts only the values 'booth', 'non_booth' and 'radix8'.
CDFG-304
Severity
Warning
Description
No top-level HDL designs to process.
What's Next
There are no top-level designs in the /hdl_libraries directory. This may be caused by:
CDFG-310
Severity
Warning
Description
Deleting existing HDL design.
CDFG-311
Severity
Warning
Description
Ignored attempt to delete primitive operator.
CDFG-312
Severity
Warning
Description
Ignored attempt to delete subprogram.
CDFG-313
Severity
Warning
Description
Ignored attempt to delete blackbox or cell.
CDFG-314
Severity
Warning
Description
Ignored attempt to redefine primitive operator.
CDFG-315
Severity
Warning
Description
Ignored attempt to delete design specified as 'don't modify'.
CDFG-316
Severity
Warning
Description
Ignored attempt to redefine cell.
CDFG-317
Severity
Warning
Description
Did not inline instance with empty input ports.
CDFG-331
Severity
Warning
Description
Detected a logic abstract.
What's Next
A logic abstract is an unresolved reference with defined port names and directions. It is inferred
from an empty Verilog or VHDL design, or when the 'black_box' pragma or 'blackbox' hdl_arch
attribute is specified. Use 'set_db init_blackbox_for_undefined false' (in CUI mode) or 'set_attribute
init_blackbox_for_undefined false /' (in legacy mode) to treat an empty module as a defined module.
CDFG-332
Severity
Warning
Description
Detected a logic abstract.
What's Next
A logic abstract is an unresolved reference with defined port names and directions. It is inferred
from a SystemVerilog extern module declaration or a VHDL component declaration. Use 'set_db
init_blackbox_for_undefined false' (in CUI mode) or 'set_attribute init_blackbox_for_undefined false
/' (in legacy mode) to treat this as a defined module.
CDFG-334
Severity
Warning
Description
System Task '$warning' called.
CDFG-360
Severity
Warning
Description
Referenced signals are not added in sensitivity list. This may cause simulation mismatches
between the original and the synthesized design.
What's Next
Add missing reference signals in the sensitivity list or use '*' to add all the signals in the sensitivity
list. Example : always @(a or b) (OR) always (*)) .SH Example .nf module test (o, a, b); output o;
input a, b; reg o; always @(a) o = a & b; endmodule In module test, the sensitivity list only contains
the variable "a". The post-synthesis simulations will still simulate a 2-input AND gate. However, for
pre-synthesis simulation, the always block will only be executed when there are changes on
variable "a". Any changes on variable "b" that do not coincide with changes on "a" will not be
observed on the output. This leads to functionality mismatch between preand post-synthesis
simulations. .fi
CDFG-366
Severity
Warning
Description
Edge-triggered signal is not in the sensitivity list of the process. Therefore, the process will not
execute an implicit wait statement. This may cause simulation mismatches between the original
and synthesized designs.
What's Next
This message indicates that a process contains a signal that clocks or gates other signals in the
process. However, this signal does not appear in either a wait statement or the process sensitivity
list. In simulation, this process may cause an infinite loop to occur at time zero. Synthesis builds the
logic described by the process as if the signal did appear in the process sensitivity list. The
difference in interpretation between synthesis and simulation may result in a simulation mismatch.
CDFG-367
Severity
Warning
Description
Asynchronous assignment within an edge-triggered block will be treated as a synchronous
assignment.
CDFG-368
Severity
Warning
Description
Generated logic differs from the expected logic.
What's Next
The logic generated for an always_comb, always_latch or always_ff process may not match the
specified behavior.
CDFG-370
Severity
Warning
Description
Type conversion truncates constant value.
CDFG-371
Severity
Warning
Description
Real value rounded to nearest integral value.
CDFG-380
Severity
Warning
Description
Assignment to input port.
CDFG-381
Severity
Warning
Description
Signal referenced before it is assigned. This may cause simulation mismatches between the
original and synthesized designs.
What's Next
Inside an always block of the verilog file or the process statement of the vhdl file, a signal is referred
before it is assigned. Modify the RTL to assign the signal before referring it inside the always block
of the verilog or the process statement of the vhdl.
CDFG-382
Severity
Warning
Description
The attribute 'hdl_flatten_complex_port' has been set true.
CDFG-409
Severity
Warning
Description
Arguments to primitive instance must have same size.
CDFG-417
Severity
Warning
Description
Too few arguments to instance.
CDFG-418
Severity
Warning
Description
Too many arguments to primitive gate.
CDFG-419
Severity
Warning
Description
Only a net expression may be connected to an output or inout port.
What's Next
In standard Verilog, only a net, or a bit-select, part-select, or concatenation of nets may be
connected to the output or inout port of a module instance. Connecting a reg will result in a
simulation error. This restriction does not exist in SystemVerilog.
CDFG-422
Severity
Warning
Description
Unable to group subprogram.
What's Next
The subprogram contains a reference to a global signal and therefore cannot be grouped into a
separate hierarchy.
CDFG-423
Severity
Warning
Description
Blackbox instantiated from both VHDL and Verilog. Exact matches will be used for resolving the
blackbox.
What's Next
When a blackbox module 'FOO' is instantiated in both VHDL and Verilog modules, only exact
matches will be used when resolving the blackbox instantiations. If a module 'foo' is then read in, it
will not be used to resolve instances of 'FOO'.
CDFG-428
Severity
Warning
Description
In legacy_ui mode, Genus creates a blackbox as description for a module is not found. Black boxes
represent unresolved references in the design and are usually not expected. Another possible
reason is, some libraries are not read and the tool could not get the content for some macros or
lib_cells.
What's Next
Check the kind of module a black box is. If it is a lib_cell or a macro, check why the corresponding
.lib was not read in. This could be either due to a missing or faulty file or due to an incomplete
init_lib_search_path attribute value making restricting access to the missing file. If it is a module of
your design, verify whether the path to this module is a part of the files you read or else check that
the init_hdl_search_path attribute is not missing some paths. .nf Following is an example of a file
that triggers the error: module top (A, clk, Q); input A, clk; output [1:0] Q; reg [1:0] Q; always
@(posedge clk) begin Q[0] <= A ; end inverter inv_1 (.A(A), .Z(Q[1])) ; endmodule Following is the
message issued: legacy_genus:/> read_hdl top.v legacy_genus:/> elaborate Info : Elaborating
Design. [ELAB-1] : Elaborating top-level block 'top' from file 'top.v'. Warning : Creating blackbox.
[CDFG-428] : Genus creates a blackbox for instance 'inv_1' in file 'top.v' on line 11. Warning :
Undriven module output port. [ELABUTL-123] : Undriven bits of output port 'Q' in module 'top' in file
'top.v' on line 3, column 15. Info : Done Elaborating Design. [ELAB-3] : Done elaborating 'top'.
Warning : Black-boxes represent unresolved references in the design. [TUI-273] : Cannot resolve
reference to 'inverter'. => In the above example, the user has missed to read the content for a
invert.v file which describes the inverter functionality. Going further: --------------- Blackboxes
represent unresolved references in the design. Use 'set_attribute hdl_error_on_blackbox true /' to
issue an error when a blackbox is found (default false). In Stylus /CUI mode, the messages are as
follows: @genus:root: 13> read_hdl top.v @genus:root: 14> elaborate Info : Elaborating Design.
[ELAB-1] : Elaborating top-level block 'top' from file 'top.v'. Warning : Creating blackbox. [CDFG-
428] : Genus creates a blackbox for instance 'inv_1' in file 'top.v' on line 11. Warning : Undriven
module output port. [ELABUTL-123] : Undriven bits of output port 'Q' in module 'top' in file 'top.v' on
line 3, column 15. Info : Done Elaborating Design. [ELAB-3] : Done elaborating 'top'. Warning :
Black-boxes represent unresolved references in the design. [TUI-273] : Cannot resolve reference to
'inverter'. In Sytlus/CUI, to trigger an error do the following: set_db hdl_error_on_blackbox true .fi
CDFG-436
Severity
Warning
Description
Empty port expression.
CDFG-440
Severity
Warning
Description
Module has both named and positional ports.
What's Next
The two types of port definitions should not be mixed; the ports of a particular module definition
should all be defined by order or all by name.
CDFG-441
Severity
Warning
Description
Renamed port.
What's Next
A module port is renamed when it conflicts with another port name. This can happen when a port
name is duplicated in the port list, or when a port of a complex data type is split into sub-ports, and
the name of sub-port conflicts with an existing port.
CDFG-448
Severity
Warning
Description
Unspecified port size for array of blackbox instances.
What's Next
The 'elaborate' command assumes that if a port size for an array of blackbox instances is not
specified, the port has the same size as the corresponding port connection. If this is incorrect,
specify the correct size for the blackbox port.
CDFG-449
Severity
Warning
Description
There are ports of different directions in port concat expression.
CDFG-456
Severity
Warning
Description
Index expression overflow.
What's Next
A value that is greater than what the index expression can hold is assigned to the index expression.
For example, a register of 2 bits can hold the range of values 0 to 3. The following example will
cause an overflow error when index is greater than 3:
CDFG-464
Severity
Warning
Description
Connected signal is wider than module port.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG-465
Severity
Warning
Description
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG-466
Severity
Warning
Description
Connected signal is wider than libpin.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG-467
Severity
Warning
Description
Libpin is wider than connected signal.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG-471
Severity
Warning
Description
Case item expression is not used.
What's Next
This case item expression never matches the case condition expression.
CDFG-472
Severity
Warning
Description
Unreachable statements for case item.
CDFG-473
Severity
Warning
Description
Case items contain 'don't care' or 'high impedance' values.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG-474
Severity
Warning
Description
Casez items contain 'don't care' values.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG-475
Severity
Warning
Description
Case statement is not parallel but has the 'parallel' case pragma set.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG-476
Severity
Warning
Description
Case statement is not full but has the 'full' case pragma set.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG-477
Severity
Warning
Description
Case statement with 'one hot', 'one cold' or 'enum' pragma is not full.
CDFG-480
Severity
Warning
Description
Ignored redundant case item.
What's Next
When multiple case item expressions match the case condition, only the statements associated
with the first matching item are considered.
CDFG-481
Severity
Warning
Description
Ignored duplicate case branch.
What's Next
Ignored the sequential statements associated with this case branch because all of its case items
match the case condition in previous branches.
CDFG-482
Severity
Warning
Description
An 'X' or 'Z' value appears in a comparison expression.
What's Next
An 'X' or 'Z' value in a comparison statement can cause a simulation mismatch between the original
and the synthesized designs.
CDFG-483
Severity
Warning
Description
What's Next
If no modport is specified in the declaration of an interface-type port or in the connection of such a
port, then all variables and wires from the interface are accessible with direction inout. This can
result in multiple drivers to these variables and wires. It is therefore recommended that all interface-
type ports be used with modports. The following example creates a modport. Notice that the port
named "sbi" is now defined as having a direction of input. Without that declaration, the port will be
seen as bidirectional. .nf interface slice_bus_ifc ; logic [32:0] input_data; modport sbi (input
input_data); endinterface: slice_bus_ifc interface bus_ifc; slice_bus_ifc slice_bus [15:0] ();
endinterface : bus_ifc module a ( slice_bus_ifc.sbi slice ); assign slice.data[0] = slice.data[2];
endmodule module b ( bus_ifc bifc ); a a( .slice(bifc.slice_bus[0]) ); endmodule .fi
CDFG-484
Severity
Warning
Description
A negative value is used where an unsigned value is expected.
What's Next
The value will be interpreted as an unsigned number.
CDFG-485
Severity
Warning
Description
Loop condition is always false.
What's Next
Loop body will not be synthesized.
CDFG-487
Severity
Warning
Description
Non-static conditional expression in 'for' statement.
CDFG-507
Severity
Warning
Description
Reversing assignment for undriven signal.
What's Next
An undriven signal is on the right-hand side of an 'assign' statement, and the signal on the left-hand
side has other drivers. By default, the tool assumes 'assign' statements are bidirectional and
reverses the assignment to drive the undriven signal with the multiply-driven signal. This may cause
a simulation mismatch between the original and the synthesized designs. Use 'set_db
hdl_bidirectional_assign false' (in CUI mode) or 'set_attribute hdl_bidirectional_assign false /' (in
legacy mode) to prevent bidirectional assignments.
CDFG-508
Severity
Warning
Description
Removing unused register.
What's Next
Genus removes the flip-flop or latch inferred for an unused signal or variable. To preserve the flip-
flop or latch, set the hdl_preserve_unused_registers attribute to true or use a pragma in the RTL.
For example, consider the following module: .nf module top (A, clk, Q); input A, clk; output Q; reg Q,
U; always @(posedge clk) begin Q <= A ; U <= ~A ; end endmodule When you elaborate it in
Genus, it issues the following message: @genus:root: 2> read_hdl top.v @genus:root: 3> elaborate
Info : Elaborating Design. [ELAB-1] : Elaborating top-level block 'top' from file 'top.v'. Warning :
Removing unused register. [CDFG-508] : Removing unused flip-flop register 'U' in module 'top' in
file 'top.v' on line 6. : Genus removes the flip-flop or latch inferred for an unused signal or variable.
To preserve the flip-flop or latch, set the hdl_preserve_unused_registers attribute to true. Info : Done
Elaborating Design. [ELAB-3] : Done elaborating 'top'. => The tool indicates the signal involved as
well as the related line from the RTL source file. In this case, as there is no use of U signal, Genus
consider it as a dead logic and thus removes by the elaboration step. Going further, if you want to
keep this register for future use, then you can set the hdl_preserve_unused_register to true. This
will preserve all the unused registers. Registers which are likely to be optimized away also get
preserved, thus this is not the best approach. Another finer grain approach is to use a pragma in the
RTL to instruct the tool to keep the specific register only. If you want to keep this DFF, refer the
following modified RTL: module top (A, clk, Q); input A, clk; output Q; reg Q, U /*cadence
preserve_sequential*/; always @(posedge clk) begin Q <= A ; U <= ~A ; end endmodule As a result,
the elaboration keeps the register on the U signal. Note: Pragma only applies to the U signal.
Example: @genus:root: 6> read_hdl top_pres.v @genus:root: 7> elaborate Info : Elaborating
Design. [ELAB-1] : Elaborating top-level block 'top' from file 'top_pres.v'. Info : Done Elaborating
Design. [ELAB-3] : Done elaborating 'top'. @genus:root: 8> write_hdl [...] module top(A, clk, Q);
input A, clk; output Q; wire A, clk; wire Q; wire U, n_3; not g1 (n_3, A); CDN_flop Q_reg(.clk (clk), .d
(A), .sena (1'b1), .aclr (1'b0), .apre(1'b0), .srl (1'b0), .srd (1'b0), .q (Q)); CDN_flop U_reg(.clk (clk), .d
(n_3), .sena (1'b1), .aclr (1'b0), .apre (1'b0), .srl (1'b0), .srd (1'b0), .q (U)); endmodule [...] => Now,
there is a U_reg instance in the design after elaboration. .fi
CDFG-552
Severity
Warning
Description
Instantiation of empty module.
What's Next
Perhaps a simulation module was instantiated by mistake.
CDFG-556
Severity
Warning
Description
Library cell has same name as module.
What's Next
By default, Genus uses the user-defined modules to resolve the instance. If you want Genus to use
a library cell to resolve the instance, set the attribute hdl_resolve_instance_with_libcell to true.
CDFG-558
Severity
Warning
Description
Could not find valid map_to_operator implementation for call to subprogram.
What's Next
A legal implementation could not be found for the given subprogram call from the available set of
ChipWare implementations. The 'map_to_operator' pragma is being ignored for this call, and it will
be treated as a regular subprogram call by the elaborator.
CDFG-559
Severity
Warning
Description
Could not find valid implementation for component instance.
What's Next
A legal implementation could not be found for a specific instance of a ChipWare component from
the set of implementations defined for the component. The instance will be treated as a blackbox.
CDFG-562
Severity
Warning
Description
Input port connected to output instance port.
CDFG-563
Severity
Warning
Description
Connecting actual to multiple formal ports.
What's Next
A port of a complex type (record, struct, interface, or multidimensional array) is broken up into sub-
ports during synthesis. When instantiating a synthesized netlist with such ports in a bottom-up flow,
elaborate attempts to match the sub-ports to the elements of the complex type port in the
instantiating module.
CDFG-564
Severity
Warning
Description
Connecting actual to multiple ports of cell.
What's Next
A connection has been made between a vector net in the rtl and a bit-blasted collection of pins of a
library cell.
CDFG-566
Severity
Warning
Description
No actual corresponding to wildcard port; will be left unconnected.
What's Next
In a module instance using '.*' notation, it is not necessary to have a matching signal in the
instantiating module for every unconnected port of the instantiated module. When there is no
matching signal, the corresponding port is left unconnected.
CDFG-600
Severity
Warning
Description
Illegal value for attribute 'hdl_parameter_naming_style'.
What's Next
The 'hdl_parameter_naming_style' attribute value must include zero or one instances of '%s' to
represent the parameter name and exactly one instance of '%d' to represent the parameter value.
For example, 'set_db hdl_parameter_naming_style "_%s_%d"' (in CUI mode) or 'set_attribute
hdl_parameter_naming_style "_%s_%d"' (in legacy mode).
CDFG-602
Severity
Warning
Description
Illegal value for attribute 'hdl_array_naming_style'.
What's Next
The 'hdl_array_naming_style' attribute value must include one instance of '%s' to represent the
variable name and one instance of '%d' (in order) to represent the bit number. For example, 'set_db
hdl_array_naming_style "%s[%d]"' (in CUI mode) or 'set_attribute hdl_array_naming_style
"%s[%d]"' (in legacy mode).
CDFG-603
Severity
Warning
Description
Illegal value for attribute 'hdl_record_naming_style'.
What's Next
The 'hdl_record_naming_style' attribute value must include one instance of '%s' to represent the
variable name and one instance of '%s' to represent the field name. For example, 'set_db
hdl_record_naming_style "%s[%s]"' (in CUI mode) or 'set_attribute hdl_record_naming_style
"%s[%s]"' (in legacy mode).
CDFG-604
Severity
Warning
Description
Illegal value for attribute 'hdl_generate_index_style'.
What's Next
The 'hdl_generate_index_style' attribute value must include zero or one instances of '%s' to
represent the generate label and exactly one instance of '%d' to represent the generate index value.
For example, 'set_db hdl_generate_index_style "%s[%d]"' (in CUI mode) or 'set_attribute
hdl_generate_index_style "%s[%d]"' (in legacy mode).
CDFG-605
Severity
Warning
Description
Illegal value for attribute 'hdl_instance_array_style'.
What's Next
The 'hdl_instance_array_style' attribute value must include zero or one instances of '%s' to
represent the instance label and exactly one instance of '%d' to represent the array index value. For
example, 'set_db hdl_instance_array_style "%s[%d]"' (in CUI mode) or 'set_attribute
hdl_instance_array_style "%s[%d]"' (in legacy mode).
CDFG-606
Severity
Warning
Description
Illegal value for attribute 'hdl_bus_wire_naming_style'.
What's Next
The 'hdl_bus_wire_naming_style' attribute value must include one instance of '%s' to represent the
wire name and one instance of '%d' to represent the bit number. For example,'set_db
hdl_bus_wire_naming_style "%s[%d]"' (in CUI mode) or 'set_attribute hdl_bus_wire_naming_style
"%s[%d]"' (in legacy mode).
CDFG-610
Severity
Warning
Description
Illegal value for attribute 'hdl_reg_array_naming_style'.
What's Next
The 'hdl_reg_array_naming_style' attribute value must include one instance of '%s' to represent the
variable name and one instance of '%d' to represent the array index value. For example, 'set_db
hdl_reg_array_naming_style "%s_%d"' (in CUI mode) or 'set_attribute hdl_reg_array_naming_style
"%s_%d"' (in legacy mode).
CDFG-611
Severity
Warning
Description
Illegal value for attribute 'hdl_reg_record_naming_style'.
What's Next
The 'hdl_reg_record_naming_style' attribute value must include one instance of '%s' to represent
the variable name and one instance of '%s' to represent the field name. For example, 'set_db
hdl_reg_record_naming_style "%s_%s"' (in CUI mode) or 'set_attribute
hdl_reg_record_naming_style "%s_%s"' (in legacy mode).
CDFG-612
Severity
Warning
Description
Parameter specification issue.
CDFG-745
Severity
Warning
Description
Common subexpression not eliminated.
CDFG-746
Severity
Warning
Description
Ignored 'map_to_mux' pragma due to FSM extraction.
What's Next
The 'map_to_mux' pragma is used with a 'case' statement that is part of an extracted finite state
machine.
CDFG-748
Severity
Warning
Description
No datapath license available. Operator merging will not be done.
CDFG-751
Severity
Warning
Description
Ignored 'map_to_mux' pragma due to constant data inputs.
What's Next
The 'map_to_mux' pragma is used for a signal that is only assigned constant values. The logic is
implemented with AND and OR gates rather than a multiplexer so that efficient logic optimization
techniques can be applied.
CDFG-756
Severity
Warning
Description
Bit-select index out of bounds for CDFG node.
CDFG-760
Severity
Warning
Description
Invalid carrysave signal. Operator cannot produce carrysave output.
CDFG-761
Severity
Warning
Description
Invalid carrysave signal. Operator cannot accept carrysave input.
CDFG-762
Severity
Warning
Description
Invalid carrysave signal. Signal is unsigned and operator is signed.
CDFG-763
Severity
Warning
Description
Invalid carrysave signal due to truncation followed by extension.
CDFG-764
Severity
Warning
Description
Invalid carrysave signal. Operator size is less than the threshold of four bits and the downstream
operator is a multiplier.
CDFG-765
Severity
Warning
Description
Invalid carrysave signal. Multiplier cannot have two carrysave inputs.
What's Next
Multiplier implementations are inefficient when both inputs are carrysave.
CDFG-766
Severity
Warning
Description
Invalid carrysave signal. Operator represents a trivial carrysave sum.
CDFG-778
Severity
Warning
Description
Large bit-width datapath operator detected.
CDFG-779
Severity
Warning
Description
CDFG-801
Severity
Warning
Description
Case statements marked with a '*' may cause simulation mismatches between the original and
synthesized designs.
What's Next
A 'full' or 'parallel' case pragma is used with a case statement in which not all cases are covered or
some case items overlap.
CDFG-811
Severity
Warning
Description
Port connection width mismatch.
CDFG-812
Severity
Warning
Description
Ignored architecture because it is not applicable to any operator in the instance.
CDFG-814
Severity
Warning
Description
Linking to physical only cell only where timing library is absent. Paths that involve these cells are
not timed.
What's Next
Refer .lib file for timing information. This warning means that in your RTL you have instantiated a
cell that does not exist in the timing library but does exist in the LEF library. You can use the
command 'check_library -libcell physical_cells/*' to see the physical cell that you have used in your
RTL.
CDFG-818
Severity
Warning
Description
Using default parameter value for module elaboration.
CDFG-825
Severity
Warning
Description
Reversed part-select index range direction.
What's Next
The part-select index range direction must match the declared direction.
CDFG-827
Severity
Warning
Description
What's Next
The dimension of the unconstrained generic is set as '0' to 'width - 1'. This may differ from the
dimension of the actual value passed in the Verilog instantiation.
CDFG-831
Severity
Warning
Description
Two or more attributes are enabled that should not exist together.
What's Next
Only one of the conflicting attributes can be enabled at any given point in time.
CDFG-894
Severity
Warning
Description
Invalid design name.
CDFG2G
CDFG2G-200
Severity
Error
Description
Could not create implementation.
What's Next
Final netlist will not contain the referenced node.
CDFG2G-201
Severity
Error
Description
Could not connect node.
What's Next
Final netlist will not contain connections to the referenced node.
CDFG2G-203
Severity
Error
Description
Could not create implementation for variable. Variable indexes larger than 32 bits are not
supported.
What's Next
Error during elaboration.
CDFG2G-204
Severity
Error
Description
Could not process alias names for complex port.
What's Next
Error during elaboration.
CDFG2G-209
Severity
Error
Description
DCset overlaps with (ONset u OFFset).
What's Next
Error during elaboration.
CDFG2G-211
Severity
Error
Description
Could not set library domain.
What's Next
Load the libraries into the library domain first.
CDFG2G-212
Severity
Error
Description
Number of pins on a positional instantiation exceeds the number of pins present on the module
definition for the instantiation.
What's Next
Error during elaboration.
CDFG2G-213
Severity
Error
Description
Could not find pin in module definition.
What's Next
Error during elaboration.
CDFG2G-215
Severity
Error
Description
Inferred a flip-flop triggered by a falling clock edge.
What's Next
Use 'set_attribute hdl_error_on_negedge false' to allow falling edge-triggered flip-flops.
CDFG2G-416
Severity
Error
Description
Could not connect ports.
What's Next
The connection between the referenced ports will not be implemented in the final netlist.
CDFG2G-700
Severity
Error
Description
Could not create ET RAM.
What's Next
Error during elaboration.
CDFG2G-616
CDFG2G-617
CDFG2G-624
CDFG2G-628
CDFG2G-630
CDFG2G-110
Severity
Info
Description
Finished processing module.
What's Next
The processing of the referenced module is complete.
CDFG2G-210
Severity
Info
Description
Sum-of-products logic.
CDFG2G-616
Severity
Info
Description
Latch inferred. Check and revisit your RTL if this is not the intended behavior.
What's Next
Use the attributes 'set_attribute hdl_error_on_latch true'(LUI) or 'set_db hdl_error_on_latch true'
(CUI) to issue an error when a latch is inferred. Use the attributes 'set_attributes
hdl_latch_keep_feedback true'(LUI) or 'set_db hdl_latch_keep_feedback true'(CUI) to infer
combinational logic rather than a latch in case a variable is explicitly assigned to itself.
CDFG2G-617
Severity
Info
Description
Bad pin specified in attribute 'hdl_skip_unconnected_settings'.
What's Next
The path specified is either absent or crosses a hierarchical boundary.
CDFG2G-624
Severity
Info
Description
Detected a RTL Macro Function represented as constant conditional logic.
CDFG2G-628
Severity
Info
Description
Variable indexed array read/write found inside for loop.
CDFG2G-630
Severity
Info
Description
Optimized bmux created for array write using mux_opto api.
CDFG2G-207
CDFG2G-216
CDFG2G-217
CDFG2G-300
CDFG2G-607
CDFG2G-608
CDFG2G-610
CDFG2G-611
CDFG2G-612
CDFG2G-613
CDFG2G-614
CDFG2G-615
CDFG2G-618
CDFG2G-619
CDFG2G-620
CDFG2G-621
CDFG2G-622
CDFG2G-623
CDFG2G-625
CDFG2G-626
CDFG2G-627
CDFG2G-207
Severity
Warning
Description
Unsupported datapath operation.
CDFG2G-216
Severity
Warning
Description
Illegal value for attribute 'hdl_reg_naming_style'.
What's Next
The 'hdl_reg_naming_style' attribute value must include one instance of '%s' to represent the name
of the variable for which the flip-flop or latch was inferred and one instance of '%s' to represent the
bit number as specified by the 'hdl_array_naming_style' attribute if the variable is a vector. For
example, 'set_attribute hdl_reg_naming_style "%s_reg%s"'.
CDFG2G-217
Severity
Warning
Description
Preserving 'keep_signal_name' signal.
What's Next
Better results may be possible if the 'keep_signal_name' pragma is removed.
CDFG2G-300
Severity
Warning
Description
An external name mentioned could not be found in expected hierarchical path.
What's Next
This can happen either due to bad design input or due to optimization of the corresponding net by
elaboration.
CDFG2G-607
Severity
Warning
Description
Ignored 'map_to_mux' pragma due to excessive mux size.
What's Next
The 'map_to_mux' pragma specifies that a multiplexer with 2^n data inputs be used to implement
the 'case' statement logic, where 'n' is the width of the 'case' condition. When 'n' exceeds the
'hdl_max_map_to_mux_control_width' attribute value, the logic is implemented with AND and OR
gates rather than a multiplexer. Increase the 'hdl_max_map_to_mux_control_width' attribute value
to implement a multiplexer.
CDFG2G-608
Severity
Warning
Description
Accessed non-constant signal during asynchronous set or reset operation.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG2G-610
Severity
Warning
Description
Accessed non-constant signal during synchronous set or reset operation.
What's Next
Better results may be possible if the logic is implemented using the flip-flop data pin rather than the
set and reset pins. To use the data pin, remove the 'sync_set_reset' attribute or pragma that affects
this assignment.
CDFG2G-611
Severity
Warning
Description
Could not create carrysave operation.
What's Next
The $carrysave function input expression cannot be implemented with carrysave arithmetic
operations and is instead in binary format. The $carrysave function output is a zero extension of the
input expression.
CDFG2G-612
Severity
Warning
Description
Ignored 'map_to_mux' pragma due to lack of library mux cells.
What's Next
To map to a mux, either load a library with a mux cell that is not specified 'dont_use', or set the
'avoid' attribute of a library mux cell to 'false' prior to 'elaborate'.
CDFG2G-613
Severity
Warning
Description
Ignored 'map_to_mux' pragma due to non-mux behavior.
What's Next
The logic is implemented with AND and OR gates rather than a multiplexer. The 'map_to_mux'
pragma is ignored when, for example, some case items are not covered, overlap, or are not
constant, or the assigned signal fans out to flip-flop or latch set or reset pins.
CDFG2G-614
Severity
Warning
Description
Pragma 'map_to_mux' is not recommended for some bits of signal.
What's Next
Better results may be possible if the 'map_to_mux' pragma is not specified for this statement.
CDFG2G-615
Severity
Warning
Description
Generated logic differs from the expected logic.
What's Next
The logic generated for an always_comb, always_latch or always_ff process may not match the
behavior specified in the input HDL.
CDFG2G-618
Severity
Warning
Description
Deleted transparent (always enabled) latch.
What's Next
Use 'set_attribute hdl_delete_transparent_latch false' to preserve transparent latches during
'elaborate'.
CDFG2G-619
Severity
Warning
Description
Preserved transparent (always enabled) latch.
What's Next
This latch will be deleted during synthesis. Use 'set_attribute hdl_delete_transparent_latch true' to
delete transparent latches during 'elaborate'.
CDFG2G-620
Severity
Warning
Description
Module already exists.
What's Next
The referenced module will not be generated again.
CDFG2G-621
Severity
Warning
Description
SystemVerilog variable has multiple concurrent or sequential drivers.
What's Next
SystemVerilog variables can be written by one or more sequential statements or one concurrent
assignment or one port.
CDFG2G-622
Severity
Warning
Description
Signal or variable has multiple drivers.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
CDFG2G-623
Severity
Warning
Description
Signal or variable has multiple drivers, including a constant driver.
What's Next
Some tools may not accept this HDL.
CDFG2G-625
Severity
Warning
Description
Connected a power or ground positional port.
What's Next
The tool assumes that power and ground port connections are listed last for positional
instantiations, and are connected in the order that the power and ground pins are specified in the
cell library.
CDFG2G-626
Severity
Warning
Description
Treatment of directionality of assignment to wand/wor net in elaboration can have impact on
verifiability of elaborated netlist. You can change the directionality treatment during elaboration
using the attribute hdl_bidirectional_wand_wor_assign.
CDFG2G-627
Severity
Warning
Description
Variable indexed array write found in concurrent context. This is not allowed as per SV LRM. Genus
allows it and implements it using combinational loops around multiplexer. This may create
problems in hardware implementation as well as verification.
CFM
CFM-3
Severity
Error
Description
Could not write dofile.
What's Next
Ensure that the file is writable.
CFM-101
Severity
Error
Description
Invalid command option. LEC command file not written.
What's Next
Check the options and rerun the command.
CFM-102
Severity
Error
Description
There is no design to work on.
What's Next
This command requires a design.
CFM-103
Severity
Error
Description
There are multiple top designs. Specify one.
What's Next
Rerun command with a specific design.
CFM-104
Severity
Error
Description
The specified top design does not exist.
What's Next
Check specified design name and rerun command.
CFM-114
Severity
Error
Description
'write_fv' is obsoleted.
What's Next
Use 'write_do_lec' instead.
CFM-206
Severity
Error
Description
The clock-gating style is not supported in LEC.
What's Next
There are three styles of clock-gating in Genus. Among them, 'latch' is supported in this Genus-to-
LEC translation, 'ff' and 'none' are not.
CFM-301
Severity
Error
Description
Internal error: Cannot locate a library or design file that was successfully loaded into Genus.
What's Next
A specified file cannot be found.
CFM-302
Severity
Error
Description
Internal error: Unknown HDL file format.
What's Next
The known formats are: -v1995, -v2001, -sv, -vhdl1987, -vhdl1993 and -vhdl2008.
CFM-303
Severity
Error
Description
Internal error: Unknown undriven setting.
What's Next
The known undriven settings are '0', '1', 'X', and 'none'.
CFM-305
Severity
Error
Description
Internal error: Unknown type of clock net.
What's Next
The known types of clock nets are 'port' and 'pin'.
CFM-306
Severity
Error
Description
Internal error: Unknown type of test signal.
What's Next
The known types of DFT test signals are 'shift_enable' and 'test_mode'.
CFM-307
Severity
Error
Description
Internal error: Should not be Type 3 when there is no last_checkpoint netlist.
What's Next
If Type 3 is prescribed that there is no last_checkpoint netlist found, the dofile type is automatically
changed from 3 to 5.
CFM-1
Severity
Info
Description
Wrote dofile.
CFM-2
Severity
Info
Description
Wrote composite dofile.
CFM-5
Severity
Info
Description
Wrote formal verification information.
CFM-6
Severity
Info
Description
Root attribute 'wlec_composite_compare' is set to 'false'.
CFM-7
Severity
Info
Description
Root attribute 'wlec_dft_constraint_file' is not an empty string.
CFM-16
Severity
Info
Description
Root attribute 'one_pass_formal_verification' is set to 'true'.
CFM-211
Severity
Info
Description
Design has instantiated ChipWare components.
What's Next
If CDN_SYNTH_ROOT is different while invoking LEC, then uncomment appropriately in the dofile.
CFM-212
Severity
Info
Description
Forcing flat compare.
CFM-308
Severity
Info
Description
What's Next
Only output ports which are scan out of a scan chain can be ignored.
CFM-502
Severity
Info
Description
The dofile generated is compatible with LEC version '16.10-s240' or later.
CFM-503
Severity
Info
Description
The dofile generated is compatible with LEC version '17.20-p100' or later.
CFM-600
Severity
Info
Description
'Conformal LEC15.10-p100' or later builds is recommended for verification.
What's Next
The use of 'Conformal LEC15.10-p100' or later builds is recommended to get better verification
results.
CFM-202
CFM-205
CFM-207
CFM-208
CFM-209
CFM-210
CFM-213
CFM-214
CFM-649
CFM-651
CFM-652
CFM-653
CFM-654
CFM-4
Severity
Warning
Description
The LEC run log will not be saved in a file.
What's Next
Either uncomment the 'set_log_file' command in the generated dofile or reissue 'write_do_lec' with
the preferred '-logfile' value.
CFM-8
Severity
Warning
Description
Could not write alias information.
CFM-9
Severity
Warning
Description
Option only used for rtl-to-fv_map compare in composite dofile.
CFM-10
Severity
Warning
Description
Option only used for fv_map-to_revised compare in composite dofile.
CFM-11
Severity
Warning
Description
Could not write mapping file.
CFM-12
Severity
Warning
Description
RTL file list is empty.
What's Next
The dofile will not read in the RTL, causing compare to fail.
CFM-13
Severity
Warning
Description
What's Next
The dofile will not read in the RTL, causing compare to fail.
CFM-14
Severity
Warning
Description
Root attribute 'hdl_vname_hier_separator' is recommended to be set to '.' when RTL name mapping
flow is enabled.
CFM-106
Severity
Warning
Description
Conflicting specification of hier vs flat compare.
CFM-107
Severity
Warning
Description
Retiming LEC comparison cannot be hierarchical.
CFM-108
Severity
Warning
Description
Cannot identify a checkpoint netlist to generate the last_checkpoint sub-dofile; Will instead use RTL
as golden to generate a one-shot dofile.
What's Next
Golden design of the last sub-dofile has to be a checkpoint netlist file with a .v suffix in the
checkpoint directory. To use the RTL code as the golden design, either set the checkpoint_flow root
attr to false, or do '-golden_design RTL' instead. To use an alternative golden netlist, do '-
golden_design' with its filename.
CFM-109
Severity
Warning
Description
Cannot find an expected LEC sub-dofile.
What's Next
Following the documented naming convention, a sub-dofile of this name is expected but not
available (at the checkpoint directory). Possibly something is wrong.
CFM-111
Severity
Warning
Description
Cannot find simulation model of a ChipWare component.
What's Next
If a ChipWare component is instantiated in the RTL code, the generated dofile needs to read in its
simulation model to verify the design. But this component is not registered with any simulation
model in the ChipWare Developer registration. With loading its simulation model, this component
will become a black box in LEC operation.
CFM-112
Severity
Warning
Description
-flat is specified.
CFM-113
Severity
Warning
Description
No formal verification information for golden design.
What's Next
Verification information is generated by 'write_do_lec'. To generate accurate verification information
for a golden design, 'netlist.v', call 'write_do_lec -revised netlist.v' at the same point in the synthesis
flow that 'write_hdl > netlist.v' is called.
CFM-116
Severity
Warning
Description
Changing the current label because of a conflict with a previous one.
What's Next
Check previous invocations of 'write_do_lec'. The presence of CFM-117 warning can be a clue.
CFM-117
Severity
Warning
Description
Possible inconsistent information for netlist.
What's Next
Check previous invocations of 'write_do_lec'. The presence of CFM-116 warning can be a clue.
CFM-201
Severity
Warning
Description
There is a library filename with .lbr suffix.
What's Next
A .lbr library file is often a Genus binary library file, which Conformal LEC does not recognize. If this
is indeed a Genus binary library file, the LEC 'read library' command will error out. In such case,
update the generated dofile with an equivalent .lib file or the corresponding simulation library file(s).
CFM-202
Severity
Warning
Description
The undriven setting in Genus is not uniform.
What's Next
There are three undriven-related attrs in Genus. There is only one undriven setting in LEC. To make
sure Genus and LEC interpret the RTL code consistently, setting of these three Genus attrs must be
uniform.
CFM-205
Severity
Warning
Description
The parameter naming style is not LEC-friendly.
What's Next
To correctly translate the parameter naming style, value of the hdl_parameter_naming_style attr
must end with '%d'. There should not be anything after the '%d' descriptor. Should there be black
boxes in the design, the incorrect translation may induce false negative in LEC comparison.
CFM-207
Severity
Warning
Description
Fail to open a file for writing.
CFM-208
Severity
Warning
Description
Verilog include file assumed to be in v1995.
What's Next
If a loaded HDL file is not found in the hdl_filelist attr, it must be a Verilog include file, and is
assumed to be in Verilog-1995.
CFM-209
Severity
Warning
Description
Multiple LEC pin constraints added to the dofile.
What's Next
The constraints needed to disable test mode are not trivial. It is possible that some valid functional
modes will be excluded from the formal verification process. Review the constraints to ensure they
are all expected and appropriate.
CFM-210
Severity
Warning
Description
Unknown design view.
What's Next
This attr expects a design view specification of 'both', 'golden', or 'revised'.
CFM-213
Severity
Warning
Description
The dofile is incomplete.
CFM-214
Severity
Warning
Description
Attribute boundary_optimize_invert_hier_pins_renaming_extension is empty string. No renaming
rule generated for LEC.
CFM-649
Severity
Warning
Description
Skip writing LP related commands in the dofile. This might result in non-equivalence.
CFM-651
Severity
Warning
Description
CW component simulation model of user choice is not found.
CFM-652
Severity
Warning
Description
Skip writing DFT constraints in the dofile. This might result in non-equivalence.
CFM-653
Severity
Warning
Description
Both '-netlist' and '-revised_design' set.
CFM-654
Severity
Warning
Description
Attribute is set to an illegal value.
CG
CG Error Messages
CG Information Messages
CG Warning Messages
CG Error Messages
CG-101
CG-201
CG-207
CG-209
CG-215
CG-216
CG-300
CG-301
CG-406
CG-500
CG-701
CG-101
Severity
Error
Description
Failed to insert clock-gating logic.
What's Next
Make sure you have permission to write to temporary directory $TMPDIR.
CG-201
Severity
Error
Description
Failed to insert observability logic.
What's Next
Read and elaborate the design. Insert clock-gating logic with observability logic. Rerun the
'clock_gating insert_obs' command to insert observability logic.
CG-207
Severity
Error
Description
What's Next
Make sure the clock pin of the clock-gating instance is connected.
CG-209
Severity
Error
Description
Failed to insert observability logic.
What's Next
Make sure there is a clock input pin on the clock-gating instance.
CG-215
Severity
Error
Description
Failed to insert observability logic.
What's Next
Make sure that the library cell name specified using '-libcell' option is correct, and that it exists in all
the defined library domains. Skip the '-libcell' option to allow Genus to pick up appropriate library
cell for gating the observability flops automatically.
CG-216
Severity
Error
Description
Failed to insert observability logic.
What's Next
Currently, only an AND gate can be specified using '-libcell' option for gating observability flops.
Skip the '-libcell' option to allow Genus to pick up appropriate library cell for gating the observability
flops automatically.
CG-300
Severity
Error
Description
Failed on clock_gating share.
What's Next
The '-max_stage' option of the 'clock_gating share' command specifies the maximum number of
shared stages of clock-gating logic. It should be either a positive number or a list of lists, where
each of these lists is a 2-tuple of the form '{<clock> <positive number>}'.
CG-301
Severity
Error
Description
Failed on clock-gating share.
What's Next
Make sure that there is a clock input pin on the clock-gating instance.
CG-406
Severity
Error
Description
Cannot remove clock-gating logic from subdesign.
What's Next
You can only remove clock-gating logic from the design or from a hierarchical instance.
CG-500
Severity
Error
Description
Bad value for option '-start_from'.
What's Next
The value has to be a valid path for a hierarchical instance.
CG-701
Severity
Error
Description
Could not find clock to insert dummy clock-gating logic.
What's Next
Define a clock using the command define_clock.
CG Information Messages
CG-103
CG-210
CG-211
CG-214
CG-400
CG-430
CG-700
CG-801
CG-802
CG-803
CG-103
Severity
Info
Description
Created discrete clock-gating module.
What's Next
Two discrete clock-gating modules are created: one for the positive-edge triggered registers and
one for the negative-edge triggered registers. The names of the clock-gating modules are based on
the name of the design.
CG-210
Severity
Info
Description
Failed to insert observability logic.
What's Next
Use '::legacy::set_attribute lp_clock_gating_add_obs_port true /designs/<design>' to select clock-
gating logic with observability logic. Insert clock-gating logic starting either from RTL or a netlist.
Rerun the 'clock_gating insert_obs' command to insert observability logic.
CG-211
Severity
Info
Description
Skipped insertion of observability logic.
CG-214
Severity
Info
Description
No value was specified for the '-max_cg' option.
What's Next
The '-max_cg' option of the 'clock_gating insert_obs' command specifies the maximum number of
clock-gating cells that can be observed per observability flip-flop. You can specify a number
between 1 and 32.
CG-400
Severity
Info
Description
Removed a clock-gating instance.
CG-430
Severity
Info
Description
Retained a clock-gating instance.
CG-700
Severity
Info
Description
Could not insert dummy clock-gating logic.
What's Next
Set the lp_clock_gating_exclude attribute to false on the flop to insert dummy clock-gating logic.
CG-801
Severity
Info
Description
Cannot change clock-gating instance name in ILM module.
CG-802
Severity
Info
Description
Cannot change clock-gating name of preserved instance.
CG-803
Severity
Info
Description
Cannot change clock-gating name in preserved module.
CG Warning Messages
CG-102
CG-202
CG-203
CG-204
CG-206
CG-208
CG-212
CG-302
CG-303
CG-401
CG-404
CG-405
CG-407
CG-408
CG-409
CG-410
CG-411
CG-412
CG-413
CG-414
CG-415
CG-416
CG-417
CG-418
CG-419
CG-420
CG-421
CG-422
CG-423
CG-424
CG-425
CG-426
CG-427
CG-428
CG-429
CG-431
CG-432
CG-433
CG-434
CG-435
CG-436
CG-437
CG-438
CG-439
CG-440
CG-601
CG-602
CG-603
CG-901
CG-102
Severity
Warning
Description
The requested clock-gating cell type does not exist.
What's Next
You can only request observability logic if you also requested test-control logic. If
'lp_clock_gating_add_obs_port' is set to 'true', you must set 'lp_clock_gating_control_point' to either
'precontrol' or 'postcontrol'.
CG-202
Severity
Warning
Description
Cannot insert observability logic.
What's Next
Observation logic is inserted based on clock information. The clock information is required because
only clock-gating logic driven by the same clock can share an observability flip-flop. The clock
information can be derived from clock constraints or from the physical connectivity. To insert the
observation logic based on clock constraints, define the clocks using the 'define_clock' command,
then rerun the 'clock_gating insert_obs' command. To insert the observation logic based on
physical connectivity, invoke the 'clock_gating insert_obs' command with the '-
ignore_clock_constraint' option.
CG-203
Severity
Warning
Description
Failed to insert observability logic.
What's Next
No Genus inserted clock-gating instances found in the instances not excluded by the exclude
option.
CG-204
Severity
Warning
Description
Failed to insert observability logic.
What's Next
You must set 'lp_clock_gating_add_obs_port' to 'true', before inserting clock gating in the design, to
select clock-gating logic that contains observability logic. Then rerun the 'clock_gating insert_obs'
command.
CG-206
Severity
Warning
Description
Failed to insert observability logic.
What's Next
The '-max_cg' option of the 'clock_gating insert_obs' command specifies the maximum number of
clock-gating cells that can be observed per observability flip-flop. Specify a number between 1 and
32.
CG-208
Severity
Warning
Description
Failed to insert observability logic.
What's Next
Define the test signal using the 'define_dft test_mode' or the 'define_dft shift_enable' command. Set
the 'lp_clock_gating_test_signal'
CG-212
Severity
Warning
Description
Failed to insert observability logic for clock-gating logic.
What's Next
Observability logic cannot be inserted in an instance if part of its hierarchy is marked preserved. To
ensure the insertion of observability logic, set the 'preserve' attribute to 'false' on the subdesign or
instance that was reported preserved, then rerun the 'clock_gating insert_obs' command.
CG-302
Severity
Warning
Description
No shared clock-gating logic inserted.
CG-303
Severity
Warning
Description
The clock-gating instance will not be considered for share operation.
CG-401
Severity
Warning
Description
Could not find any design. Unable to remove clock-gating.
CG-404
Severity
Warning
Description
Could not remove clock-gating logic.
What's Next
To remove all clock-gating logic in the hierarchy, use 'clock_gating remove -hier'. If this fails, either
the design did not contain any clock-gating logic, or you removed the clock-gating logic previously.
CG-405
Severity
Warning
Description
Could not remove clock gating instance.
What's Next
The specified clock gating instance gates a flop which is either marked preserved or is connected to
a net marked preserve. To remove the clock gating instance you would first need to remove the
preserve status of the flop or the net.
CG-407
Severity
Warning
Description
Failed to remove observability logic.
What's Next
Found no observability logic under current hierarchy.
CG-408
Severity
Warning
Description
Removed observation flip-flop from scan chain.
What's Next
When removing an observation flip-flop from a scan chain, that scan chain becomes disconnected.
To reconnect the scan chains, use the 'connect_scan_chains' command.
CG-409
Severity
Warning
Description
Combinational logic at the gated clock of clock-gating instance too complex for 'clock_gating
remove' to handle.
What's Next
'clock_gating remove' can only work on trivial combinational logic, consisting of inverters and
buffers in the fanout of the gated clock, when the clock-gating instance and the flops that it is driving
are in the same hierarchy. For more complex logic, 'clock_gating remove' would not be attempted.
CG-410
Severity
Warning
Description
Cannot remove a multi-stage clock-gating instance.
What's Next
Currently, only leaf-level clock-gating instances can be removed.
CG-411
Severity
Warning
Description
Cannot remove clock-gating instance which is preserved.
What's Next
To remove preserved clock-gating instances, first unpreserve them.
CG-412
Severity
Warning
Description
Cannot remove clock-gating driving flops with opposite edges.
What's Next
A positive-edge controlled clock-gating instance cannot be removed if it is driving negative-edge
triggered flops, and a negative-edge controlled clock-gating instance cannot be removed if it is
driving positive-edge triggered flops.
CG-413
Severity
Warning
Description
Cannot remove clock-gating instance, which either does not drive flops or drives non-flop instances.
What's Next
'clock_gating remove' does not remove clock-gating instances, which either do not drive any flops
or drive instances other than flops, such as - black-boxes, latches, etc.
CG-414
Severity
Warning
Description
Cannot remove clock-gating instance, which is driving generic flops.
CG-415
Severity
Warning
Description
Cannot remove clock-gating instance, which has a synchronous set/reset pin.
What's Next
Currently, only clock-gating instances, which do not have a synchronous set/reset pin, can be
removed.
CG-416
Severity
Warning
Description
Cannot remove clock-gating instance.
What's Next
To remove the clock-gating instance you first need to change the preserve status of the preserved
instance, cell, pin, or net.
CG-417
Severity
Warning
Description
Cannot remove clock-gating instance.
What's Next
The clock-gating instance gates flops with synchronous reset. Currently only clock-gating
instances, which are not gating any flops with synchronous reset, can be removed.
CG-418
Severity
Warning
Description
Cannot remove a negative-edge controlled clock-gating instance, which has a constant 0
connected to its enable pin.
CG-419
Severity
Warning
Description
Cannot remove clock-gating instance, which is driving scan flops that are being used as regular
flops.
What's Next
'clock_gating remove' can only remove clock-gating instances driving scan flops, which are not
used as regular functional flops.
CG-420
Severity
Warning
Description
Cannot remove clock-gating instance, which is driving flops in a scan chain.
What's Next
'clock_gating remove' can only remove clock-gating instances driving scan flops, which are not
connected in a scan chain.
CG-421
Severity
Warning
Description
Cannot remove clock-gating instance, which is driving scan flops that do not have a unique data
pin.
CG-422
Severity
Warning
Description
Cannot remove clock-gating instance, which is driving flops that do not have a unique data pin.
CG-423
Severity
Warning
Description
Cannot remove clock-gating instance, which is driving flops that do not have a unique data pin.
CG-424
Severity
Warning
Description
Cannot remove clock gating instance.
What's Next
The command 'clock_gating remove -flops' is ignored for the specified flop because there is no
logic to be removed.
CG-425
Severity
Warning
Description
Could not remove clock-gating instance. Combinational logic other than inverters and buffers or
design output found at the gated clock.
CG-426
Severity
Warning
Description
Could not remove clock gating instance.
What's Next
The specified clock gating instance gates a flop whose Q pin or out pin does not drive any logic.
CG-427
Severity
Warning
Description
Could not remove clock gating instance.
What's Next
The specified clock gating instance drives a clock gating cell which is either marked preserved or is
connected to a net marked preserve. To remove the clock gating instance you would first need to
remove the preserve status of the clock gating cell or the net.
CG-428
Severity
Warning
Description
Could not remove the specified generic clock gating instance.
What's Next
The specified generic clock gating integrated cell cannot be removed at this time. Support will be
added in a future release. Usually this message will be printed as part of the clock_gating remove
command as a warning message saying that it could not remove the specified generic clock gating
instance. .SH Related Information Usually this message will be printed as part of the clock_gating
remove command as a warning message that it could not remove the specified generic clock gating
instance. Example: .nf <CMD> clock_gating remove -effort high -hierarchical clock_gating remove:
removes clock-gating logic Removing clock-gating logic from / Warning : Could not remove the
specified generic clock gating instance. [CG-428] : Instance
'/designs/test/instances_hier/RC_CG_HIER_INST0' of type 'latch_posedge_precontrol_obs' is a
Generic CGIC that cannot currently be removed from a mapped netlist. .fi
CG-429
Severity
Warning
Description
Could not remove the specified combinational clock gating instance.
What's Next
Combinational clock gating instances are not directly removed by clock gating removal. They are
removed when they no longer drive any loads.
CG-431
Severity
Warning
Description
Could not remove the specified clock gating instance.
What's Next
Clock gating instances that are manually inserted or inserted by third-party tools must be imported
by Genus-LP engine before they can be removed. You can use the 'clock_gating import' command
for importing them.
CG-432
Severity
Warning
Description
Could not remove the specified clock gating instance.
What's Next
Clock gating instance is driving flop which is a part of shift register.
CG-433
Severity
Warning
Description
Could not remove clock-gating logic.
What's Next
Clock_gating pin is undriven.
CG-434
Severity
Warning
Description
Could not remove clock gating instance.
What's Next
The specified clock gating instance gates a flop whose phase is not known.
CG-435
Severity
Warning
Description
Could not remove clock gating instance.
What's Next
The phase of the specified clock gating instance is not known.
CG-436
Severity
Warning
Description
Removed clock gating instance from a fanout with undriven pin.
CG-437
Severity
Warning
Description
Cannot remove clock-gating instance, which is driving flops that do not have a unique clock pin.
CG-438
Severity
Warning
Description
Cannot remove clock-gating instance, which contains an unusable clock-gating integrated cell.
What's Next
The instance may not behave like a clock gate. Removing such instances may cause non-
equivalence.
CG-439
Severity
Warning
Description
Cannot remove clock-gating instance, which drives flops with multi-driven input or output.
CG-440
Severity
Warning
Description
Cannot remove clock-gating instance.
What's Next
The specified clock gating instance drives an inverter/buffer marked preserved. To remove the clock
gating instance you would first need to remove the preserved status of the instance.
CG-601
Severity
Warning
Description
Failed to uniquify the design.
What's Next
Parent module of a Clock-Gating instance is preserved. It cannot be uniquified.
CG-602
Severity
Warning
Description
Failed to uniquify the design.
What's Next
An Instance is preserved. Its parent module cannot be uniquified.
CG-603
Severity
Warning
Description
Failed to uniquify the design.
CG-901
Severity
Warning
Description
CG_CLONE
CG_CLONE-102
Severity
Warning
Description
The instance is preserved.
What's Next
Set the attribute 'ui_respects_preserve' to false to enable it for clock gating cloning.
CG_CLONE-103
Severity
Warning
Description
The clock gating instance is not good for cloning.
CG_CLONE-104
Severity
Warning
Description
Flops list is not valid for clock gating cloning.
CG_CLONE-105
Severity
Warning
Description
Flops and clock gating instance are not in the same hierarchy.
What's Next
Clock gate cloning is not supported for hierarchical clock gates.
CHECK_CWD
CHECK_CWD-128
CHECK_CWD-129
CHECK_CWD-132
CHECK_CWD-150
CHECK_CWD-151
CHECK_CWD-152
CHECK_CWD-153
CHECK_CWD-154
CHECK_CWD-155
CHECK_CWD-156
CHECK_CWD-158
CHECK_CWD-160
CHECK_CWD-161
CHECK_CWD-107
Severity
Error
Description
The location attribute for the hdl_comp is set to null.
What's Next
Specify the valid location attribute through the attribute set_attr location.
CHECK_CWD-108
Severity
Error
Description
The bit_width attribute for the hdl_pin is set to empty.
What's Next
Specify the valid bit width through set_attr bit_width attribute.
CHECK_CWD-109
Severity
Error
Description
The formula attribute for the hdl_param is set to empty.
What's Next
Specify the valid non_null expression for the formula attribute.
CHECK_CWD-111
Severity
Error
Description
The file size set by default_location attribute in zero.
What's Next
Check whether the file name specified exists or specify the correct file name.
CHECK_CWD-112
Severity
Error
Description
The file specified by the location attribute is either empty or does not exist.
What's Next
Specify the correct file name through set_attr location <path>.
CHECK_CWD-113
Severity
Error
Description
The file specified by the location attribute is either empty or does not exist.
What's Next
Check whether the file name specified exists or specify the correct file name.
CHECK_CWD-114
Severity
Error
Description
The file specified by the pre_elab_script attribute is either empty or does not exist.
What's Next
Check whether the file name specified exists or specify the correct file name.
CHECK_CWD-115
Severity
Error
Description
The module/architecture name of the hdl_impl synthesis model is not the same as what is defined
in the registration script.
What's Next
Module name specified in the synthesis model should be same as what is defined in the registration
script.
CHECK_CWD-116
Severity
Error
Description
No pin_association is found for hdl_binding.
What's Next
Specify a valid non null pis_association for hdl_binding.
CHECK_CWD-117
Severity
Error
Description
Parameter order for hdl architecture is not the same as hdl component.
What's Next
Specify the correct parameter order.
CHECK_CWD-118
Severity
Error
Description
Pin order for hdl architecture is not the same as hdl component.
What's Next
Specify the correct pin order.
CHECK_CWD-119
Severity
Error
Description
The parameter used in the bit_width formula attribute does not exist.
What's Next
Specify the valid parameter name in the bit_width formula.
CHECK_CWD-120
Severity
Error
Description
The parameter defined in the legality formula does not exist.
What's Next
Specify the valid parameter name.
CHECK_CWD-122
Severity
Error
Description
The direction of the operator pin associated with the component pin is not same.
What's Next
Specify the correct direction.
CHECK_CWD-123
Severity
Error
Description
The hdl_operator output pin is associated with multiple output pin of the component.
What's Next
The hdl_operator output pin must always associated with one output pin of the component.
CHECK_CWD-124
Severity
Error
Description
The hdl_comp output pin is associated with multiple output pins of the operator.
What's Next
The hdl_component output pin must always associated with only one output pin of the operator.
CHECK_CWD-125
Severity
Error
Description
The direction of the operator pin associated with the component pin is not same.
What's Next
Specify the correct direction.
CHECK_CWD-128
Severity
Error
Description
At least one hdl_operator output pin is associated with one output pin of the component.
What's Next
Specify the correct pin_association.
CHECK_CWD-129
Severity
Error
Description
At least one hdl_operator input pin is associated with one input pin of the component.
What's Next
Specify the correct pin_association.
CHECK_CWD-132
Severity
Error
Description
Pins specified in the bit_width formula is not a valid synthetic operator pin.
What's Next
The operator pin specified in the bit_width formula is not correct. Specify the valid operator pin.
CHECK_CWD-150
Severity
Error
Description
All of the report column widths specified with -max_width option are set to zero.
What's Next
The list specifying the maximum column width for the Checkpoint_name, Check_name, Effort,
Description sets all the columns to zero. A zero specification hides the column. To see the desired
columns, set the -max_width option to a non-zero value for each column to be viewed.
CHECK_CWD-151
Severity
Error
Description
Format of list specified with -max_width option is incorrect.
What's Next
To control the width of a column of a report using -max_width option specify the width as follows -
max_width {{<column_name1> <width1>} {<column_name2> <width2>}}.
CHECK_CWD-152
Severity
Error
Description
Checkpoint name specified with -checkpoint option is incorrect.
What's Next
Specify the correct checkpoint name. The valid checkpoints are AT_OPER_PIN AT_BIND
AT_ARCH AT_COMP DONE_COMP_BINDS DONE_ARCH_PINS DONE_ARCH_PARAMS
DONE_COMP_IMPLS AT_ARCH_PIN AT_COMP_PIN DONE_IMPL_ELAB DONE_IMPL_READ
DONE_OPER_BINDS AT_OPER AT_ARCH_PARAM AT_PACK AT_COMP_PARAM
DONE_OPER_PINS DONE_COMP_PINS AT_IMPL DONE_COMP_PARAMS.
CHECK_CWD-153
Severity
Error
Description
Check proc name specified with the checkname option is incorrect.
What's Next
Specify the correct check_proc name.
CHECK_CWD-154
Severity
Error
Description
Incorrect options specified.
What's Next
Specify single command at one time.
CHECK_CWD-155
Severity
Error
Description
Check name specified with the checkname option is incorrect.
What's Next
Specify the correct check_name.
CHECK_CWD-156
Severity
Error
Description
Invalid combination of options supplied to check cwd command.
What's Next
The options specified while invoking check cwd command are not compatible. Remove the
conflicting options and execute check cwd again.
CHECK_CWD-158
Severity
Error
Description
Format of list specified with -checklist option is incorrect.
What's Next
Specify the checklist option as follows -checklist {{<checkpoint_name1> <check_proc1>}
{<checkpoint_name2> <check_proc2>}}.
CHECK_CWD-160
Severity
Error
Description
Failed on read_hdl.
What's Next
The design does not read successfully.
CHECK_CWD-161
Severity
Error
Description
Failed on create_check command. The effort level specified while creating a check should be either
equal to or less than the effort level of checkpoint at which the check needs to be registered.
What's Next
Specify the correct effort level to create a check.
CHECK_CWD-104
Severity
Info
Description
The permutable_group for the hdl_operator defined is set.
CHECK_CWD-121
Severity
Info
Description
Same pin of the component used in pin_association formula is associated with many pins of the
operator.
CHECK_CWD-126
Severity
Info
Description
The hdl_operator output pin is associated with any output pin of the component.
CHECK_CWD-131
Severity
Info
Description
Bit_width attribute for the input pin is not set to empty.
CHECK_CWD-101
Severity
Warning
Description
No Input pin for the hdl_operator defined.
CHECK_CWD-102
Severity
Warning
Description
No Output pin for the hdl_operator defined.
CHECK_CWD-103
Severity
Warning
Description
No hdl_operator has binding whose avoid attribute is false.
CHECK_CWD-105
Severity
Warning
Description
The file specified by the location attribute of hdl_comp is not set correctly.
CHECK_CWD-106
Severity
Warning
Description
The file specified by the sim_model attribute of hdl_comp is not set correctly.
CHECK_CWD-110
Severity
Warning
Description
The default_location attribute for the hdl_pack is set to empty.
CHECK_CWD-127
Severity
Warning
Description
The hdl_operator output pin is associated with any output pin of the component.
CHECK_CWD-130
Severity
Warning
Description
Bit_width attribute for the output pin has set to empty.
CHECK_CWD-149
Severity
Warning
Description
The column name specified with -max_width option does not match a valid list register check
column name. Ignoring the user specified width for the column.
What's Next
The option -max_width is used to control the width of the various columns in list register output. The
valid columns names are Checkpoint_name Check_name Effort Description.
CHECK_CWD-157
Severity
Warning
Description
There is no vdir present under the present working directory.
What's Next
The present working directory specified is not a valid vdir or there is no vdir present under present
working directory.
CHECK_CWD-159
Severity
Warning
Description
There is no argument specified with report_check command.
What's Next
For the correct usage of report_check see the help message below and specify the correct
argument.
10
CHIPWARE
CHIPWARE-102
Severity
Error
Description
Source file specified with -src_file option does not exist or current user does not have read
permissions.
What's Next
The path specified with -src_file option is not correct. This can be cause if the file does not exist or
user does not have read permissions in the src_file path.
CHIPWARE-103
Severity
Error
Description
Encrypted file not created due to error in writing file.
What's Next
Encrypted file could not be created. This can be cause if current user does not have write
permissions in src_file or dest_file path.
CHIPWARE-104
Severity
Error
Description
Error encountered while installing ChipWare component.
What's Next
A ChipWare component could not be installed due to an error in the TCL proc for installation of the
component. Check the installation script of the component library for syntax errors. The installation
script is located at $CDN_SYNTH_ROOT/lib/chipware/syn/<LIBRARY>/install.tcl.
CHIPWARE-105
Severity
Error
Description
TCL proc for installation of ChipWare component not found.
What's Next
Check whether the installation script for the library in which the component exists contains a
reference to the component. The installation script is located at
$CDN_SYNTH_ROOT/lib/chipware/syn/<LIBRARY>/install.tcl.
CHIPWARE-106
Severity
Error
Description
Error encountered while installing ChipWare library.
What's Next
A ChipWare library could not be installed due to installation script not being available.
CHIPWARE-107
Severity
Error
Description
Error encountered while creating ChipWare library.
What's Next
The ChipWare installation script was not found at the location
$CDN_SYNTH_ROOT/lib/chipware/install/install.tcl. Check if the tool was correctly installed.
CHIPWARE-108
Severity
Error
Description
Error encountered during ChipWare libraries installation. Continuing without installing ChipWare.
What's Next
The ChipWare libraries installation script could not be found at the location
$CDN_SYNTH_ROOT/lib/chipware/install/install.tcl. Installation of ChipWare will quit. This should
not effect the execution of the tool as long as HDL files do not contain references to ChipWare
library components. Check if the tool was correctly installed.
11
CHKDES
CHKDES-20
CHKDES-21
CHKDES-22
CHKDES-23
CHKDES-24
CHKDES-25
CHKDES-1
Severity
Warning
Description
Unresolved references in design.
What's Next
The design has unresolved references.
CHKDES-2
Severity
Warning
Description
Empty modules in the design.
What's Next
The design has empty modules.
CHKDES-3
Severity
Warning
Description
Unloaded ports in the design.
What's Next
The design has unloaded ports.
CHKDES-4
Severity
Warning
Description
Unloaded sequential pins in the design.
What's Next
The design has Unloaded sequential pins.
CHKDES-5
Severity
Warning
Description
Unloaded combinational pins in the design.
What's Next
The design has unloaded combinational pins.
CHKDES-6
Severity
Warning
Description
Undriven ports in the design.
What's Next
The design has undriven ports.
CHKDES-7
Severity
Warning
Description
Undriven sequential pins in the design.
What's Next
The design has undriven sequential pins.
CHKDES-8
Severity
Warning
Description
Undriven combinational pins in the design.
What's Next
The design has undriven combinational pins.
CHKDES-9
Severity
Warning
Description
Undriven hierarchical pins in the design.
What's Next
The design has undriven hierarchical pins.
CHKDES-10
Severity
Warning
Description
Multi-driven ports in the design.
What's Next
The design has multi-driven ports.
CHKDES-11
Severity
Warning
Description
Multi-driven sequential pins in the design.
What's Next
The design has multi-driven sequential pins.
CHKDES-12
Severity
Warning
Description
Multi-driven combinational pins in the design.
What's Next
The design has multi-driven combinational pins.
CHKDES-13
Severity
Warning
Description
Multi-driven hierarchical pins in the design.
What's Next
The design has multi-driven hierarchical pins.
CHKDES-14
Severity
Warning
Description
Constant ports in the design.
What's Next
The design has constant ports.
CHKDES-15
Severity
Warning
Description
Constant sequential pins in the design.
What's Next
The design has constant sequential pins.
CHKDES-16
Severity
Warning
Description
Constant combinational pins in the design.
What's Next
The design has constant combinational pins.
CHKDES-17
Severity
Warning
Description
Constant hierarchical pins in the design.
What's Next
The design has constant hierarchical pins.
CHKDES-18
Severity
Warning
Description
Assign statements in the design.
What's Next
The design has assign statements.
CHKDES-19
Severity
Warning
Description
Preserved hierarchical instances in the design.
What's Next
The design has preserved hierarchical instances.
CHKDES-20
Severity
Warning
Description
Preserved hierarchical instances in the design.
What's Next
The design has preserved hierarchical instances.
CHKDES-21
Severity
Warning
Description
Lib cells instantiated for which no cells is defined in LEF.
What's Next
The design has Lib cells instantiated for which no cells is defined in LEF.
CHKDES-22
Severity
Warning
Description
LEF cells instantiated for which no cell is defined in .lib.
What's Next
The design has LEF cells instantiated for which no cell is defined in .lib.
CHKDES-23
Severity
Warning
Description
The design instances linked to physical only libcells.
What's Next
The design instances linked to physical only libcells.
CHKDES-24
Severity
Warning
Description
The design has instances linked to logical only libcells.
What's Next
The design has instances linked to logical only libcells.
CHKDES-25
Severity
Warning
Description
Modules having length greater than 1.5k.
What's Next
The design has modules having length greater than 1.5k.
12
CHKDFT
CHKDFT-1
Severity
Warning
Description
DFT based rule check violations.
What's Next
DFT rule check violating registers found in design.
13
CHKLIB
CHKLIB-1
Severity
Warning
Description
Non-/usable level shifters.
What's Next
Non usable level shifters found in library.
CHKLIB-2
Severity
Warning
Description
Non-/usable iso cells.
What's Next
Non-/usable isolation cells found in library.
CHKLIB-3
Severity
Warning
Description
Non-/usable combo cells.
What's Next
Non-/usable Combo cells found in library.
CHKLIB-4
Severity
Warning
Description
Non-/usable retention cells.
What's Next
Non-/usable retention cells found in library.
CHKLIB-701
Severity
Warning
Description
No libraries are loaded.
CHKLIB-702
Severity
Warning
Description
Libcell cannot be reported.
CHKLIB-703
Severity
Warning
Description
Libcell cannot be reported.
CHKLIB-704
Severity
Warning
Description
Libcell cannot be reported.
CHKLIB-705
Severity
Warning
Description
Libcell cannot be reported.
CHKLIB-706
Severity
Warning
Description
Libcell cannot be reported.
CHKLIB-707
Severity
Warning
Description
Libcell cannot be reported.
CHKLIB-708
Severity
Warning
Description
Libcell cannot be reported.
CHKLIB-709
Severity
Warning
Description
Library parsing is not well analysis aware.
14
CHKTIM
CHKTIM-1
Severity
Warning
Description
Unconnected/logic driven clock.
What's Next
The design has clocks either unconnected or logic driven.
CHKTIM-2
Severity
Warning
Description
Sequential data pins driven by a clock signal.
What's Next
The design has sequential data pins driven by a clock signal.
CHKTIM-3
Severity
Warning
Description
Sequential clock pins without clock waveform.
What's Next
The design has sequential clock pins without clock waveform.
CHKTIM-4
Severity
Warning
Description
Sequential clock pins with multiple clock waveforms.
What's Next
The design has sequential clock pins with multiple clock waveforms.
CHKTIM-5
Severity
Warning
Description
Generated clocks without clock waveform.
What's Next
The design has generated clocks without clock waveform.
CHKTIM-6
Severity
Warning
Description
Generated clocks with incompatible options.
What's Next
The design has generated clocks with incompatible options.
CHKTIM-7
Severity
Warning
Description
Generated clocks with multi-master clock.
What's Next
The design has generated clocks with multi-master clock.
CHKTIM-9
Severity
Warning
Description
Loop-breaking cells for combinational feedback.
What's Next
The design has loop-breaking cells for combinational feedback.
CHKTIM-10
Severity
Warning
Description
Nets with multiple drivers .
What's Next
The design has nets with multiple drivers.
CHKTIM-11
Severity
Warning
Description
Timing exceptions with no effect.
What's Next
The design has timing exceptions with no effect.
CHKTIM-12
Severity
Warning
Description
Suspicious multi_cycle exceptions.
What's Next
The design has suspicious multi_cycle exceptions.
CHKTIM-13
Severity
Warning
Description
Pins/ports with conflicting case constants.
What's Next
The design has pins/ports with conflicting case constants.
CHKTIM-14
Severity
Warning
Description
Inputs without clocked external delays.
What's Next
The design has inputs without clocked external delays.
CHKTIM-15
Severity
Warning
Description
Outputs without clocked external delays.
What's Next
The design has outputs without clocked external delays.
CHKTIM-16
Severity
Warning
Description
Inputs without external driver/transition.
What's Next
The design has inputs without external driver/transition.
CHKTIM-17
Severity
Warning
Description
Outputs without external load.
What's Next
The design has outputs without external load.
CHKTIM-18
Severity
Warning
Description
Exceptions with invalid timing start-/endpoints.
What's Next
The design has exceptions with invalid timing start-/endpoints.
CHKTIM-19
Severity
Warning
Description
Violating paths are less than num_paths/max_paths.
What's Next
The timing query has number of violating paths less than requested num_paths/max_paths.
15
CHLNK
CHLNK-101
Severity
Error
Description
Something is wrong with the command options.
What's Next
Check the options and try again.
CHLNK-102
Severity
Error
Description
Pin map not found.
What's Next
Either specify '-lenient' option to skip the pin and leave it unconnected <or> specify a pinmap using
'-pin_map' option.
CHLNK-103
Severity
Error
Description
The number of pins is different.
What's Next
Specify pin map using '-pin_map' option with change_link.
CHLNK-106
Severity
Error
Description
Could not find the pin specified with the '-pin_map' option.
What's Next
Specify valid pins with the '-pin_map' option.
CHLNK-111
Severity
Error
Description
Could not find any library cell for the specified '-base_cell' option.
What's Next
Specify a valid base_cell name and re-run the command.
CHLNK-202
Severity
Error
Description
The instance whose link is to be changed appears in a non uniquified subdesign.
What's Next
Either uniquify the subdesign first or use the '-change_in_non_uniq_subdesign' option with the
'change_link' command.
CHLNK-201
Severity
Info
Description
Performing change_link.
What's Next
The instance would be linked to a new libcell or subdesign.
CHLNK-203
Severity
Info
Description
The instance of a non-uniquified module is being changed.
What's Next
The same change will be copied to all the modules when the module is uniquified.
CHLNK-104
Severity
Warning
Description
Pin not found in the new instance.
What's Next
Some exceptions might get lost.
CHLNK-105
Severity
Warning
Description
Instance not found in the new instance.
What's Next
Some exceptions might get lost.
CHLNK-107
Severity
Warning
Description
The order of pins is different.
What's Next
Specify pin map using '-pin_map' option when using the '-retain_exceptions' option in change_link.
CHLNK-108
Severity
Warning
Description
The number of pins is different.
What's Next
Specify pin map using '-pin_map' option when using the '-retain_exceptions' option in change_link.
CHLNK-109
Severity
Warning
Description
The bitwidth of pins is different.
What's Next
Specify pin map using '-pin_map' option when using the '-retain_exceptions' option in change_link.
CHLNK-110
Severity
Warning
Description
The number of pins is different.
What's Next
Specify pin map using '-pin_map' option when using the '-retain_exceptions' option in change_link.
CHLNK-301
Severity
Warning
Description
An instance does not have a dedicated subdesign.
What's Next
Change link will uniquify the subdesign.
CHLNK-302
Severity
Warning
Description
Pin left unconnected.
16
CHNM
CHNM-109
Severity
Error
Description
Failed to change names.
What's Next
Could not change names.
CHNM-112
Severity
Error
Description
Failed to change names.
What's Next
Provide a unique design/instance to change name.
CHNM-102
Severity
Info
Description
Changed names successfully.
CHNM-104
Severity
Info
Description
-append_log option is used along with -log.
CHNM-100
Severity
Warning
Description
What's Next
Could not change names.
CHNM-101
Severity
Warning
Description
Failed to change names.
What's Next
Could not change names.
CHNM-103
Severity
Warning
Description
Cannot rename an object to the desired name.
CHNM-105
Severity
Warning
Description
-name_collision cannot be used without prefix or suffix.
CHNM-106
Severity
Warning
Description
Object specified is not a hierarchical instance.
CHNM-107
Severity
Warning
Description
Option 'convert_string' is obsolete.
What's Next
The obsolete option still works in this release, but to avoid this warning and to ensure compatibility
with future releases, update your script to use new option.
CHNM-108
Severity
Warning
Description
Port names affected by change_names do not automatically get updated in written out SV wrapper
module.
What's Next
If user is setting write_sv_port_wrapper = true then the port names affected by usage of
change_name need to be updated manually in the written out SV wrapper module. .nf Attribute
'write_sv_port_wrapper' applies only to RTL using System Verilog interfaces. In default case (i.e.,
attribute is false), write_hdl will write out ports without wrapper like this: module ff(a_c, a_d, a_q); If
attribute is set to true, write hdl will write out wrapper to connect the original I/O interfaces to the port
names in the Verilog it writes out. module ff(.a({a_q, a_d, a_c})); In the attribute ON case,
change_names command is executed on such ports for example, 'change_names -port -
lower_to_upper', ports are written out as: module ff(A_C, A_D, A_Q); which means that after
change_names ports are not written out in wrapper format. Message CHNM-108 indicates this
scenario i.e, wrapper format is not honoured when such ports are modified by change_names. As
suggested in the message, wrapper format needs to be added manually. .fi
CHNM-110
Severity
Warning
Description
Failed to change names.
What's Next
Add 'set_attribute ui_respects_preserve false' (legacy_ui) or 'set_db ui_respects_preserve
false'(common_ui) to allow name changes on preserved objects.
CHNM-111
Severity
Warning
Description
The desired object will not be renamed as expected.
What's Next
Provide a replacement string that is not present in either restricted string list.
17
CLIPPER
CLIPPER-999
Severity
Warning
Description
Obsolete command.
What's Next
The command, "generate_units" has been replaced by "create_clip". generate_units will be
removed in the near future.
18
CPF
CPF-1
Severity
Error
Description
What's Next
Fix the error and read the file again. For more information on the syntax of commands, refer to the
CPF command reference.
CPF-2
Severity
Error
Description
There was an error while applying power intent of CPF file.
What's Next
Run Conformal Low Power (CLP) on your data for a comprehensive review and cross-check the
power intent content.The write_do_clp command provides a convenient way to get started with this.
CPF-3
Severity
Error
Description
Detected unsupported scenario in incremental flow.
What's Next
The specified command or option or scenario is unsupported in incremental flow.
CPF-98
Severity
Error
Description
CPF linter detected error in CPF file.
What's Next
Fix the error and read the CPF power intent file again. For more information on the syntax of
commands, refer to the CPF command reference.
CPF-100
Severity
Error
Description
Detected error in CPF file.
What's Next
Fix the error and read the CPF file again. For more information on the CPF commands, refer to the
Common Power Format Language Reference.
CPF-101
Severity
Error
Description
Detected a problem with instance specified with 'set_instance' command.
What's Next
There was a problem with the specified 'set_instance' command. Fix the error and ready the CPF
file again.
CPF-102
Severity
Error
Description
Could not open specified file.
What's Next
Ensure that the specified file exists and is readable.
CPF-109
Severity
Error
Description
Detected CPF for a testbench.
What's Next
A testbench CPF is not meant for synthesis tool.
CPF-290
Severity
Error
Description
Could not open the specified library file(s).
What's Next
Ensure that the library file(s) exist in the current path.
CPF-566
CPF-900
CPF-392
Severity
Info
Description
Overwrote one of the operating voltages in library set.
What's Next
The specified library set had no library whose operating voltage corresponded to the voltage
specified with the '-voltage' option of the 'create_nominal_condition' command. The 'read_cpf'
command chose the operating voltage closest to the voltage specified in the CPF file and replaced
it with that voltage.
CPF-565
Severity
Info
Description
Created a port for virtual port in CPF.
What's Next
CPF has defined a virtual port which did not exist in design. The tool has created a real port on
design for this.
CPF-566
Severity
Info
Description
Found a design port for virtual port in CPF.
What's Next
CPF has defined a virtual port and a port by same name exists in design. The tool will use the port
in design for all references to this virtual port.
CPF-900
Severity
Info
Description
A power object was not written out.
What's Next
The specified object is redundant or incomplete with respect to CPF semantics. Refer to CPF
reference manual for more information.
CPF-561
CPF-568
CPF-800
CPF-99
Severity
Warning
Description
Attempting to read CPF in a CPF enabled design.
What's Next
Reading CPF for a design multiple times is not supported and can corrupt power intent.
CPF-150
Severity
Warning
Description
Specified top design and top design in CPF do not match.
What's Next
The design specified with '-design' option does not match with the design name of first 'set_design'
command of CPF file.
CPF-151
Severity
Warning
Description
Subdesign and current scope do not match.
What's Next
The value specified with the 'set_design' CPF command does not correspond to the subdesign
(module) name of the hierarchical instance specified with the preceding 'set_instance' CPF
command.
CPF-198
Severity
Warning
Description
Detected CPF with library and/or timing information.
What's Next
All library and timing information in CPF is ignored. This information needs to be read with
appropriate MMMC commands.
CPF-199
Severity
Warning
Description
CPF linter detected a potential problem in CPF power intent file.
What's Next
The power intent specification may be incomplete or incorrect.
CPF-200
Severity
Warning
Description
There was a potential problem while applying power intent of CPF file.
What's Next
The power intent of design may not be correct.
CPF-201
Severity
Warning
Description
The specified scenario is currently not supported.
What's Next
The power intent of design may not be correct.
CPF-203
Severity
Warning
Description
A CPF command has been ignored.
What's Next
The specified CPF command has been ignored and will have no effect.
CPF-204
Severity
Warning
Description
Could not find a design object.
What's Next
Ensure that the object name is correct.
CPF-205
Severity
Warning
Description
Overwriting a previous CPF definition.
What's Next
In CPF, the last command specification prevails. To avoid this behavior, remove the duplicate
command.
CPF-206
Severity
Warning
Description
Detected an invalid expression.
What's Next
An invalid expression was specified. Refer to CPF reference manual on valid expression syntax.
CPF-207
Severity
Warning
Description
The specified expression cannot be synthesized.
CPF-292
Severity
Warning
Description
What's Next
It is recommended to use the same libraries for elaboration as listed in the CPF file or load libraries
using the 'read_power_intent' command.
CPF-293
Severity
Warning
Description
Removing previously loaded lef libraries.
What's Next
It is recommended to set the lef libraries using the 'lef_library' attribute after 'read_power_intent'
command.
CPF-303
Severity
Warning
Description
A pin in macro definition is missing.
What's Next
Ensure that pin name in macro definition is correct.
CPF-341
Severity
Warning
Description
Could not find a pin for a cell.
What's Next
The specified cell will not be processed.
CPF-342
Severity
Warning
Description
Could not find the specified library cells.
What's Next
Make sure that the specified cell names are correct or that the library containing the cells was
specified in the CPF file.
CPF-391
Severity
Warning
Description
Specified voltage not in inferred voltage range of library set.
What's Next
Make sure that the voltage specified in the 'create_nominal_condition' CPF command and the
library set specified in the 'update_nominal_condition' CPF command are correct.
CPF-401
Severity
Warning
Description
Removed previously read timing constraints.
What's Next
You can associate timing constraints with each power mode using the CPF 'update_power_mode'
command.
CPF-402
Severity
Warning
Description
Activity file format not supported.
What's Next
Supported file formats are TCF, VCD and SAIF. The format of activity file is derived from its
extension.
CPF-403
Severity
Warning
Description
Removing existing switching activity.
What's Next
The switching activity specified in CPF file will be annotated on the design.
CPF-560
Severity
Warning
Description
An instance is ignored in power domain specification.
What's Next
Only hierarchical or timing-model instances are supported.
CPF-561
Severity
Warning
Description
A pin is ignored in power domain specification.
What's Next
Only primary ports and pins of a timing-model instance or an unresolved instance are supported.
CPF-568
Severity
Warning
Description
Design not CPF enabled.
What's Next
'commit_cpf' works for designs for which CPF file has been read.
CPF-800
Severity
Warning
Description
Potential problem in written out power intent.
What's Next
Manual editing of written out power intent may be needed.
19
CPF_ISO
CPF_ISO-102
Severity
Error
Description
Wrong argument to -enable_polarity.
What's Next
-enable_polarity can take 'active_high' or 'active_low'.
CPF_ISO-103
Severity
Error
Description
Wrong argument to -output_value.
What's Next
-output_value can take 'high', 'low' or 'hold'.
CPF_ISO-104
Severity
Error
Description
Wrong argument to -location.
What's Next
-location can take 'from' or 'to'.
CPF_ISO-105
Severity
Error
Description
Wrong argument to -off_domain.
What's Next
-off_domain can take 'from' or 'to'.
CPF_ISO-107
Severity
Error
Description
Enable pin/port specified is hanging and not a driver pin/port.
What's Next
An enable pin/port must either be a driver, or have a driver. To use the driver of enable given as the
enable driver for isolation rule, set the variable 'lp_select_enable_driver' to 1.
CPF_ISO-108
Severity
Error
Description
Given set of options are not accepted with '-default' switch.
What's Next
The options '-to_power_domain', '-cpf_pins' and '-exclude_pins' cannot be given with default
isolation rule.
CPF_ISO-300
Severity
Error
Description
Found invalid location on low power lib cell.
What's Next
Allowed valid_locations are: from|to|on|off|either|any.
CPF_ISO-112
CPF_ISO-113
CPF_ISO-117
CPF_ISO-201
CPF_ISO-202
CPF_ISO-203
CPF_ISO-204
CPF_ISO-205
CPF_ISO-214
CPF_ISO-215
CPF_ISO-217
CPF_ISO-218
CPF_ISO-220
CPF_ISO-221
CPF_ISO-225
CPF_ISO-226
CPF_ISO-227
CPF_ISO-228
CPF_ISO-229
CPF_ISO-230
CPF_ISO-231
CPF_ISO-232
CPF_ISO-301
CPF_ISO-302
CPF_ISO-802
CPF_ISO-803
CPF_ISO-804
CPF_ISO-805
CPF_ISO-806
CPF_ISO-807
CPF_ISO-808
CPF_ISO-809
CPF_ISO-812
CPF_ISO-820
CPF_ISO-821
CPF_ISO-822
CPF_ISO-823
CPF_ISO-901
CPF_ISO-904
CPF_ISO-910
CPF_ISO-912
CPF_ISO-914
CPF_ISO-109
Severity
Info
Description
No driver pin found in pin list given with '-enable_driver'. Selecting one of drivers of specified pins.
CPF_ISO-112
Severity
Info
Description
Isolation rule applied.
CPF_ISO-113
Severity
Info
Description
Port cloning turned off.
What's Next
Set variable ::lp_dont_clone_ports_for_insertion to 1 to turn this behavior ON.
CPF_ISO-117
Severity
Info
Description
CPF_ISO-201
Severity
Info
Description
Completed isolation cell insertion.
CPF_ISO-202
Severity
Info
Description
Completed level shifter insertion.
CPF_ISO-203
Severity
Info
Description
Isolation cell inserted.
CPF_ISO-204
Severity
Info
Description
Enabled level shifter inserted.
CPF_ISO-205
Severity
Info
Description
Level shifter inserted.
CPF_ISO-214
Severity
Info
Description
Global inversion not done.
CPF_ISO-215
Severity
Info
Description
Local inversion not done.
CPF_ISO-217
Severity
Info
Description
Global inversion done.
CPF_ISO-218
Severity
Info
Description
Local inversion done.
CPF_ISO-220
Severity
Info
Description
Skipping isolation / level shifter insertion.
CPF_ISO-221
Severity
Info
Description
Skipping isolation / level shifter insertion.
CPF_ISO-225
Severity
Info
Description
Optimization of isolation cell instances done.
CPF_ISO-226
Severity
Info
Description
Optimization of level shifter instances done.
CPF_ISO-227
Severity
Info
Description
Found an enabled level shifter as an appropriate isolation cell for insertion.
CPF_ISO-228
Severity
Info
Description
Global inversion not done on isolation control signal.
CPF_ISO-229
Severity
Info
Description
Local inversion not done on isolation control signal.
CPF_ISO-230
Severity
Info
Description
Global inversion done on isolation control signal.
CPF_ISO-231
Severity
Info
Description
Local inversion done on isolation control signal.
CPF_ISO-232
Severity
Info
Description
Multi driven pin is found.
What's Next
This pin will be skipped from low power cell insertion.
CPF_ISO-301
Severity
Info
Description
Completed assigning secondary domain to low power instances.
CPF_ISO-302
Severity
Info
Description
CPF_ISO-802
Severity
Info
Description
Rule removed from splitted port.
CPF_ISO-803
Severity
Info
Description
Buffer cannot be inserted for constant.
What's Next
LS/ISO insertion requires buffers to be inserted at constants.
CPF_ISO-804
Severity
Info
Description
Hierarchical instance not a valid hierarchical buffer instance.
CPF_ISO-805
Severity
Info
Description
No buffers found in library domain.
What's Next
LS/ISO might not be inserted at constants in this library domain.
CPF_ISO-806
Severity
Info
Description
Multiple level shifter rules applied on pin.
CPF_ISO-807
Severity
Info
Description
Multiple isolation rules applied on pin.
CPF_ISO-808
Severity
Info
Description
Duplicate isolation rules specified in cpf-file.
What's Next
Rule with higher sequence value will win.
CPF_ISO-809
Severity
Info
Description
What's Next
This rule will win, since it is either more towards load or it is a more specific rule.
CPF_ISO-812
Severity
Info
Description
Port cloning performed.
CPF_ISO-820
Severity
Info
Description
Isolation cell import completed.
CPF_ISO-821
Severity
Info
Description
Level shifter import completed.
CPF_ISO-822
Severity
Info
Description
Instance imported as isolation cell.
CPF_ISO-823
Severity
Info
Description
Instance imported as level shifter cell.
CPF_ISO-901
Severity
Info
Description
ICG swapped with ICG-Retention.
CPF_ISO-904
Severity
Info
Description
ICG swapped with ICG-Isolation.
CPF_ISO-910
Severity
Info
Description
Uniquifying the multiple instantiated subdesign to prepare for pin/port cloning.
CPF_ISO-912
Severity
Info
Description
Uniquifying the multiple instantiated subdesign to prepare for LS/ISO insertion.
What's Next
For inserting LS/ISO in hierarchy given with '-within_hierarchy', uniquifying the subdesign.
CPF_ISO-914
Severity
Info
Description
Timing exception is present on LS/ISO cell data pin driver path.
What's Next
LS/ISO cells may not be merged due to this reason.
CPF_ISO-116
CPF_ISO-118
CPF_ISO-206
CPF_ISO-207
CPF_ISO-208
CPF_ISO-209
CPF_ISO-210
CPF_ISO-211
CPF_ISO-212
CPF_ISO-213
CPF_ISO-216
CPF_ISO-219
CPF_ISO-222
CPF_ISO-303
CPF_ISO-304
CPF_ISO-305
CPF_ISO-306
CPF_ISO-307
CPF_ISO-308
CPF_ISO-309
CPF_ISO-310
CPF_ISO-311
CPF_ISO-312
CPF_ISO-313
CPF_ISO-314
CPF_ISO-315
CPF_ISO-316
CPF_ISO-317
CPF_ISO-318
CPF_ISO-801
CPF_ISO-902
CPF_ISO-903
CPF_ISO-905
CPF_ISO-906
CPF_ISO-907
CPF_ISO-908
CPF_ISO-911
CPF_ISO-913
CPF_ISO-915
CPF_ISO-101
Severity
Warning
Description
Cannot define isolation rule.
What's Next
Power domains are not created.
CPF_ISO-106
Severity
Warning
Description
Multiple enable drivers are specified.
CPF_ISO-110
Severity
Warning
Description
No isolation rules defined.
What's Next
To insert isolation cells, use 'create_isolation_rule' in CPF.
CPF_ISO-111
Severity
Warning
Description
Cannot define isolation rule as rule with given name already exists.
CPF_ISO-114
Severity
Warning
Description
Cannot clone port for applying isolation rule.
What's Next
Isolation rule will not be applied at this pin.
CPF_ISO-115
Severity
Warning
Description
Isolation rule not defined.
What's Next
Pins listed in the rule are also excluded.
CPF_ISO-116
Severity
Warning
Description
Cannot define isolation rule as instance given with '-within_hierarchy' is unresolved instance.
CPF_ISO-118
Severity
Warning
Description
Cannot insert isolation on macro pin.
What's Next
Isolation rule has no within_hierarchy specified with it.
CPF_ISO-206
Severity
Warning
Description
What's Next
No appropriate enabled level shifter is available.
CPF_ISO-207
Severity
Warning
Description
Skipping level shifter insertion.
What's Next
No appropriate level shifter is available.
CPF_ISO-208
Severity
Warning
Description
Skipping isolation cell insertion.
What's Next
No appropriate isolation cell is available.
CPF_ISO-209
Severity
Warning
Description
Cannot proceed with isolation and level shifter insertion.
What's Next
Power domains are not created.
CPF_ISO-210
Severity
Warning
Description
Cannot insert isolation or level shifter cell.
What's Next
Isolation and level shifter cells cannot be inserted on pins inside level shifter hierarchy.
CPF_ISO-211
Severity
Warning
Description
Cannot insert isolation or level shifter cell.
What's Next
Isolation and level shifter cells cannot be inserted on pins inside isolation hierarchy.
CPF_ISO-212
Severity
Warning
Description
Cannot insert isolation or level shifter cell.
What's Next
Isolation and level shifter cells cannot be inserted on pins inside clock gating hierarchy.
CPF_ISO-213
Severity
Warning
Description
Cannot insert isolation or level shifter cell.
What's Next
Isolation and level shifter cells cannot be inserted on pins inside operand isolation hierarchy.
CPF_ISO-216
Severity
Warning
Description
Cannot insert isolation or level shifter cell.
What's Next
Power domain of pin and the parent where isolation and level shifter cells are being put should
match.
CPF_ISO-219
Severity
Warning
Description
Cannot insert isolation or level shifter cell.
What's Next
Power domain of '-within_hier' must be same as equivalent to 'from' or 'to' domain, depending upon
the location. To skip this test, set 'lp_skip_pd_equivalence_check' to 1.
CPF_ISO-222
Severity
Warning
Description
Reversing the level shifter and isolation ordering.
What's Next
Level shifter and isolation cell order changes with isolation cell first followed by level shifter if the
variable 'cpf_reverse_level_shifter_isolation_order' is set to 1. User needs to check the proper
secondary domain setting with the corresponding isolation rule in the CPF.
CPF_ISO-303
Severity
Warning
Description
Secondary domain is reassigned to the low power instance.
What's Next
The low power instance is covered in multiple 'identify_secondary_domain' cpf command.
CPF_ISO-304
Severity
Warning
Description
'-from' / '-to' options are ignored.
CPF_ISO-305
Severity
Warning
Description
CPF_ISO-306
Severity
Warning
Description
Base domain not assigned to power domain.
CPF_ISO-307
Severity
Warning
Description
Multiple base domains are assigned to power domain.
What's Next
Explicitly assign the secondary domain to the low power instance.
CPF_ISO-308
Severity
Warning
Description
Instance specified is not a valid state retention instance.
CPF_ISO-309
Severity
Warning
Description
Instance specified is not a valid isolation cell instance.
CPF_ISO-310
Severity
Warning
Description
Enable pin of the isolation cell instance is undriven.
CPF_ISO-311
Severity
Warning
Description
Instance specified is not a valid always on cell instance.
CPF_ISO-312
Severity
Warning
Description
Instance specified is not a valid power switch cell instance.
CPF_ISO-313
Severity
Warning
Description
Instance specified is not a valid level shifter cell instance.
CPF_ISO-314
Severity
Warning
Description
Data pin of the low power cell instance is undriven.
CPF_ISO-315
Severity
Warning
Description
Output pin of the low power cell instance is not driving any load.
CPF_ISO-316
Severity
Warning
Description
Could not assign default secondary domain for the low power instance.
CPF_ISO-317
Severity
Warning
Description
Low power instance is driven by level shifter.
CPF_ISO-318
Severity
Warning
Description
Back to back level shifter detected.
What's Next
Either the base domain of the primary power domain of the level shifter instance will be considered
as secondary domain of the level shifter instance, or the primary power domain itself.
CPF_ISO-801
Severity
Warning
Description
Prefix conflicts with existing rule.
What's Next
The prefixes of level shifter rules and isolation rules should be unique independently.
CPF_ISO-902
Severity
Warning
Description
Failed to swap ICG with ICG-Retention cell.
CPF_ISO-903
Severity
Warning
Description
Retention pin of the ICG-Retention instance not connected.
What's Next
Provide 'default_save_edge' for the power domain.
CPF_ISO-905
Severity
Warning
Description
Failed to swap ICG with ICG-Isolation cell.
CPF_ISO-906
Severity
Warning
Description
Isolation pin of the ICG-Isolation instance not connected.
What's Next
Provide 'default_isolation_condition' for the power domain.
CPF_ISO-907
Severity
Warning
Description
'default_save_edge' is not defined for power domain. No swapping will be done for the clock gating
instance.
CPF_ISO-908
Severity
Warning
Description
'default_isolation_condition' is not defined for power domain. No swapping will be done for the
clock gating instance.
CPF_ISO-911
Severity
Warning
Description
Cannot perform port cloning required for respecting '-within_hierarchy' option.
What's Next
For inserting LS/ISO in hierarchy given with '-within_hierarchy', the required cloning of pins is not
possible.
CPF_ISO-913
Severity
Warning
Description
Voltage specified with nominal condition and library domain are not matching.
What's Next
For level shifter insertion, voltage specified in the CPF file will take higher priority.
CPF_ISO-915
Severity
Warning
Description
'parent' value as insertion location is handled in new power intent.
What's Next
Rule will be disabled and excluded from insertion.
20
CPF_LS
CPF_LS-809
Severity
Info
Description
Level shifter rule applied.
CPF_LS-810
Severity
Info
Description
Port cloning turned off.
What's Next
Set variable ::lp_dont_clone_ports_for_insertion to 1 to turn this behavior ON.
CPF_LS-814
Severity
Info
Description
Multiple level shifter rules specified on a pin in cpf file.
CPF_LS-816
Severity
Info
Description
What's Next
Rule with higher sequence value will win.
CPF_LS-817
Severity
Info
Description
Multiple level shifter rules specified for same crossing in cpf-file.
What's Next
This rule will win, since it is either more towards load or it is a more specific rule.
CPF_LS-812
CPF_LS-813
CPF_LS-815
CPF_LS-913
CPF_LS-801
Severity
Warning
Description
Cannot insert level shifters.
What's Next
Power domains are not created.
CPF_LS-803
Severity
Warning
Description
No level shifter rules defined.
What's Next
To insert level shifters, use 'create_level_shifter_rule' in CPF.
CPF_LS-804
Severity
Warning
Description
Cannot define level shifter rule.
What's Next
Either 'to' or 'from' acceptable for location in level shifter rule.
CPF_LS-805
Severity
Warning
Description
Cannot define level shifter rule as an unacceptable option is given with '-threshold'.
What's Next
In a level shifter rule, '-from_power_domain', '-to_power_domain', '-cpf_pins', '-exclude_pins' are not
accepted in combination with '-threshold' option.
CPF_LS-806
Severity
Warning
Description
Cannot define level shifter rule as 'direction' is specified without 'threshold.
What's Next
For level shifter rule definition, '-direction' can be given only when '-threshold' is provided.
CPF_LS-807
Severity
Warning
Description
Cannot define level shifter rule.
What's Next
One of 'up', 'down' or 'both' are acceptable for direction in a level shifter rule.
CPF_LS-808
Severity
Warning
Description
Cannot define level shifter rule as rule with given name already exists.
CPF_LS-811
Severity
Warning
Description
Cannot clone port for applying level shifter rule.
What's Next
Level shifter rule will not be applied at this pin.
CPF_LS-812
Severity
Warning
Description
What's Next
Pins listed in the rule are also excluded.
CPF_LS-813
Severity
Warning
Description
Cannot define level shifter rule as instance given with '-within_hierarchy' is unresolved instance.
CPF_LS-815
Severity
Warning
Description
Cannot insert level shifter on macro pin.
What's Next
Level shifter rule has no within_hierarchy specified with it.
CPF_LS-913
Severity
Warning
Description
Voltage specified with nominal condition and library domain are not matching.
What's Next
For level shifter insertion, voltage specified in the CPF file will take higher priority.
21
CPF_SYNTH
CPF_SYNTH-102
Severity
Warning
Description
No usable always on buffer is available.
CPF_SYNTH-103
Severity
Warning
Description
22
CPI
CPI-299
Severity
Error
Description
Internal Error. Netlist is potentially wrong.
What's Next
The issue is potentially caused by unexpected preserves on path where isolation or level shifter is
inserted.Fix the preserves and restart the session.
CPI-201
CPI-500
CPI-501
CPI-502
CPI-503
CPI-504
CPI-505
CPI-507
CPI-508
CPI-509
CPI-510
CPI-511
CPI-512
CPI-513
CPI-514
CPI-515
CPI-516
CPI-517
CPI-518
CPI-519
CPI-520
CPI-521
CPI-522
CPI-523
CPI-524
CPI-525
CPI-526
CPI-530
CPI-531
CPI-533
CPI-535
CPI-537
CPI-538
CPI-539
CPI-540
CPI-542
CPI-543
CPI-544
CPI-545
CPI-546
CPI-547
CPI-548
CPI-600
CPI-601
CPI-602
CPI-603
CPI-200
Severity
Info
Description
What's Next
The place holder instance is deleted as there is no suitable library cell to satisfy isolation or level
shifter requirements. Add appropriate library cell and restart the session.
CPI-201
Severity
Info
Description
Mapped place holder instance.
What's Next
The place holder instance is mapped with a suitable isolation or level shifter.
CPI-500
Severity
Info
Description
Isolation cell inserted.
CPI-501
Severity
Info
Description
Level shifter inserted.
CPI-502
Severity
Info
Description
No isolation rules defined.
CPI-503
Severity
Info
Description
No level shifter rules defined.
CPI-504
Severity
Info
Description
Looking for Enabled level shifter cell.
CPI-505
Severity
Info
Description
Looking for Enabled level shifter cell.
CPI-507
Severity
Info
Description
Command 'commit_power_intent' cannot proceed as there are no power domains present.
CPI-508
Severity
Info
Description
Low power cell insertion is not tried out.
CPI-509
Severity
Info
Description
Skipping cell insertion on pin.
CPI-510
Severity
Info
Description
Skipping isolation cell insertion.
What's Next
If an isolation cell is found that matches the rule, no extra isolation cell is inserted.
CPI-511
Severity
Info
Description
Skipping level shifter insertion.
What's Next
If a level shifter cell is found that matches the rule, no extra level shifter cell is inserted.
CPI-512
Severity
Info
Description
New port is created for within_hierarchy insertion.
What's Next
The tool only creates a port on the hierarchy if it cannot insert a low power cell for the given source
and sink connection.
CPI-513
Severity
Info
Description
No active rule found to proceed for low power cell insertion by commit_power_intent.
What's Next
We disable a rule, if specified options with it are not valid.
CPI-514
Severity
Info
Description
New port is created for routing enable signal of isolation rule.
CPI-515
Severity
Info
Description
CPI-516
Severity
Info
Description
Cell selected for insertion is from isolation rule.
CPI-517
Severity
Info
Description
Completed isolation cell insertion.
CPI-518
Severity
Info
Description
Completed level shifter insertion.
CPI-519
Severity
Info
Description
Level shifting is not necessary based on threshold value specified with rule.
CPI-520
Severity
Info
Description
Skipping level shifter insertion based on power domains operating voltages.
CPI-521
Severity
Info
Description
Power domain is undefined in power mode.
CPI-522
Severity
Info
Description
Threshold is not specified with level shifter rule in cpf flow.
CPI-523
Severity
Info
Description
User has chosen to skip sanity checks on map_isolation_cell list.
CPI-524
Severity
Info
Description
User has set pi_relax_map_ls_cell_checks to skip sanity checks on map_level_shifter_cell list.
CPI-525
Severity
Info
Description
Power level shifting is not necessary based on source and sink power voltages.
CPI-526
Severity
Info
Description
Ground level shifting is not necessary based on source and sink ground voltages.
CPI-530
Severity
Info
Description
Skipping cell insertion on pin.
CPI-531
Severity
Info
Description
Global inversion done.
CPI-533
Severity
Info
Description
Skipping isolation insertion.
What's Next
The tool skips insertion on pins marked with is_isolated.
CPI-535
Severity
Info
Description
CPI-537
Severity
Info
Description
Pre-inserted ISO/LS instance found.
CPI-538
Severity
Info
Description
Interface pin has source and sink connected to same supply.
What's Next
The tool skips insertion if all the loads on the interface pin are matching source and sink supply.
CPI-539
Severity
Info
Description
Specified elements given along with the rule does not exist in design.
What's Next
The tool ignores the rule if the elements specified with the rules are not present in design.
CPI-540
Severity
Info
Description
Balanced libcell is inserted on clock path.
CPI-542
Severity
Info
Description
Multi power rail isolation cell is needed for insertion.
What's Next
When isolation supply does not matches with insertion location supply, multi power rail type of cell
needs to be inserted.
CPI-543
Severity
Info
Description
Port splitting is done.
What's Next
The tool performs port splitting for level shifter insertion in 1801 flow, when a port drives multiple
loads with different power domains and insertion is not possible.
CPI-544
Severity
Info
Description
Level shifter requirement.
CPI-545
Severity
Info
Description
Ignoring strategy defined in black box.
What's Next
The specified strategy is inside a black box and hierarchical black box flow is enabled. In this flow,
such strategies are not actionable.
CPI-546
Severity
Info
Description
Ignoring strategy defined inside power model.
What's Next
The specified strategy is inside power model with location self, such strategies are not actionable.
CPI-547
Severity
Info
Description
CPI-548
Severity
Info
Description
Detected an isolation strategy with no effective element.
CPI-600
Severity
Info
Description
Isolation cell inserted on reset pin of zero pin retention instance.
CPI-601
Severity
Info
Description
Isolation cell inserted on set pin of zero pin retention instance.
CPI-602
Severity
Info
Description
Isolation cell inserted on clock pin of zero pin retention instance.
CPI-603
Severity
Info
Description
Isolation cell inserted on pin specified under retention_condition.
CPI-307
CPI-308
CPI-309
CPI-310
CPI-311
CPI-312
CPI-314
CPI-315
CPI-316
CPI-317
CPI-318
CPI-319
CPI-320
CPI-321
CPI-322
CPI-323
CPI-324
CPI-325
CPI-326
CPI-327
CPI-328
CPI-329
CPI-330
CPI-331
CPI-332
CPI-333
CPI-334
CPI-335
CPI-336
CPI-337
CPI-338
CPI-339
CPI-341
CPI-342
CPI-343
CPI-344
CPI-345
CPI-346
CPI-347
CPI-348
CPI-349
CPI-350
CPI-351
CPI-352
CPI-353
CPI-354
CPI-355
CPI-356
CPI-357
CPI-358
CPI-359
CPI-360
CPI-361
CPI-362
CPI-363
CPI-364
CPI-365
CPI-366
CPI-367
CPI-368
CPI-369
CPI-370
CPI-371
CPI-372
CPI-373
CPI-374
CPI-400
CPI-401
CPI-402
CPI-403
CPI-404
CPI-405
CPI-406
CPI-407
CPI-408
CPI-409
CPI-410
CPI-411
CPI-412
CPI-413
CPI-414
CPI-506
CPI-527
CPI-528
CPI-529
CPI-532
CPI-536
CPI-541
CPI-100
Severity
Warning
Description
No suitable library cell.
What's Next
There is no suitable library cell to satisfy isolation or level shifter requirements. Add appropriate
library cell and restart the session.
CPI-300
Severity
Warning
Description
CPI-301
Severity
Warning
Description
Pin/Instance specified is excluded from insertion.
What's Next
No LP insertion will happen for this pin.
CPI-302
Severity
Warning
Description
Pin specified is excluded from insertion.
CPI-303
Severity
Warning
Description
Port cloning performed.
CPI-304
Severity
Warning
Description
Skipping isolation or level shifter insertion.
CPI-305
Severity
Warning
Description
Skipping isolation or level shifter insertion.
CPI-306
Severity
Warning
Description
Isolation rule has no isolation signal.
What's Next
Use the option '-isolation_signal' of 'set_isolation' command in 1801 or '-isolation_condition' of
'create_isolation_rule' command in CPF appropriately to specify isolation signal.
CPI-307
Severity
Warning
Description
Skipping level shifter insertion.
What's Next
Ensure that libraries have level shifters and level shifters are not marked unusable.
CPI-308
Severity
Warning
Description
CPI-309
Severity
Warning
Description
Skipping isolation insertion.
What's Next
Not able to do local inversion.
CPI-310
Severity
Warning
Description
Skipping level shifter insertion.
What's Next
Level shifter insertion is skipped in either of the following cases: when the source or sink power
domain is not defined across all power modes, the source and sink power domain are operating at
the same voltage across all power modes, or no active state or mode was defined for the source or
sink power domain.
CPI-311
Severity
Warning
Description
Skipping isolation insertion.
CPI-312
Severity
Warning
Description
Skipping LP insertion in Abstract model.
CPI-314
Severity
Warning
Description
Skipping isolation or level shifter insertion.
What's Next
The tool skips insertion on unloaded and undriven pins, unless the rule is enforced.
CPI-315
Severity
Warning
Description
Found isolation instance without enable driver.
What's Next
Incoming netlist has an isolation cell without enable driver. This might result in B2B isolation cell
insertion when commit_power_intent is executed.
CPI-316
Severity
Warning
Description
Skipping isolation cell insertion.
CPI-317
Severity
Warning
Description
Inserting back to back isolation cell.
What's Next
We insert isolation cell again in either of the following cases: if existing isolation instance enable
driver not matches with isolation rule enable driver, isolation supply of the existing isolation
instance is not matching with isolation rule, clamp value of the instance not matches with rule.
CPI-318
Severity
Warning
Description
Cannot insert LP cell inside lib cell.
CPI-319
Severity
Warning
Description
Cannot insert LP cell outside top level design.
CPI-320
Severity
Warning
Description
Pin/Inst specified in elements list is not a domain boundary element.
CPI-321
Severity
Warning
Description
Port split not allowed.
CPI-322
Severity
Warning
Description
Rule has no_isolation/no_shift.
CPI-323
Severity
Warning
Description
Lib cell has incomplete data.
CPI-324
Severity
Warning
Description
LP cell cannot be inserted in library cell.
What's Next
In the related rule definition, check whether the location of the rule is valid. This warning is reported
when there is a rule that is trying to insert inside a library-cell which is not allowed.
CPI-325
Severity
Warning
Description
Power/Ground pin or floating INOUT driver.
CPI-326
Severity
Warning
Description
No matching rule found for a given object.
What's Next
No LP insertion will happen for this pin.
CPI-327
Severity
Warning
Description
CPI-328
Severity
Warning
Description
No library domains present in loaded design.
CPI-329
Severity
Warning
Description
Cell(s) specified with rule is/are not valid.
CPI-330
Severity
Warning
Description
Cannot find a suitable inverter.
CPI-331
Severity
Warning
Description
Could not find libcell(s) specified with rule.
What's Next
Skipping insertion for this rule.
CPI-332
Severity
Warning
Description
Cannot find a suitable level shifter.
CPI-333
Severity
Warning
Description
Cannot find a suitable enabled level shifter.
CPI-334
Severity
Warning
Description
Power domain voltage is not defined across all power modes in power intent file.
What's Next
Skipping level shifter insertion.
CPI-335
Severity
Warning
Description
Cell specified with rule is not matching preferred library domain.
What's Next
Cell will be excluded from insertion.
CPI-336
Severity
Warning
Description
Cell(s) specified with rule is/are not matching preferred library domain.
What's Next
Skipping insertion.
CPI-337
Severity
Warning
Description
Cell specified with level shifter rule is not matching shift needed.
What's Next
Cell will be excluded from insertion.
CPI-338
Severity
Warning
Description
Isolation cell not found.
CPI-339
Severity
Warning
Description
No power states defined in power intent file.
What's Next
At least one power state is expected to be present for level shifter insertion.
CPI-341
Severity
Warning
Description
What's Next
Level shifter insertion will be skipped.
CPI-342
Severity
Warning
Description
Missing active state for power domain.
What's Next
Level shifter insertion will be skipped.
CPI-343
Severity
Warning
Description
Detected avoided cells specified in power intent file.
CPI-344
Severity
Warning
Description
Isolation cell not found.
CPI-345
Severity
Warning
Description
None of the cells specified with map_isolation_cell command are matching isolation rule output
value.
CPI-346
Severity
Warning
Description
Cannot find a multi rail isolation cell from map_isolation_cell list for inserting in a switchable
domain. If you encounter this warning during commit power intent, you can check libcells for dual-
power low power cells, using the check_library command. To avoid this warning, you need to
provide low power cells with primary and back up power as part of usable cells for commit power
intent.
What's Next
Alternative option will be tried to honor user specified cell list.
CPI-347
Severity
Warning
Description
Cannot find a preferred cell from map_level_shifter_cell for insertion.
CPI-348
Severity
Warning
Description
Cannot find a preferred location or voltage range cell from map_level_shifter_cell for insertion.
What's Next
Potential issues may arise during verification due to this cell insertion.
CPI-349
Severity
Warning
Description
Skipping low power cell insertion in preserved subdesign.
What's Next
To insert the isolation or level shifter in this module, remove the preserve from this module.
CPI-350
Severity
Warning
Description
Skipping self location insertion.
CPI-351
Severity
Warning
Description
CPI-352
Severity
Warning
Description
Strategy not implementable.
What's Next
Either no strategy or multiple strategies applicable.
CPI-353
Severity
Warning
Description
Skipping isolation/level shifter cell insertion on floating pin.
What's Next
If you want Genus to insert isolation/level shifter cell on the floating pins, try to add -force_isolation/-
force_shift to the strategy.
CPI-354
Severity
Warning
Description
Skipping isolation insertion on isolation_signal path.
CPI-355
Severity
Warning
Description
Strategy is ignored as there are no design objects supplied by given source supply set.
CPI-356
Severity
Warning
Description
Strategy is ignored as there are no design objects supplied by given sink supply set.
CPI-357
Severity
Warning
Description
Inserting back to back level shifter cell.
CPI-358
Severity
Warning
Description
Skipping insertion on specific element.
CPI-359
Severity
Warning
Description
Skipping insertion on excluded power domain.
CPI-360
Severity
Warning
Description
Strategy specifies insertion outside the design.
CPI-361
Severity
Warning
Description
Strategy specifies insertion inside library or black box.
CPI-362
Severity
Warning
Description
Skipping insertion inside a hard region.
CPI-363
Severity
Warning
Description
Strategy specifies an invalid insertion location.
What's Next
Update the location of insertion in power intent file or update the library with low power cell to match
the location specified in power intent file.
CPI-364
Severity
Warning
Description
Strategy specifies an invalid insertion location.
CPI-365
Severity
Warning
Description
Inverter not found.
What's Next
Isolation libcell needs inversion on enable path.
CPI-366
Severity
Warning
Description
Multiple strategies found.
CPI-367
Severity
Warning
Description
Inout pin ignored for port cloning.
What's Next
Tool ignores pins with direction inout for cloning.
CPI-368
Severity
Warning
Description
Skipping insertion on preserved net.
What's Next
Tool skips isolation and level shifter insertion when 'cpi_skip_preserved_nets' is set to '1'. Set this
attribute to '0' to enable insertion on preserved nets.
CPI-369
Severity
Warning
Description
Honoring existing instance even though its libcell conflicts with user given strategy libcells.
What's Next
Tool continues to honor existing instance. Fix the incoming rtl or power intent appropriately.
CPI-370
Severity
Warning
Description
Ignoring strategy with invalid location defined inside power model.
What's Next
The specified strategy is inside power model with location parent or other, such strategies are not
actionable.
CPI-371
Severity
Warning
Description
Detected an incorrect isolation or level shifter in RTL.
What's Next
Fix the RTL. Without fixing RTL, it is possible that verification of Genus generated netlist will fail.
CPI-372
Severity
Warning
Description
Skipping port splitting.
CPI-373
Severity
Warning
Description
Skipping level shifter insertion.
CPI-374
Severity
Warning
Description
The attribute 'cpi_enable_third_domain_buffering' should be set before commit_power_intent.
What's Next
This attribute may not work as expected if its not set before commit_power_intent.
CPI-400
Severity
Warning
Description
Isolation cell not found.
CPI-401
Severity
Warning
Description
Skipping isolation insertion.
CPI-402
Severity
Warning
Description
CPI-403
Severity
Warning
Description
Skipping isolation insertion on clock pin of zero pin retention instance.
What's Next
None of the specified cells (zero_pin_live_slave_clock_isolation_cell) library domain matches
insertion module library domain.
CPI-404
Severity
Warning
Description
Skipping isolation insertion on set pin of zero pin retention instance.
What's Next
None of the specified cells (zero_pin_live_slave_set_isolation_cell) library domain matches
insertion module library domain.
CPI-405
Severity
Warning
Description
Skipping isolation insertion on reset pin of zero pin retention instance.
What's Next
None of the specified cells (zero_pin_live_slave_reset_isolation_cell) library domain matches
insertion module library domain.
CPI-406
Severity
Warning
Description
Skipping isolation insertion on clock pin of zero pin retention instance.
What's Next
None of the specified cells (zero_pin_live_slave_clock_isolation_cell) matches insertion criteria.
CPI-407
Severity
Warning
Description
Skipping isolation insertion on set pin of zero pin retention instance.
What's Next
None of the specified cells (zero_pin_live_slave_set_isolation_cell) matches insertion criteria.
CPI-408
Severity
Warning
Description
Skipping isolation insertion on reset pin of zero pin retention instance.
What's Next
None of the specified cells (zero_pin_live_slave_reset_isolation_cell) matches insertion criteria.
CPI-409
Severity
Warning
Description
Skipping isolation insertion on unidentified pin of zero pin retention instance.
What's Next
None of the specified cells (zero_pin_timing_model_iso_cell) library domain matches insertion
module library domain.
CPI-410
Severity
Warning
Description
Skipping isolation insertion on unidentified pin of zero pin retention instance.
What's Next
None of the specified cells (zero_pin_timing_model_iso_cell) matches insertion criteria.
CPI-411
Severity
Warning
Description
Skipping isolation insertion.
What's Next
User given cells with zero_pin_timing_model_iso_cell are not existing.
CPI-412
Severity
Warning
Description
Skipping isolation insertion on reset pin of zero pin retention instance.
CPI-413
Severity
Warning
Description
CPI-414
Severity
Warning
Description
Skipping isolation insertion on clock pin of zero pin retention instance.
CPI-506
Severity
Warning
Description
Command 'commit_power_intent' cannot proceed as there is no power intent loaded.
CPI-527
Severity
Warning
Description
Enable level shifter is neither always on kind nor its location is off.
CPI-528
Severity
Warning
Description
Isolation libcell definition is incomplete.
CPI-529
Severity
Warning
Description
Found conflicting shift direction.
What's Next
No level shifter insertion is tried out by this rule.
CPI-532
Severity
Warning
Description
No ON-to-OFF or OFF-to-ON crossing across power domains.
What's Next
No isolation insertion is tried out by this rule.
CPI-536
Severity
Warning
Description
Found ISO/LS instance without a rule.
What's Next
Set the attribute cpi_delete_iso_ls_without_rule to true to enable next commit_power_intent call to
remove it.
CPI-541
Severity
Warning
Description
What's Next
Balanced libcell is not available for insertion.
23
CT
CT Error Messages
CT Error Messages
CT-101
CT-102
CT-104
CT-101
Severity
Error
Description
Failed to find any clocks.
What's Next
To report clock tree power, you must define a clock on the design.
CT-102
Severity
Error
Description
Unable to find any flops in the design.
What's Next
To report clock tree power, there should be flops in the design.
CT-104
Severity
Error
Description
Cannot find any buffers or inverters in the libraries.
What's Next
To create a virtual buffer tree for a clock in the design, one of your libraries must contain either
buffers or inverters.
24
CTP
CTP-8
Severity
Error
Description
No usable clock buffers were found in the library.
What's Next
Ensure that buffer cells do not have the avoid attribute set to true.
CTP-13
Severity
Error
Description
The library does not have the minimum required usable libcells.
What's Next
Clock topology planning will not continue.
CTP-17
Severity
Error
Description
Clock topology planning (CTP) requires a power simulation effort level of 'medium' or 'high'.
What's Next
Set the lp_power_analysis_effort attribute appropriately.
CTP-19
Severity
Error
Description
Clock topology planning (CTP) has not been initialized and related data is not available.
What's Next
Run or pre-initialize CTP to manipulate related information.
CTP-2
Severity
Info
Description
A clock source lies in the fan-out of another source.
CTP-9
Severity
Info
Description
A clock source is associated by more than one clock.
CTP-14
Severity
Info
Description
A reconvergent path exists, and all fan-out flops are common to all branches.
What's Next
Clock skew estimates will be correct.
CTP-7
CTP-10
CTP-11
CTP-12
CTP-15
CTP-16
CTP-18
CTP-20
CTP-1
Severity
Warning
Description
A clock is driving a non-clock input on a sequential instance.
What's Next
The pin will be treated as a non-timed capacitive load.
CTP-3
Severity
Warning
Description
What's Next
The pin will be treated as a non-timed capacitive load.
CTP-4
Severity
Warning
Description
The clock cannot be traced backward through an instance.
What's Next
The driver will be treated as a clock source.
CTP-5
Severity
Warning
Description
The clock drives an unsupported sequential instance.
What's Next
Its clock pin will be treated as a non-timed capacitive load.
CTP-6
Severity
Warning
Description
No usable clock buffers were specified with the lp_clock_tree_buffers attribute.
What's Next
A subset of the buffers in the available library will be used.
CTP-7
Severity
Warning
Description
An unusable clock buffer was specified.
What's Next
The cell will be ignored.
CTP-10
Severity
Warning
Description
A combinational loop was detected in the clock network.
What's Next
The timing through the break point will be ignored.
CTP-11
Severity
Warning
Description
A flop libcell is lacking an internal power arc on its clock pin.
What's Next
The power will be assumed to be zero. If this is incorrect, the result may be undesirable.
CTP-12
Severity
Warning
Description
No usable clock gating cells were found in the library.
What's Next
Discrete components will be used to estimate clock gate power and timing.
CTP-15
Severity
Warning
Description
A reconvergent path exists, but some fan-out flops are not common to all branches.
What's Next
Clock skew estimates may be incorrect across this point.
CTP-16
Severity
Warning
Description
Discrete clock gate modules with multiple levels of logic are incompletely supported.
What's Next
Power and timing estimates may be affected.
CTP-18
Severity
Warning
Description
Ignoring disabled hierarchical clock gating.
What's Next
Removing false values from lp_clock_gating_hierarchical root, design, or subdesign attributes will
quiet this warning.
CTP-20
Severity
Warning
Description
A clock period in the clock tree specification file is different a previously defined period value.
What's Next
The original period will be kept.
25
CTSTCH
CTSTCH-3
Severity
Error
Description
No designs are available.
What's Next
Load the design before importing the clock specification file.
CTSTCH-4
Severity
Error
Description
Specify a valid design object.
What's Next
Use the -design argument to indicate to which design the clock specification should apply.
CTSTCH-5
Severity
Error
Description
Unmapped design.
What's Next
The design must be fully mapped before appending clock tree specification information to the
netlist.
CTSTCH-2
Severity
Info
Description
Found unsupported keyword while parsing CTSTCH file.
What's Next
The unsupported keyword will be ignored.
CTSTCH-1
Severity
Warning
Description
Found unknown keyword while parsing CTSTCH file.
What's Next
The unknown keyword will be ignored.
CTSTCH-6
Severity
Warning
Description
Unrecognized libcell.
What's Next
A libcell referenced in the clock specification file was not recognized.
26
CWD
CWD-34
CWD-35
CWD-44
CWD-45
CWD-47
CWD-1
Severity
Error
Description
Conflicting options were specified.
What's Next
Some commands allow only a single option out of a group of mutually-exclusive options to be
specified. The list of mutually-exclusive options for each command can be found in the detailed
description of that command in the command reference.
CWD-2
Severity
Error
Description
A required option was not specified.
What's Next
Some commands require that at least one option out of a group of essential options be specified.
The list of essential options for each command can be found in the detailed description of that
command in the command reference.
CWD-3
Severity
Error
Description
Synthetic operator is not defined.
What's Next
Ensure the operator name was spelled correctly. You can find the set of synthetic operators that are
registered with the tool by using the 'ls' command on the '/hdl_libraries/synthetic/operators'
directory.
CWD-4
Severity
Error
Description
The implicit vdir object is invalid.
What's Next
Specify a valid vdir object.
CWD-12
Severity
Error
Description
Synthetic operator pin does not exist.
What's Next
Specify a valid Synthetic operator pin. You can find the set of pins for the given synthetic operator
by using the 'ls pins' command on the
'/hdl_libraries/synthetic/operators/<synthetic_operator_name>' directory.
CWD-13
Severity
Error
Description
Syntax error in the list of name and value pairs.
What's Next
The correct syntax for specifying a list of name and value pairs is { {<name_1> <value_1>}
{<name_2> <value_2>} ... {<name_k> <value_k>} }.
CWD-14
Severity
Error
Description
Invalid expression specified.
What's Next
A constant-valued bitvector is expected in this context.
CWD-16
Severity
Error
Description
Missing input component pin.
What's Next
The specific pin mapping that was assigned with the attribute 'pin_association' for this particular
binding is invalid because it does not list a mapping for each input component pin. Use
'pin_association' to specify a mapping for each input component pin.
CWD-17
Severity
Error
Description
Unknown pin names in pin association list.
What's Next
The pin map being assigned to pin_association attribute of the given binding is invalid since it
contains unknown pin names.
CWD-18
Severity
Error
Description
Failed to evaluate an expression.
What's Next
Syntax error in expression specified. First fix the errors in the expression to proceed.
CWD-20
Severity
Error
Description
A non-existent parameter was specified.
What's Next
Ensure that the correct parameter is specified or create the parameter using 'hdl_create parameter'
command.
CWD-22
Severity
Error
Description
An output pin cannot be specified.
What's Next
The specified operation cannot be performed on output pins.
CWD-25
Severity
Error
Description
The pin directions do not match.
What's Next
The directions specified for the pins is incorrect.
CWD-27
Severity
Error
Description
Could not find a parameter in the synthesis model.
What's Next
Ensure that the parameter exists in the synthesis model or the correct file was loaded.
CWD-28
Severity
Error
Description
Failed to build a netlist for the implementation.
What's Next
To check for errors in the synthesis model, synthesize only the synthesis model for the problematic
parameter.
CWD-30
Severity
Error
Description
A pin is missing in the synthesis model.
What's Next
Ensure that the pin specified exists in the synthesis model.
CWD-33
Severity
Error
Description
Could not locate file.
What's Next
Ensure that the file exists or the correct file path is specified.
CWD-34
Severity
Error
Description
Missing option for a builtin implementation.
What's Next
Specify a speed grade for the implementation. The speed grade can be specified with the '-
speed_grade' option of the 'hdl_create implementation' command.
CWD-35
Severity
Error
Description
Invalid speed grade specified.
What's Next
Specify a valid speed grade value for the implementation.
CWD-44
Severity
Error
Description
No formula has been specified for the parameter.
What's Next
Specify a formula for the 'width' parameter.
CWD-45
Severity
Error
Description
Failed to source pre-elaboration script for implementation.
What's Next
Ensure that the pre-elaboration script exists or specify the correct path for the pre-elaboration script.
CWD-47
Severity
Error
Description
Failed to source post-elaboration script for implementation.
What's Next
Ensure that the post-elaboration script exists or specify the correct path for the post-elaboration
script.
CWD-19
CWD-21
CWD-26
CWD-29
CWD-36
CWD-37
CWD-46
CWD-11
Severity
Info
Description
Library already exists.
CWD-19
Severity
Info
Description
An implementation was inferred.
CWD-21
Severity
Info
Description
Skipping an invalid binding for a subprogram call.
CWD-26
Severity
Info
Description
The output pin is unused.
CWD-29
Severity
Info
Description
The netlist for implementation was already built.
What's Next
The netlist for the implementation was previously built from its synthesis model for the specified set
of parameter values.
CWD-36
Severity
Info
Description
Sorted the set of valid implementations for synthetic operator.
CWD-37
Severity
Info
Description
Actual speed grade differs from the expected speed grade.
CWD-46
Severity
Info
Description
Multiple components found with the same name.
CWD-5
Severity
Warning
Description
Case mismatch between the actual and the specified package names.
What's Next
Some commands, such as the 'hdl_create *' commands, tolerate case mismatches between the
specified name and the actual name of HDL objects. But other commands, such as, 'ls', 'cd', 'find',
'set_attribute', 'get_attribute' etc., will not work if the specified name differs in case from the actual
object name.
CWD-6
Severity
Warning
Description
The synthesis model for the implementation overridden by design module of the same name.
What's Next
While building a ChipWare implementation for a given set of parameters, if the tool finds a
previously built design module with the same name as the implementation, then the tool binds that
module as the synthesis model for the implementation. No further attempt is made to build the
model specified via the 'location' attribute of the implementation. If this is not the expected behavior,
then the design module should be renamed so that its name does not match the name of the
implementation's synthesis model.
CWD-7
Severity
Warning
Description
The preferred component attribute will not be honored.
What's Next
The 'preferred_comp' attribute setting of the specified 'label' pragma will be ignored. The tool will try
to build an alternate implementation for the operator or function call.
CWD-8
Severity
Warning
Description
The preferred implementation attribute will not be honored.
What's Next
The 'preferred_impl' attribute setting of the specified 'label' pragma will be ignored. The tool will try
to build an alternate implementation for the operator or function call.
CWD-15
Severity
Warning
Description
Failed to find a valid synthetic operator binding.
What's Next
A call to an HDL subprogram that is mapped to a synthetic operator via the 'map_to_operator'
pragma could not be replaced by a ChipWare component during elaboration. This happens when
either no binding exists for the synthetic operator or when none of the specified binding for the
synthetic operator is valid for the given call.
CWD-23
Severity
Warning
Description
Found an input or inout pin with no drivers.
CWD-24
Severity
Warning
Description
No implementations exist for component.
What's Next
A valid implementation cannot be selected for the given ChipWare component since no
implementation has been specified for it. Use 'hdl_create implementation' command to specify an
implementation in the component vdir.
CWD-31
Severity
Warning
Description
Implementation with the given name does not exist.
CWD-32
Severity
Warning
Description
The preferred implementation attribute will not be honored.
What's Next
The 'preferred_impl' attribute setting of the specific instance will be ignored. The tool will try to build
an alternate implementation for the instance.
CWD-38
Severity
Warning
Description
The synthetic operator already exists.
What's Next
The attempt to re-define the definition of an existing operator was ignored.
CWD-39
Severity
Warning
Description
What's Next
The attempt to re-define the definition of an existing pin was ignored.
CWD-40
Severity
Warning
Description
The component already exists.
What's Next
The attempt to re-define the definition of an existing component was ignored.
CWD-41
Severity
Warning
Description
The parameter already exists.
What's Next
The attempt to re-define the definition of an existing parameter was ignored.
CWD-42
Severity
Warning
Description
The binding already exists.
What's Next
The attempt to re-define the definition of an existing binding was ignored.
CWD-43
Severity
Warning
Description
The implementation already exists.
What's Next
The attempt to re-define the definition of an existing implementation was ignored.
CWD-48
Severity
Warning
Description
The ChipWare component is being obsoleted.
What's Next
Support for the given ChipWare component will be discontinued in a future release.
CWD-49
Severity
Warning
Description
The ChipWare implementation is being obsoleted.
What's Next
Support for the given ChipWare implementation will be discontinued in a future release.
CWD-50
Severity
Warning
Description
The ChipWare component is locked and cannot be used in the current session.
What's Next
Contact Cadence for unlocking the component for use in future sessions.
27
CWD_INSTALL
CWD_INSTALL-101
Severity
Error
Description
Error encountered while creating library.
What's Next
Check if the tool was correctly installed.
CWD_INSTALL-102
Severity
Error
Description
TCL proc for installation of library component not found.
What's Next
Check whether the installation script for the library in which the component exists contains a
reference to the component. The installation script is located at
$CDN_SYNTH_ROOT/lib/cdn/rc/cwd/<LIBRARY>_install.tcl.
CWD_INSTALL-103
Severity
Error
Description
Error encountered while installing library component.
What's Next
The component could not be installed due to an error in the TCL proc for installation of the
component. Check the installation script of the component library for syntax errors. The installation
script is located at $CDN_SYNTH_ROOT/lib/cdn/rc/cwd/<LIBRARY>_install.tcl.
28
DATABASE
DATABASE-136
DATABASE-106
Severity
Error
Description
While reading the database, an object was expected but not found.
What's Next
This could be because the database was written with a different version of the product. Read and
write databases with the same version of the tool. Check the logfile for warning message
DATABASE-109, which points to the difference in the tool versions.
DATABASE-110
Severity
Error
Description
While reading the database, a library cell was expected but not found.
What's Next
Load all the necessary libraries before reading a database.
DATABASE-114
Severity
Error
Description
Database was read before the setup script was sourced.
What's Next
This database was written along with a setup script. That setup script needs to be sourced before
this database can be read.
DATABASE-115
Severity
Error
Description
The database can only be written to a regular file when option -script is given.
What's Next
Do not specify the -script option or write the database to a regular file.
DATABASE-116
Severity
Error
Description
While reading the database, a database written in a newer version was encountered.
What's Next
This could be because the database was written with a different version of the product. Read and
write databases with the same version of the tool. Check the logfile for warning message
DATABASE-109, which points to the difference in the tool versions.
DATABASE-117
Severity
Error
Description
Cannot read the database.
What's Next
Check if the version used to generate the database is the same as the version used to load the
database. This error usually occurs when the database is created with an older tool version. To
regenerate the database, use the db-version of the tool to write: the netlist using the 'write_hdl'
command, the setup script using the 'split_db' command (modify the setup script to fix any script
failure.) , all the constraint information using the 'write_sdc', 'write_power_intent', 'write_tcf',
'write_script' commands, etc. Next, read these files into the current tool version and regenerate the
database. The setup script belonging to this database (created using split_db) must be sourced
before loading the database.
DATABASE-119
Severity
Error
Description
Command read_db requires either a file name argument or option -from_tcl.
What's Next
To read a database from a file, specify the file name and do not use option -from_tcl. To read a
database from a Tcl variable, specify option -from_tcl and do not give a file name.
DATABASE-121
Severity
Error
Description
What's Next
The database was written with option -dft_only and it contains only DFT information and no netlist.
DATABASE-122
Severity
Error
Description
The command-line option '-del_scale' must match the one in the database.
What's Next
Load the database again after re-starting the tool with the same value for '-del_scale'.
DATABASE-123
Severity
Error
Description
While reading the database, a database written requiring unavailable licenses was encountered.
DATABASE-125
Severity
Error
Description
Attempt to load a design without library domains after setting up library domains.
What's Next
Do not set up library domains before loading a database without library domains.
DATABASE-127
Severity
Error
Description
Cannot read database written in session using old library parser.
What's Next
A database written during a session using the old library parser cannot be read in a session that
uses the new library parser. To generate a database that is compatible with the new library parser
will require the following steps. First, using a previous release of the tool, use the 'write_hdl'
command to write out the netlist, use the 'split_db' command to write out the setup script, and finally
use the 'write_sdc', 'write_power_intent', 'write_tcf', 'write_script' commands, and so on to write out
all constraint information. Next, read these files into a new library parser-enabled session and re-
generate the database.
DATABASE-129
Severity
Error
Description
Error saving database.
What's Next
An error message has been issued. Follow up on the error message(s) issued above.
DATABASE-130
Severity
Error
Description
Error loading database.
What's Next
An error message has been issued. Follow up on the error message(s) issued above.
DATABASE-131
Severity
Error
Description
Error writing script file.
What's Next
Check that there is enough free disk space to write the script file.
DATABASE-132
Severity
Error
Description
Setup script would be empty.
What's Next
Check that the setup has not previously been written to another script.
DATABASE-133
Severity
Error
Description
Error evaluating tcl code contained in database.
What's Next
Inspect the tcl code for errors.
DATABASE-136
Severity
Error
Description
While reading the database, an old split database with an incompatible DBU mode was
encountered.
What's Next
Restart the tool in legacy DBU mode.
DATABASE-102
DATABASE-111
DATABASE-112
DATABASE-113
DATABASE-120
DATABASE-126
DATABASE-128
DATABASE-134
DATABASE-101
Severity
Info
Description
Reading the netlist of the given name.
What's Next
Use this name to refer to the design.
DATABASE-102
Severity
Info
Description
What's Next
If an existing design is not to be overwritten, rename it prior to reading a database.
DATABASE-111
Severity
Info
Description
While writing the database, attribute 'write_db_unresolved' to a subdesign was encountered.
What's Next
Only parts of the design and no data besides the netlist will be written.
DATABASE-112
Severity
Info
Description
Ignoring file/row/column information.
What's Next
File/row/column is included in the database. Since root attribute 'hdl_track_filename_row_col' is set
to 'false' it will not be restored.
DATABASE-113
Severity
Info
Description
While writing the database, certain data cannot be saved.
What's Next
Avoid creating objects that cannot be saved and restored.
DATABASE-120
Severity
Info
Description
Current directory restored.
DATABASE-126
Severity
Info
Description
While reading the database, an attribute value was not restored.
DATABASE-128
Severity
Info
Description
Changed UI mode while reading the database.
What's Next
Switch UI mode before reading a database to avoid this message.
DATABASE-134
Severity
Info
Description
The db generated by the earlier tool version may be incorrect, so it is required to re-generate the db.
What's Next
It is required to re-generate the db.
DATABASE-103
Severity
Warning
Description
The database contains a field that the reader does not support.
What's Next
This could be because the database was written with a different version of the product. Read and
write databases with the same version of the tool. Check the logfile for warning message
DATABASE-109, which points to the difference in the tool versions.
DATABASE-105
Severity
Warning
Description
While reading the database, a library cell was expected but not found.
What's Next
Load all the necessary libraries before reading a database.
DATABASE-107
Severity
Warning
Description
While reading the database, an attribute was not found.
What's Next
This could be because the database was written with a different version of the product. Read and
write databases with the same version of the tool. Check the logfile for warning message
DATABASE-109, which points to the difference in the tool versions.
DATABASE-108
Severity
Warning
Description
While reading the database, an attribute could not be set.
What's Next
This could be because the database was written with a different version of the product. Read and
write databases with the same version of the tool. Check the logfile for warning message
DATABASE-109, which points to the difference in the tool versions.
DATABASE-109
Severity
Warning
Description
The database was written with a different version.
What's Next
In production, read and write databases with the very same version of the product.
DATABASE-118
Severity
Warning
Description
While reading the database, an object was expected but not found.
What's Next
This could be because the database was written with a different version of the product. Read and
write databases with the same version of the tool. Check the logfile for warning message
DATABASE-109, which points to the difference in the tool versions.
DATABASE-124
Severity
Warning
Description
The limit for warnings was reached for an attribute that does not exist.
What's Next
This limit is stored in root-attribute 'read_db_attribute_not_found_limit' and may be modified by
users.
DATABASE-135
Severity
Warning
Description
Older database with legacy DB units detected. Reverting to legacy DB unit mode.
DATABASE-137
Severity
Warning
Description
In the database power/ground pins to instances are represented as inout pins and there are nets
connected to them. Power/ground pins are now represented as internal pins and connections are
not allowed anymore.
What's Next
Set root attribute 'support_internal_pg_pins' to 'false' before loading technology libraries to restore
power/ground pins as inout pins.
DATABASE-138
Severity
Warning
Description
A net is deleted that in the database is connected to power/ground inout pins. Power/ground pins
are now represented as internal pins and connections are not allowed anymore.
What's Next
Set root attribute 'support_internal_pg_pins' to 'false' before loading technology libraries to restore
power/ground pins as inout pins.
DATABASE-139
Severity
Warning
Description
Reading 'Common-DB' in legacy-UI, updating timing to use MMMC.
DATABASE-140
Severity
Warning
Description
Saving 'Common-DB' of a design using non-MMMC timing configuration.
What's Next
Timing will likely be upgraded to use MMMC on read.
29
DFM
DFM-100
Severity
Error
Description
No 'cell_probability' found.
What's Next
There is no 'cell_probability' section in the coefficient file.
DFM-202
Severity
Error
Description
Invalid probability value.
What's Next
Probability values must be in the range: (0.0 >= <value> <= 1.0).
DFM-201
Severity
Info
Description
No systematic probability defined.
What's Next
There is no defined systematic probability in the coefficient file.
DFM-200
Severity
Warning
Description
No instance probability defined.
What's Next
There is no defined instance probability in the coefficient file.
DFM-203
Severity
Warning
Description
Missing library cell.
What's Next
A cell defined in the coefficient file could not be found in the libraries directory.
30
DFT
DFT-139
DFT-141
DFT-142
DFT-143
DFT-144
DFT-150
DFT-154
DFT-156
DFT-157
DFT-159
DFT-165
DFT-167
DFT-169
DFT-172
DFT-173
DFT-174
DFT-175
DFT-178
DFT-181
DFT-183
DFT-184
DFT-188
DFT-194
DFT-195
DFT-197
DFT-198
DFT-200
DFT-201
DFT-202
DFT-203
DFT-205
DFT-206
DFT-207
DFT-208
DFT-209
DFT-210
DFT-213
DFT-214
DFT-215
DFT-216
DFT-217
DFT-218
DFT-219
DFT-221
DFT-222
DFT-223
DFT-225
DFT-227
DFT-228
DFT-229
DFT-231
DFT-232
DFT-233
DFT-234
DFT-237
DFT-239
DFT-240
DFT-241
DFT-242
DFT-243
DFT-244
DFT-245
DFT-246
DFT-249
DFT-250
DFT-251
DFT-252
DFT-253
DFT-256
DFT-259
DFT-260
DFT-261
DFT-262
DFT-263
DFT-265
DFT-266
DFT-267
DFT-268
DFT-269
DFT-272
DFT-273
DFT-274
DFT-277
DFT-278
DFT-279
DFT-280
DFT-288
DFT-289
DFT-290
DFT-291
DFT-292
DFT-297
DFT-310
DFT-320
DFT-326
DFT-328
DFT-404
DFT-407
DFT-409
DFT-411
DFT-412
DFT-413
DFT-414
DFT-419
DFT-420
DFT-421
DFT-422
DFT-423
DFT-426
DFT-427
DFT-428
DFT-429
DFT-500
DFT-533
DFT-551
DFT-560
DFT-562
DFT-563
DFT-566
DFT-580
DFT-600
DFT-601
DFT-603
DFT-604
DFT-605
DFT-607
DFT-608
DFT-609
DFT-610
DFT-612
DFT-660
DFT-661
DFT-662
DFT-664
DFT-665
DFT-666
DFT-667
DFT-668
DFT-669
DFT-670
DFT-671
DFT-672
DFT-673
DFT-674
DFT-686
DFT-687
DFT-688
DFT-689
DFT-690
DFT-691
DFT-692
DFT-693
DFT-695
DFT-696
DFT-697
DFT-698
DFT-699
DFT-701
DFT-702
DFT-703
DFT-705
DFT-706
DFT-707
DFT-708
DFT-713
DFT-721
DFT-726
DFT-800
DFT-801
DFT-803
DFT-901
DFT-902
DFT-903
DFT-904
DFT-905
DFT-906
DFT-907
DFT-908
DFT-910
DFT-911
DFT-912
DFT-913
DFT-914
DFT-915
DFT-916
DFT-917
DFT-918
DFT-919
DFT-920
DFT-921
DFT-922
DFT-923
DFT-924
DFT-926
DFT-927
DFT-928
DFT-931
DFT-952
DFT-954
DFT-955
DFT-1000
DFT-1001
DFT-1201
DFT-1300
DFT-1301
DFT-1302
DFT-105
Severity
Error
Description
Found existing scan segment or chain with same name.
What's Next
You cannot redefine an existing scan segment (or chain). To redefine it, first remove the existing
scan segment (or chain) from the 'dft/scan_segments' (or 'dft/scan_chains') directory. Then redefine
the scan segment (or chain).
DFT-106
Severity
Error
Description
Found existing test clock (or domain) with same name.
What's Next
You cannot redefine an existing test clock (or domain). To redefine it, first remove the existing test
clock (or domain) from the 'dft/test_clock_domains' directory. Then redefine the test clock (or
domain).
DFT-107
Severity
Error
Description
Found invalid value for shift-register auto-identification command option.
What's Next
The value of the '-min_length' option cannot be less than 2 (default value). The value of the '-
max_length' option must be greater than or equal to the value of the '-min_length' option.
DFT-112
Severity
Error
Description
Failed to connect scan chains.
What's Next
The library has no flop or latch that is considered usable. A library cell is considered not usable if it
has a 'dont_use' or a 'dont_touch' attribute set to 'true' in the .lib files. Set the attribute 'preserve' to
false on the library cell and set the attribute 'avoid' to false on the library cell to make a flop or latch
usable for lockup insertion.
DFT-114
Severity
Error
Description
Cannot insert DFT logic.
What's Next
The scan configuration engine excludes flip-flops from a scan chain if these flip-flops belong to a
subdesign or hierarchical instance that is marked preserved. To include these flip-flops on a scan
chain, set the 'preserve' attribute to 'false' on the reported subdesign or instance, then rerun the
'connect_scan_chains' command.
DFT-116
Severity
Error
Description
Failed to connect scan chains.
What's Next
Scan chain connection requires the definition of at least one shift enable signal to globally connect
the shift enable pins of the scan flip-flops, or to control shared scan data output ports. First specify a
shift enable signal using the 'define_dft shift_enable' command, then rerun the 'check_dft_rules'
command before you rerun the 'connect_scan_chains' command.
DFT-119
Severity
Error
Description
Cannot find pin replacement.
What's Next
An instance was replaced during optimization but the new instance did not have an equivalent for
some of the original pins. You can prevent such replacement by specifying the element to be
preserved (e.g. by setting the attribute 'preserve' to true on the object).
DFT-120
Severity
Error
Description
Could not identify the top design.
What's Next
If no design is loaded, first load and elaborate the design before specifying the command again. If
multiple designs are loaded, respecify the command with the '-design' option to uniquely identify the
top design.
DFT-121
Severity
Error
Description
Found existing test signal with same name.
What's Next
You cannot redefine an existing test signal. If you need to redefine it, first remove the existing test
signal from the 'dft/test_signals' directory. Then redefine the test signal.
DFT-123
Severity
Error
Description
Object already used in existing scan segment or chain.
What's Next
You cannot add an object (instance, segment, or pin) that is already part of an existing scan
segment or scan chain.
DFT-124
Severity
Error
Description
Period of test clock and test clock domain are incompatible.
What's Next
All test clocks in a particular test clock domain should have the same period. You can change the
'period' attribute of the test clock to make the test clocks compatible.
DFT-125
Severity
Error
Description
Bad port/pin for DFT.
What's Next
The port/pin cannot be used as the driving pin of a test signal, as a driving SDI or as a load SDO.
For the intended core-side driver pin, ensure that a function definition exists for the pin in the libcell
description. The signals associated with the function input pins should be driven to meaningful logic
values such that under test mode setup, the function of the core-side pin evaluates to a buffer or
inverter function of the pad pin.
DFT-131
Severity
Error
Description
Cannot transfer dft_controllable attribute.
What's Next
An instance whose output had a dft_controllable attribute was (re)mapped, but the new instance did
not have a corresponding input.
DFT-132
Severity
Error
Description
Could not add scan chain.
What's Next
The test signal specified with '-shift-enable' option must refer to an existing shift-enable signal.
DFT-133
Severity
Error
Description
Cannot redefine a unique scan clock signal.
What's Next
A design can have only one scan clock A and one scan clock B.
DFT-134
Severity
Error
Description
Port or pin is already used for DFT purposes.
What's Next
A pin or port can only be associated with one test signal, test clock, mbist clock or scan chain
signal. If you want to use the pin or port for another DFT purpose, you first have to remove the
already existing constraint on this signal. This can be achieved using the delete_obj command on
the incorrectly assigned signal. The following example defines a test signal, TM1, to be held at 0
during test, and also a shift enable signal. .nf @genus:root: 103> define_test_mode -name TM1 -
active low sen -create_port test_signal:top/TM1 @genus:root: 104> define_shift_enable -name
shiftEnable -active high [get_db ports sen] Error : Port or pin is already used for DFT purposes.
[DFT-134] [define_shift_enable] : Port 'port:top/sen' is already associated with test mode signal
'TM1'. : A pin or port can only be associated with one test signal, test clock, mbist clock or scan
chain. If you want to use the pin or port for another test signal, test clock, mbist clock or scan chain,
use the 'rm' command to first remove the existing test signal, test clock, mbist clock or chain from the
'dft/test_signals', 'dft/test_clock_domains', 'dft/mbist' or 'dft/scan_chains' directory. 1 => as you see,
Genus clearly mentions the port sen is already assigned to a test_mode signal. This can be double-
checked by running report_scan_setup : @genus:root: 111> report_scan_setup Design Name
=========== top Scan Style ========== muxed_scan DFT rule check status is not available.
Need to (re)run check_dft_rules Global Constraints ================== Minimum number of
scan chains: no_value Maximum length of scan chains: no_value Lock-up element type:
preferred_level_sensitive Mix clock edges in scan chain: false Prefix for unnamed scan objects:
DFT_ Test signal objects =================== test_mode: object name: TM1 pin name: sen
hookup_pin: sen hookup_polarity: non_inverted function: test_mode active: low ideal: false user
defined: true => indeed, sen has been assigned a test_signal named TM1 by mistake. To fix this,
you can remove the TM1 test_signal, create the shift_enable on the sen port, and re-create TM1 on
the correct port. To do so, follow the steps below : @genus:root: 115> delete_obj [get_db
test_signals TM1] @genus:root: 116> define_shift_enable -name shiftEnable -active high [get_db
ports sen] test_signal:top/shiftEnable @genus:root: 117> define_test_mode -name TM1 -active low
TM1 -create_port test_signal:top/TM1 Here we took the example of creating shift_enable and
test_mode signals but same methodology can be used for other DFT signals created by mistake.
Going further, delete_obj is a handy command to remove a design object, or a constraint. Ensure
the user does not delete important objects. Type: @genus:root: 119> delete_obj -help to find out
which objects can be deleted by this command
DFT-135
Severity
Error
Description
Scan segment is already referenced by another segment.
What's Next
A scan segment can only be referenced by one scan segment. To make it part of another segment,
first remove its current parent segment from the 'dft/scan_segments' directory.
DFT-139
Severity
Error
Description
Unmapped module.
What's Next
One or more modules contain generic gates. The command that triggered this message requires the
module(s) to be mapped. Look at commands 'syn_generic', 'syn_map' and 'syn_opt' for information
on how to map a design.
DFT-141
Severity
Error
Description
Failed to connect scan chains.
What's Next
The mux inserted to share a functional output with a scan data output requires to specify a control
signal for the mux. If the control signal was not yet defined, use the 'define_test_mode' command to
define the control signal and rerun the 'check_dft_rules' command. Next, remove the offending scan
chain, before redefining it and specifying the control signal using the '-shared_select' option of the
'define_scan_chain' command. Rerun the 'connect_scan_chains' command.
DFT-142
Severity
Error
Description
Could not obtain the required license.
What's Next
A command or option requires a license that could not be obtained.
DFT-143
Severity
Error
Description
Invalid license requested.
What's Next
A command or option requested an invalid license.
DFT-144
Severity
Error
Description
Test signal exists with the same attribute value.
What's Next
Either specify the correct attribute value or first reset the attribute value and set it properly.
DFT-150
Severity
Error
Description
Could not create scan chain.
What's Next
Refer to the 'Command Reference' for more information about the options of the
'define_scan_chain' command. Then rerun the command after specifying the options correctly.
DFT-154
Severity
Error
Description
Cannot write out the scanDEF information for the design.
What's Next
No scan chains were found in the design. A scanDEF can only be written if there is scan chain
information in the database. If there are pre-existing chains in the design, they can be defined with
the 'define_scan_chain -analyze' command. If there are no scan chains in the design, define the
scan setup and run the 'connect_scan_chains' command to connect the chains and then rerun the
'write_scandef' command.
DFT-156
Severity
Error
Description
Cannot remove core-wrapper-cell segment.
What's Next
Removal of core wrapper cell segments can result in loss of information on inserted core-wrapper
cells. Hence removal of core-wrapper segments is not allowed.
DFT-157
Severity
Error
Description
An object in segment being defined is not compatible with the segment definition.
What's Next
Some objects may not be included in a segment, e.g. combinational elements in a floating segment,
or complex sequential elements in a segment.
DFT-159
Severity
Error
Description
Cannot generate dft abstraction model due to definition of internal test-signal.
What's Next
Test related signals are expected to be controllable from the top module ports to write out a valid
model Test related objects are test_clocks, test_signals, scan-data input and output signals.
DFT-165
Severity
Error
Description
Test clock has no source pin.
What's Next
A test clock must have a source pin identified.
DFT-167
Severity
Error
Description
Cannot define an internal clock as equivalent to another clock.
What's Next
Current version of this tool does not support defining an internal clock equivalent to another clock.
DFT-169
Severity
Error
Description
Could not define abstract segment.
What's Next
The current tool version only supports abstract segments for the muxed scan style.
DFT-172
Severity
Error
Description
Failed to connect scan chains.
What's Next
Scan chain connection requires the definition of the scan clock A signal to globally connect the
corresponding pin of the scan flip-flops. First specify the missing scan clock signal using the
'define_lssd_scan_clock_a' command, then rerun the 'check_dft_rules' command before you rerun
the 'connect_scan_chains' command.
DFT-173
Severity
Error
Description
Failed to connect scan chains.
What's Next
Scan chain connection requires the definition of the scan clock B signal to globally connect the
corresponding pin of the scan flip-flops. First specify the missing scan clock signal using the
'define_lssd_scan_clock_b' command, then rerun the 'check_dft_rules' command before you rerun
the 'connect_scan_chains' command.
DFT-174
Severity
Error
Description
Failed to run the DFT rule checker.
What's Next
When the attribute 'map_to_master_slave_lssd' is true, only scan style applicable is clocked_lssd.
Change the scan style by setting the attribute 'dft_scan_style' to clocked_lssd and rerun the
'check_dft_rules' command.
DFT-175
Severity
Error
Description
Could not define shift_register segment.
What's Next
Ensure that the start and end flops of a shift register segment are different, that all elements of the
segment are flops, and that there is a shift path under test mode from the start flop to the last flop.
DFT-178
Severity
Error
Description
Invalid shift register segment.
What's Next
A shift register is considered a valid scan segment if the tool can trace back through all flops in the
register. Check if the connection to the input of the reported register is missing or if it is driven by
combinational logic that cannot be reduced to a buffer or inverter. Fix the connection problem and
redefine the segment.
DFT-181
Severity
Error
Description
Found an invalid shift-register element.
What's Next
To include the shift-register segment during scan chain connection, reset the 'dft_dont_scan' or
'preserve' attribute on the specified flop to 'false', rerun the 'check_dft_rules' command, then run the
'replace_scan' command before you rerun the 'connect_scan_chains' command.
DFT-183
Severity
Error
Description
Invalid shift register segment.
What's Next
A shift register is considered a valid scan segment if the set, reset, and preset pins of all its flops are
held at their inactive value and the enable pin is held to its active value. Ensure that test mode
signals are specified for the synchronous pins of the flops in the shift register.
DFT-184
Severity
Error
Description
Could not define segment.
What's Next
A scan segment cannot contain top level ports as its elements. Refer to the 'Command Reference'
for more information on the type of elements that can be specified for this type of segment.
DFT-188
Severity
Error
Description
Could not define shift_register segment.
What's Next
Shift register segments are only supported for the muxed scan style.
DFT-194
Severity
Error
Description
Could not write scanDEF information for design.
What's Next
If the actual scan chains were built for multi-mode configuration using the 'connect_scan_chains -
dft_configuration_mode' options, use the -dft_configuration_mode option to the write_scandef
command to generate the scanDEF output.
DFT-195
Severity
Error
Description
Failed to generate ATPG interface files.
What's Next
Check to see whether you have specified the correct options to the write_atpg command. The -
compression option is only valid with the -cadence option. Exactly one of -mentor, -stil and -
cadence options must be specified.
DFT-197
Severity
Error
Description
Failed to perform compression.
What's Next
To add masking logic, you must specify the '-mask' option along with any other masking options.
DFT-198
Severity
Error
Description
Failed to perform compression.
What's Next
To add 'WIDE2' channel mask register, you must specify a list of two enable signals with the '-
mask_enable' option. To add a 'WIDE1' channel mask register, you must specify one enable signal
with the '-mask_enable' option.
DFT-200
Severity
Error
Description
Found invalid value for command option.
What's Next
Correct the value for the specified option and rerun the command.
DFT-201
Severity
Error
Description
Found incompatible options.
What's Next
Refer to the 'Command Reference' for more information about the options of this command. Then
rerun the command after specifying the options correctly.
DFT-202
Severity
Error
Description
Required option missing.
What's Next
One or more specified options additionally require an option that is not specified. Add the missing
option and rerun the command.
DFT-203
Severity
Error
Description
Same signal specified for multiple purposes.
What's Next
The same signal (test_signal, pin, or port) has been specified for two options which must be set to
different signals. Select a different signal for one of the options and rerun the command.
DFT-205
Severity
Error
Description
Shared compression clock.
What's Next
The mask or misr clock should be a dedicated clock pin since it will be used for loading the channel
mask registers or resetting the MISR. Only if the test clock being shared has been gated off during
these test sequences can the clock be shared with compression. If this gating logic is already in
place, specify the -allow_shared_clock option to allow the clock to be used for compression.
DFT-206
Severity
Error
Description
Invalid test signal.
What's Next
The specified test signal cannot be used. Determine why the test signal cannot be used or specify a
different test signal.
DFT-207
Severity
Error
Description
Invalid test clock.
What's Next
The specified clock cannot be used. Determine why the clock cannot be used or specify a different
clock.
DFT-208
Severity
Error
Description
Scan chain cannot be compressed.
What's Next
A scan chain requested for compression cannot be compressed. Remove this chain from the list of
chains to compress and rerun the command.
DFT-209
Severity
Error
Description
No scan chains to compress.
What's Next
Use connect_scan_chains to create scan chains, or select a different set of scan chains to
compress.
DFT-210
Severity
Error
Description
Too few scan chains for OPMISR compression.
What's Next
Include more scan chains for compression or use XOR compression instead of OPMISR
compression.
DFT-213
Severity
Error
Description
Cannot use OPMISR compression.
What's Next
The design is not compatible with OPMISR compression.
DFT-214
Severity
Error
Description
Shared compression enable signal.
What's Next
To proceed with scan chain compression, you must specify the '-master_control' option. This option
is required to disable the test path in system mode to guarantee proper system mode operation.
DFT-215
Severity
Error
Description
Could not mark object as DFT controllable.
What's Next
The dft_controllable attribute is used to specify the logical connectivity across a set of input and
output pins belonging to the same instance (of a blackbox, logic abstract or timing model reference).
To set the dft_controllable attribute across pins of multiple instances, specify the attribute separately
for each instance.
DFT-216
Severity
Error
Description
Found an uncontrollable compression clock.
What's Next
If the compression clock is driven by an output pin of a black-box instance, you can specify the
logical connectivity across the pins of the instance using the 'dft_controllable' attribute. If the
compression clock is not driven by a black-box output pin, you must define the compression clock
as a test clock using the 'define_test_clock' command and specify its internal hookup pin using the
'hookup_pin' option.
DFT-217
Severity
Error
Description
Incompatible test clocks drive the scan chains that are selected for compression.
What's Next
Two scan chains targeted for compression are driven by test clocks that are incompatible. Scan
chains that are compressed at the same must all be driven at the same test clock frequency. Ensure
all test clocks used in compression mode have the same period or select a different set of scan
chains to compress.
DFT-218
Severity
Error
Description
An incorrect hookup pin was specified.
What's Next
The 'define_scan_chain' command expects the pin specified for the '-hookup_pin_sdi' option to be
a driver and the pin specified for the '-hookup_pin_sdo' option to be a load. To query the direction of
the pin, use the attribute 'direction' on the pin.
DFT-219
Severity
Error
Description
Cannot generate dft abstraction model because chains have TDRC errors.
What's Next
Fix the TDRC errors in all the chains before writing out the abstraction model. Use the 'report
dft_chains -chain <chainName>' to list the elements of the scan chain. A scan flop in the chain that
fails TDRC will have a 'Fails DFT rules' entry in the scan report besides it.
DFT-221
Severity
Error
Description
Did not specify the required Verilog library files.
What's Next
The library files are required to write the netlist and pin assignment files which are used by Modus
software to validate the mapped design.
DFT-222
Severity
Error
Description
MISR compression is only supported for muxed_scan.
What's Next
There is no more help available in this message. If the help in this message was insufficient,
contact customer support with this message ID.
DFT-223
Severity
Error
Description
Cannot ungroup instance.
What's Next
The JTAG_MACRO instance is referenced by other DFT commands such as 'insert_dft
MBIST[PTAM]', and therefore its reference must be maintained to complete the DFT insertion flow.
Additionally, its reference is also used by the write_do_lec command to validate the final design.
DFT-225
Severity
Error
Description
DFT configuration mode exists.
What's Next
To update the test signal values specified for the existing dft configuration mode, you must first
remove the configuration mode and then redefine it by specifying the test signals with their updated
test mode active values.
DFT-227
Severity
Error
Description
Failed to compress scan chains.
What's Next
The library has no latch that is considered usable. A library cell is considered not usable if it has a
'dont_use' or a 'dont_touch' attribute set to 'true' in the .lib files. Set the attribute 'preserve' to false on
the library cell and set the attribute 'avoid' to false on the library cell to make a latch usable for
lockup insertion.
DFT-228
Severity
Error
Description
Cannot create port.
What's Next
Specify a valid port name and rerun the command.
DFT-229
Severity
Error
Description
Could not define jtag_macro.
What's Next
Only one JTAG Macro can be defined. Define a JTAG Macro using 'define_jtag_macro' on an
instance in the design or on a libcell or module that is only instantiated once in the design.
DFT-231
Severity
Error
Description
Required pin is missing on the JTAG macro.
What's Next
A required pin or definition of a pin for the JTAG macro instance is missing. If the pin is present
make sure it is included in the definition of the JTAG macro. Instruction-specific JTAG Macro pins,
such as the instruction-decode pin and the input pin that the custom register TDO connects to, must
be defined using the 'define_dft jtag_instruction' command. All other JTAG Macro pins must be
defined using the 'define_dft jtag_macro' command.
DFT-232
Severity
Error
Description
Required attribute is missing on the JTAG instruction.
What's Next
A required attribute defining an instruction specific pin for the JTAG macro instance is missing. If the
pin is present make sure it is included in the definition of the JTAG instruction.
DFT-233
Severity
Error
Description
Found existing mbist clock with same name.
What's Next
You cannot redefine an existing mbist clock. To redefine it, either use the '-name' option or first
remove the existing mbist clock from the 'dft/mbist/mbist_clocks' directory. Then redefine the mbist
clock.
DFT-234
Severity
Error
Description
JTAG instruction specified as mode control before JTAG macro is defined.
What's Next
Insert the JTAG Macro in your design using either the add_jtag_boundary_scan command or the
add_jtag_macro command. This will automatically set the tap decode pin for the instruction. If you
have a third party JTAG macro already as part of your design then identify it using the
define_jtag_macro command and respecify the define_jtag_instruction command taking care to
identify the decode pin corresponding to this instruction with the -tap_decode option of the
command.
DFT-237
Severity
Error
Description
Cannot remove test signal.
What's Next
To remove the test signal, you must first remove the actual scan chain. If after deleting the actual
scan chain you then remove the test signal, the scan configuration mode that was defined using the
test signal will also be removed.
DFT-239
Severity
Error
Description
Osc Source already defined.
What's Next
To redefine the osc source, you must first delete any opcg domains and opcg triggers that are using
the osc source and then delete the offending osc source.
DFT-240
Severity
Error
Description
Incorrect reference clock pin for osc source.
What's Next
Redefine the osc source so that the reference clock is either a top level port or the output pin of
another osc source.
DFT-241
Severity
Error
Description
Domain Macro Parameter already defined.
What's Next
To redefine the domain macro parameter, you must first delete any opcg domains that are using the
domain macro parameter and then delete the offending domain macro parameter.
DFT-242
Severity
Error
Description
Opcg Trigger already defined.
What's Next
To redefine the opcg trigger, you must first delete any opcg domains that are using the opcg trigger
and then delete the offending opcg trigger.
DFT-243
Severity
Error
Description
Opcg Domain already defined.
What's Next
To redefine the opcg domain, you must first delete the offending opcg domain and then redefine.
DFT-244
Severity
Error
Description
Opcg Mode already defined.
What's Next
To redefine the opcg mode, you must first delete the existing opcg mode.
DFT-245
Severity
Error
Description
Invalid oscillator source parameters.
What's Next
To specify oscillator source parameters, provide a 3 element list in the following format: <osc source
name> <osc source output operating period> <reference clock period>arameters for a single
oscillator source may only be specified once per opcg mode definition.
DFT-246
Severity
Error
Description
Oscillator source parameter specified for opcg mode is out of range.
What's Next
The reference clock period and the oscillator source operating period specified to the '-
osc_source_parameter' option to the 'define_opcg_mode' command must fall between the range of
acceptable values defined on the 'osc_source' objects in the 'dft/opcg/osc_sources' directory.
DFT-249
Severity
Error
Description
Could not set custom se.
What's Next
The test signal specified for 'dft_custom_se' attribute must refer to an existing shift-enable signal.
DFT-250
Severity
Error
Description
Cannot remove Osc Source.
What's Next
Remove the opcg triggers or opcg domains in which the osc source is being used before attempting
to remove the osc source.
DFT-251
Severity
Error
Description
Cannot remove Opcg Trigger.
What's Next
Remove the Opcg Domains in which the opcg trigger is being used before attempting to remove the
opcg trigger.
DFT-252
Severity
Error
Description
Cannot remove Domain Macro Parameter.
What's Next
Remove the Opcg Domains in which the domain macro parameter is being used before attempting
to remove the domain macro parameter.
DFT-253
Severity
Error
Description
Cannot remove OPCG vdir object.
What's Next
Remove the OPCG logic and the abstract segments defined on the OPCG logic before attempting
to remove the OPCG vdir objects.
DFT-256
Severity
Error
Description
Unsupported max trigger delay value.
What's Next
Specify a max trigger delay value that is at most 254 times the minimum target period.
DFT-259
Severity
Error
Description
Found preserved pin/port/net/subdesign for OPCG insertion.
What's Next
To proceed with OPCG insertion, the 'preserve' attribute for the pin/port/net/subdesign must be set
to 'false'.
DFT-260
Severity
Error
Description
Invalid test signal.
What's Next
The specified test signal cannot be used as OPCG edge mode signal.
DFT-261
Severity
Error
Description
Cannot insert OPCG logic.
What's Next
OPCG insertion is not possible in case the scan style is anything other than muxed scan style or in
case the scan chains are already compressed. In case OPCG insertion failed because the
preserved attribute on an instance or module is set to true then remove that to proceed with OPCG
insertion. In case OPCG insertion failed because OPCG domains are not defined then use the
define_opcg_domain command to define the OPCG domains and then proceed with OPCG
insertion. In case scan segments are defined on the domain, trigger and divider macros, remove
them also to proceed with OPCG insertion.
DFT-262
Severity
Error
Description
Hookup pin for OPCG port not found.
What's Next
If there is an unconfigured pad connected to the OPCG port then configure it with the 'fix_pad_cfg'
command before rerunning the 'add_opcg' command.
DFT-263
Severity
Error
Description
Cannot write out Encounter Test files for OPCG.
What's Next
The '-delay' option to 'write_dft_atpg' may only be specified if OPCG logic has been inserted using
the 'add_opcg' command and connected using the 'connect_opcg_segments' command. An OPCG
mode must also be defined using 'define_opcg_mode'.
DFT-265
Severity
Error
Description
Did not find any user-defined or actual scan chains in the design.
What's Next
To proceed, specify the number of scan chains to be built and analyzed using the '-scanins' option.
DFT-266
Severity
Error
Description
Cannot define the scan chain.
What's Next
All elements of a scan chain (pins, flops, segments) must belong to the same design to which the
scan chain is defined.
DFT-267
Severity
Error
Description
Failed to analyze specified scan chain or scan segment.
What's Next
To proceed, you must set the instance level attribute for the register to 'dft_dont_scan false' and
rerun the check_dft_rules command before defining the scan chain or scan segment with the '-
analyze' option.
DFT-268
Severity
Error
Description
The minimum scanned flop threshold is not met.
What's Next
To proceed, connect the remaining registers into scan chains, or specify a lower threshold using the
switch '-minimum_scanned_flop_percentage'.
DFT-269
Severity
Error
Description
The minimum scannable flop threshold is not met.
What's Next
To proceed, correct any DFT rule violations, replace any non-scan flops to scan flops using the
'replace_scan' command, or specify a lower threshold using the '-
minimum_scanned_flop_percentage' option.
DFT-272
Severity
Error
Description
TDRC data not available.
What's Next
Test Design Rule Check (TDRC) data is not available. Either check_dft_rules has not been run or
some other operation has caused TDRC data to be invalidated, for example, netlist changes,
modification of test clocks or signals, etc.
DFT-273
Severity
Error
Description
Bad compression ratio.
What's Next
To proceed, specify a compression ratio value greater than or equal to '2'.
DFT-274
Severity
Error
Description
Scan chains not found.
What's Next
To proceed, specify the number of scan chains to be built using the '-scanins' option.
DFT-277
Severity
Error
Description
Unmapped module.
What's Next
One or more modules contain generic gates. The command that triggered this message requires the
module(s) to be mapped. Look at commands 'syn_generic', 'syn_map' and 'syn_opt' for information
on how to map a design.
DFT-278
Severity
Error
Description
Incorrect power mode.
What's Next
To proceed, ensure that the string provided for the '-power_mode' option is the same string (case
sensitivity applies) listed for the 'CpfPowerMode' identifier in the TCF file.
DFT-279
Severity
Error
Description
Long list of library files. Some systems may not support a list of library files longer than 1024
characters.
What's Next
To include a long list of library files, put the list into a file using 'include and specify that file as the
library.
DFT-280
Severity
Error
Description
Invalid net for OPCG insertion.
What's Next
Ensure that there is a valid net at the location at which the OPCG domain macro is to be inserted
and it is not undriven. If required redefine the location at which the macro is to be inserted using the
define_opcg_domain command.
DFT-288
Severity
Error
Description
Multiple Test clocks found.
What's Next
Multiple test clocks are not supported in smartscan flow. Use a single test clock instead.
DFT-289
Severity
Error
Description
Logic BIST cannot be inserted.
What's Next
Correct the information mentioned and retry insertion.
DFT-290
Severity
Error
Description
No blocking shift enable defined for test clock.
What's Next
A blocking shift enable should be defined for test clocks if there exists a domain crossing from
another clock domain.
DFT-291
Severity
Error
Description
DFT test bus port exists.
What's Next
Remove the test bus port object defined on the pin in question and then redefine it.
DFT-292
Severity
Error
Description
DFT test bus interface exists.
What's Next
Remove the test bus interface object defined and then redefine it.
DFT-297
Severity
Error
Description
DFT test bus port does not exist.
What's Next
Define the test bus port object in question.
DFT-310
Severity
Error
Description
Compression already present in design.
What's Next
The command 'analyze_scan_compressibility' should not be run on a design if compression has
already been inserted. Correct the issue and re-run.
DFT-320
Severity
Error
Description
Hookup polarity of test signal conflicts with the actual polarity.
What's Next
Resolve the conflict by redefining the polarity of the test signal to match its actual usage.
DFT-326
Severity
Error
Description
Fixing of DFT violations must be run either before generic synthesis or after the design has been
mapped to technology gates.
What's Next
The fixing of DFT violations is not enabled when the design state is 'generic' or 'generic_placed'.
DFT-328
Severity
Error
Description
Option '-controllable_from' can only be specified for test clock on internal pins.
What's Next
The '-controllable_from' option specifies which top level port controls an internal test clock source.
The option should not be specified for a test_clock that is defined on a top level port.
DFT-404
Severity
Error
Description
Invalid segment configuration. This can be caused by many factors including, but not limited to,
incompatible clocks belonging to different clock domains being asked to drive the same scan chain
or a segment failing TDRC rules. Run report_scan_setup and check_dft_rules to check your scan
setup.
What's Next
Identified segment has invalid configuration.
DFT-407
Severity
Error
Description
Could not satisfy scan configuration constraints.
What's Next
Additional scan chains may be required when you have both positive and negative edge triggered
flops in the design, and you intend to put them int the same scan chain. In such a situation, you
must specify 'dft_mix_clock_edges_in_scan_chains true' before building the chains. Also,
additional scan chains may be needed when you have specified a minimum number of scan chains
using 'dft_min_number_of_scan_chains' and/or maximum length of scan chains using the
'dft_max_length_of_scan_chains' attributes, but did not specify the correct number of user chains
using the 'define_dft scan_chain' command required to satisfy either of the global DFT constraints.
Use the 'report_scan_setup' command to view the Global 'DFT' Constraints that have been defined
for the design along with any user scan chain constraints To preview the configuration to be built
given the current DFT setup, use the '-auto_create -preview' options of 'connect_scan_chains'
command to automatically create the required number of scan chains. You can use redirect
<filename> {connect_scan_chain -auto_create -preview} to redirect scan chain connection with
existing scan setting in preview mode (chains are not physically connected in preview mode) to
specified <filename>. Scan Chains which start with 'AutoChain_' string are those chains which are
created by the tool, and scan chains starting with 'dft_prefix' represent user-defined scan
chains.Additional scan chains maybe required when the number of test clock domains reported by
the DFT rule checker exceeds the number of scan chains to be built (either user-defined or implied
from the Global DFT constraints).To specify the set of test clocks which can be put into the same
DFT test clock domain,run the 'set_compatible_test_clocks' command before building the scan
chains.
DFT-409
Severity
Error
Description
Invalid or missing TDRC data on a scan register.
What's Next
Invalid or missing TDRC data could be due to manual editing of netlist, or not running
check_dft_rules after inserting DFT logic, such as user_testpoints. Rerun check_dft_rules to restore
valid TDRC data on all registers.
DFT-411
Severity
Error
Description
Primary pins used as scan-in or scan-out of segment.
What's Next
A segment cannot have a primary pin as its end pins. Hence using scan_chain definition is more
appropriate.
DFT-412
Severity
Error
Description
Failed to connect scan chains because of an invalid segment configuration.
What's Next
Do a get_attr dft_violation on the segment to know all the violations for this segment.The problem
requires that you fix your HDL files.
DFT-413
Severity
Error
Description
Cannot connect the specified segment to a scan chain.
What's Next
If you need to keep the existing chain, remove the segment causing the problem and rerun
'connect_scan_chains' in incremental mode. Refer to 'Removing Scan Segment Constraints' in the
'Controlling Scan Configuration' chapter of the 'Design For Test Guide' for more information. If you
do not need to keep the existing chain, rerun 'connect_scan_chains' without the '-incremental'
option.
DFT-414
Severity
Error
Description
Invalid shift-register segment configuration.
What's Next
By definition, all of the elements of a shift-register segment should be triggered by the same test
clock and same active edge. Different test clocks can only be accepted if you define these clocks as
equivalent before scan chain connection. Different clock edges can never be accepted in a shift-
register segment.
DFT-419
Severity
Error
Description
Could not connect scan chains.
What's Next
To use the '-dont_exceed_min_number_of_scan_chains' option, you must first set the design
attribute 'dft_min_number_of_scan_chains'.
DFT-420
Severity
Error
Description
Could not connect scan chains.
What's Next
To proceed, either revise the design attribute 'dft_min_number_of_scan_chains', or dont specify the
option '-dont_exceed_min_number_of_scan_chains'.
DFT-421
Severity
Error
Description
Failed to analyze connectivity of preserved segment.
What's Next
If the preserved segment has combinational logic in its scan path, ensure that you have defined the
test mode control signals to properly configure the test path for these combinational logic gates.
DFT-422
Severity
Error
Description
Cannot concatenate a chain.
What's Next
A specified chain specified on '-chains' option cannot be concatenated. Remove the chain from the
option value and rerun the command.
DFT-423
Severity
Error
Description
Cannot concatenate a chain.
What's Next
A specified chain specified on '-chains' option cannot be concatenated. Set the attribute
'dft_mix_clock_edges_in_scan_chains' to true or remove the chain from the option value and rerun
the command.
DFT-426
Severity
Error
Description
Connect_scan_segment could not proceed because the command encountered scan chain(s)
within in the instance/module specified without the shift enable defined.
What's Next
To continue, rerun the command after defining scan chains with shift enable defined.
DFT-427
Severity
Error
Description
Could not satisfy scan group(s) configuration constraints.
What's Next
Additional scan chains may be required when you have scan group(s) more than scan chains. Also,
if you have both positive and negative edge triggered flops in the design and
'dft_mix_clock_edges_in_scan_chains false' before building the chains, then all scan group(s) with
a clock edge need minimum one scan chain. In these situations you must specify more chain(s)
with '-chains' option. If total elements present in scan group(s) are less than total chain(s) present in
'-chains' option, then in this situation, you must specify less chain(s) with '-chains' option.
DFT-428
Severity
Error
Description
Could not satisfy scan group(s) configuration constraints.
What's Next
Scan chains associated with scan group(s) is not present with '-chains' option.To continue, rerun
the command with chain(s) associated with scan group(s).
DFT-429
Severity
Error
Description
Could not satisfy scan group(s) configuration constraints.
What's Next
Extra scan chain(s) are present with '-chains' option.In these situation scan group(s) are using
associated chains only.To continue, rerun the command without extra chain(s).
DFT-500
Severity
Error
Description
Invalid scan element.
What's Next
The element cannot be used in scan chain/segment. Possible causes for this are flip-flops not yet
mapped to scan equivalent cells, instances with missing scan pins in the library, or improperly
specified scan segments.
DFT-533
Severity
Error
Description
Cannot satisfy clock skew requirements during scan shift.
What's Next
To satisfy clock skew requirements during scan shift do either of these: -set the design level
attribute, 'dft_lockup_element_type' to 'preferred_edge_sensitive' or 'preferred_level_sensitive' -not
allow mixing of clock edges in the same scan chain -remove segments that might have flops with
mixed clock edges.
DFT-551
Severity
Error
Description
Could not connect scan chains.
What's Next
Rerun the DFT rule checker to update the DFT status of the registers that belong to the instance for
which the abstract segment was defined. If a DFT violation is detected for the segment, fix the
violation using the 'fix_dft_violations' command and rerun the 'connect_scan_chains' command.An
alternative to automatically exclude all failed abstract segments from the scan chains, set the
attribute 'dft_exclude_tdrc_fail_seg' then rerun the DFT rule checker.
DFT-560
Severity
Error
Description
Could not connect scan chains.
What's Next
Rerun the 'connect_scan_chains' command with the -mode_high_pins or -mode_low_pins options
to connect multimode abstract segments that share SDI or SDO pins. Alternately specify only non
multimode abstract segments with the 'connect_scan_chains' command.
DFT-562
Severity
Error
Description
Could not disconnect net.
What's Next
Rerun the 'connect_scan_chains' command after unpreserving the net marked as preserved by
user.
DFT-563
Severity
Error
Description
Wrong no. of values for option.
What's Next
The no. of values passed to '-location' should be the same as passed to '-flops'.
DFT-566
Severity
Error
Description
No scan chains to update.
What's Next
Use connect_scan_chains to create scan chains, or if actual scan chains are present, make sure
they are not compressed.
DFT-580
Severity
Error
Description
Cannot process scan element for scan groups.
What's Next
The above mentioned scan element cannot be processed for scan groups. Correct the issue
mentioned above and re-run.
DFT-600
Severity
Error
Description
The IEEE 1500 wrapper insertion could not proceed because the command encountered pin or port
locations which could not be wrapped.
What's Next
To continue, rerun the command with the '-skipped_locations_variable' option.
DFT-601
Severity
Error
Description
Failed to read CTL scan abstract model.
What's Next
Correct the syntax error and read the CTL file back in with the 'read_dft_abstract_model -ctl'
command.
DFT-603
Severity
Error
Description
Scan chain information missing in CTL file.
What's Next
Add the missing information in the 'ScanChain' block for the chain and read the CTL file back in
with the 'read_dft_abstract_model -ctl' command.
DFT-604
Severity
Error
Description
CTL file has multiple 'ScanStructures' blocks with same name.
What's Next
Change your CTL file to ensure that each 'ScanStructures' block has a unique name, then read the
CTL file back in with the 'read_dft_abstract_model -ctl' command.
DFT-605
Severity
Error
Description
CTL file has multiple 'ScanChain' blocks with same name.
What's Next
Change your CTL file to ensure that each 'ScanChain' block within a 'ScanStructures' block has a
unique name, then read the CTL file back in with the 'read_dft_abstract_model -ctl' command.
DFT-607
Severity
Error
Description
Could not find a libcell or subdesign of the required name.
What's Next
Change your CTL input so that the name of the Environment block corresponds to a subdesign in
the design or a libcell in the library.
DFT-608
Severity
Error
Description
Complex or Gated ScanEnable signal found.
What's Next
Change your CTL input so that the ScanEnable signal is simple (like 'a' or '~a'). You can also
remove the ScanEnable statement from the ScanChain block to generate an abstract model with
the -connected_shift_enable option.
DFT-609
Severity
Error
Description
Found Environment blocks with the same name.
What's Next
Change your CTL input so that there are no two Environment blocks with the same name.
DFT-610
Severity
Error
Description
CTL file has multiple 'CTLMode' blocks with same name.
What's Next
Change your CTL file to ensure that each 'CTLMode' block has a unique name, then read the CTL
file back in with the 'read_dft_abstract_model -ctl' command.
DFT-612
Severity
Error
Description
Could not determine the clock edge for the chain.
What's Next
This could be because the clock edge for the chain is derived from the entry for the SDI port in the
CTLMode block that is attributed with a 'TestMode InternalTest' statement. Modify your CTL input
file so that ports are defined in a CTLMode block attributed with the above statement.
DFT-660
Severity
Error
Description
Unable to insert compression logic.
What's Next
Correct the root cause before re-running.
DFT-661
Severity
Error
Description
Unable to generate DFT macro.
What's Next
Correct the root cause before re-running.
DFT-662
Severity
Error
Description
Unable to complete RTL OPCG.
What's Next
Correct the root cause before re-running.
DFT-664
Severity
Error
Description
Must specify exactly one of the following: -name, -names, -non_empty_names or -instance.
What's Next
The -names, -non_empty_names and -of_object options must be specified alone. When using the -
name option, then you must specify exactly one of the following options: -instances, -
instances_by_test_clocks, -control_test_signals, -scan_data_test_signals, -test_clocks, -
scan_segments, -actual_scan_segments, -scan_chains, -actual_scan_chains, -
all_sequential_elements, -exists.
DFT-665
Severity
Error
Description
The -names, -non_empty_names and -of_object options must be specified alone.
What's Next
When using the -names, -non_empty_names or -of_object option, then you cant specify any of the
following options: -instances, -instances_by_test_clocks, -control_test_signals, -
scan_data_test_signals, -test_clocks, -scan_segments, -actual_scan_segments, -scan_chains, -
actual_scan_chains, -all_sequential_elements, -exists.
DFT-666
Severity
Error
Description
Missing option or too many options specified when specifying the -name option.
What's Next
When using the -name option, then you must specify exactly one of the following options: -
instances, -instances_by_test_clocks, -control_test_signals, -scan_data_test_signals, -test_clocks,
-scan_segments, -actual_scan_segments, -scan_chains, -actual_scan_chains, -
all_sequential_elements, -exists.
DFT-667
Severity
Error
Description
The -control_test_signals, -scan_data_test_signals or -test_clocks option is required.
What's Next
The -function option is only valid when specifying -control_test_signals, -scan_data_test_signals or
-test_clocks.
DFT-668
Severity
Error
Description
Invalid use of the -index or -relative_index option.
What's Next
The -index and -relative_index options are only valid when specifying -control_test_signals or -
scan_data_test_signals.
DFT-669
Severity
Error
Description
Invalid use of the -index and -relative_index option.
What's Next
The -index and -relative_index options cant be used at the same time.
DFT-670
Severity
Error
Description
DFT partition information does not exist. To list all the defined DFT partitions, use get_dft_partition -
names.
What's Next
Use the define_dft_partition command to define information relative to the DFT partition.
DFT-671
Severity
Error
Description
A reserved DFT partition name.
What's Next
Use the define_dft_partition command with a different DFT partition name.
DFT-672
Severity
Error
Description
The specified DFT partition name is used.
What's Next
Use the get_dft_partition command with -names to list all the DFT partitions in the design.
DFT-673
Severity
Error
Description
Invalid instance name.
What's Next
Use the define_dft_partition command to define a DFT partition with an existing instance in the
design.
DFT-674
Severity
Error
Description
The specified instance cannot be added.
What's Next
An instance can only be a member of one DFT partition.
DFT-686
Severity
Error
Description
Invalid DFT partition specified.
What's Next
You must specify a valid design instance or DFT partition that was created with the
define_dft_partition command.
DFT-687
Severity
Error
Description
An Empty instances option.
What's Next
Use the define_dft_partition command with -instances option that has a valid instance name.
DFT-688
Severity
Error
Description
The specified instances_by_test_clocks cannot be added.
What's Next
Specify unique instances_by_test_clocks for each defined DFT partition.
DFT-689
Severity
Error
Description
Invalid combination of options has been specified.
What's Next
Use one of the options, -instances, -instances_by_test_clocks, along with -name option to define or
update the corresponding information of a DFT partition.
DFT-690
Severity
Error
Description
The specified DFT partition has no instances.
What's Next
Use the define_dft_partition command to define information relative to the DFT partition.
DFT-691
Severity
Error
Description
The specified DFT partition not found as a valid DFT partition or hierarchical instance or design.
What's Next
Specify a valid DFT partition, instance or design. Use the get_dft_partition -names command to get
the list of valid DFT partitions.
DFT-692
Severity
Error
Description
Must specify exactly one of the following: -name, -names or -instance.
What's Next
The -names and -of_object options must be specified alone. When using the -name option, then
you must specify exactly one of the following options: -instances, -instances_by_test_clocks, -
control_test_signals, -scan_data_test_signals, -test_clocks, -scan_segments, -
actual_scan_segments, -scan_chains, -actual_scan_chains, -all_sequential_elements, -exists.
DFT-693
Severity
Error
Description
Invalid default_shift_enable.
What's Next
Specify a control test signal of type shift_enable for the -default_shift_enable option.
DFT-695
Severity
Error
Description
The specified DFT partition does not have a valid default_shift_enable signal.
What's Next
Use the set_dft_partition command to define information relative to the DFT partition.
DFT-696
Severity
Error
Description
Invalid combination of options has been specified.
What's Next
Use one of the options, -remove_instances, -remove_instances_by_test_clocks, along with -name
to unset corresponding information of the specified DFT partition.
DFT-697
Severity
Error
Description
Unable to update the -instances option for the DFT partition.
What's Next
To add instances, use the set_dft_partition command with the -remove_instances_by_test_clocks
option.
DFT-698
Severity
Error
Description
Unable to update the -instances_by_test_clocks option for the DFT partition.
What's Next
To add instances_by_test_clocks, use the set_dft_partition command with the -remove_instances
option.
DFT-699
Severity
Error
Description
Invalid combination of options has been specified.
What's Next
To remove the instances, -instances_by_test_clocks option must be specified. To remove the
instances_by_test_clocks, -instances option must be specified.
DFT-701
Severity
Error
Description
Invalid use of the -index or -relative_index option.
What's Next
The -index and -relative_index options are only valid when specifying -function.
DFT-702
Severity
Error
Description
Specified chain is not an analyzed scan chain.
What's Next
Data lockup elements can only be inserted in analyzed scan chains. Analyzed chains are chains
that were defined in the current session using the 'define_scan_chain -analyze' command.
DFT-703
Severity
Error
Description
The object does not pass DFT rules.
What's Next
When using the -of_object option to retrieve the DFT partition, the instance must be sequential and
pass DFT rules.
DFT-705
Severity
Error
Description
The specified scan data test signals cannot be added.
What's Next
To allow the defined DFT partitions to share scan data test signals, set the attribute
dft_partition_allow_shared_data_test_signals to true.
DFT-706
Severity
Error
Description
Invalid function value specified.
What's Next
The function values of compress_sdi, compress_sdo, serial_sdi, serial_sdo, parallel_sdi and
parallel_sdo are only valid when getting scan data test signals.
DFT-707
Severity
Error
Description
Invalid control test signals have been specified.
What's Next
The control test signals list cannot contain compress_sdi, compress_sdo, serial_sdi, serial_sdo,
parallel_sdi, and parallel_sdo.
DFT-708
Severity
Error
Description
Invalid scan data test signals have been specified.
What's Next
The scan data test signals list can only contain compress_sdi, compress_sdo, serial_sdi,
serial_sdo, parallel_sdi, and parallel_sdo.
DFT-713
Severity
Error
Description
Cannot insert lockup elements in analyzed scan chain.
What's Next
If the elements in the analyzed chain belong to different test clock domains, you must declare them
compatible using the 'set_compatible_test_clocks' command before inserting lockup elements. If
the elements are clocked by opposite edges of the same test clock or different test_clocks which
have been defined compatible, and mixing of clock edges is allowed, set the design attribute
'dft_mix_clock_edges_in_scan_chains' to 'true'. If some elements failed the DFT rule checker, use
the 'report_dft_violations' command to list the violations, fix the violations, then rerun the
'check_dft_rules' command. If an element is marked dft_dont_scan, set the 'dft_dont_scan' attribute
to 'false' on the reported element. In all cases, rerun the 'add_lockup_element' command as the last
step.
DFT-721
Severity
Error
Description
Scan path analysis failed.
What's Next
If there is combinational logic in the scan path, ensure that you have defined the test mode control
signals to properly configure the test path for these combinational logic gates.
DFT-726
Severity
Error
Description
What's Next
The -include_low_level_scan_elements option is only valid when specifying -all_scan_elements.
DFT-800
Severity
Error
Description
Cannot connect scan chains in physical mode without placement information.
What's Next
Read in the DEF file using the read_def command to proceed with placement information based
scan stitching.
DFT-801
Severity
Error
Description
The -min_wire_length option is not allowed without the -physical option.
What's Next
Specify the -physical option and the -min_wire_length option for physical information based scan
stitching.
DFT-803
Severity
Error
Description
Incorrect value of -min_wire_length specified.
What's Next
Specify the -min_wire_length option with a value that is greater than 0.0 and less than the
height/width of the core.
DFT-901
Severity
Error
Description
JTAG Port already defined.
What's Next
Delete the JTAG port and then respecify using the define_dft jtag_port command.
DFT-902
Severity
Error
Description
Cannot create port for non TAP Controller JTAG Port.
What's Next
Create the port using the edit_netlist command and then redefine the jtag port using the define_dft
jtag_port command without the -create option.
DFT-903
Severity
Error
Description
Cannot define jtag instruction.
What's Next
Define the jtag instruction after defining the jtag instruction register using the
define_jtag_instruction_register command.
DFT-904
Severity
Error
Description
Cannot define additional jtag instructions.
What's Next
Delete all the existing jtag instructions and jtag instruction register and then redefine the jtag
instruction register with an increased length.
DFT-905
Severity
Error
Description
Cannot redefine jtag instruction.
What's Next
Delete the existing jtag instruction with the same name and then redefine.
DFT-906
Severity
Error
Description
Cannot redefine jtag instruction.
What's Next
Delete the existing jtag instruction with the same opcode and then redefine.
DFT-907
Severity
Error
Description
Invalid opcode.
What's Next
Specify an opcode value so that the number of bits in its binary representation is equal to the length
of the instruction register.
DFT-908
Severity
Error
Description
Cannot redefine jtag instruction register.
What's Next
Delete the existing jtag instruction register and jtag instructions and then redefine using the new
instruction register.
DFT-910
Severity
Error
Description
Invalid jtag instruction register definition.
What's Next
Redefine the jtag instruction register using the define_jtag_instruction_register command.
DFT-911
Severity
Error
Description
Invalid capture value.
What's Next
Specify a capture value so that the number of bits in its binary representation is less than or equal to
the length of the instruction register.
DFT-912
Severity
Error
Description
Invalid opcode.
What's Next
Valid opcodes are a string of 0's and 1's optionally preceded by a number of x's.
DFT-913
Severity
Error
Description
Invalid capture value.
What's Next
Redefine the jtag instruction register so that the binary representation of its capture value has at
least two bits.
DFT-914
Severity
Error
Description
Invalid capture value.
What's Next
Redefine the jtag instruction register so that the last two bits in the binary representation of its
capture value is always '01'.
DFT-915
Severity
Error
Description
Invalid custom register length.
What's Next
Redefine the jtag instruction so that the length of the custom register is at least one.
DFT-916
Severity
Error
Description
Invalid instruction register length.
What's Next
Set the instruction register length to be greater than or equal to the number of bits required to
representation the capture value of the register.
DFT-917
Severity
Error
Description
Invalid opcode.
What's Next
Redefine the jtag instruction so that its opcode is not the all 1's pattern. Only the BYPASS
instruction is allowed to have the all 1's pattern as its opcode.
DFT-918
Severity
Error
Description
Name of the custom data register of jtag instruction is same as jtag instruction register name.
What's Next
Redefine the jtag instruction so that the name of its custom data register is not the same as the
name of the jtag instruction register.
DFT-919
Severity
Error
Description
Boundary-scan segment already defined.
What's Next
Delete the boundary-scan segment and then respecify using the
define_jtag_boundary_scan_segment command.
DFT-920
Severity
Error
Description
Boundary scan insertion failed.
What's Next
The DEF file must include placement information for the pad connected to the TDI port. The DEF
file must also contain the physical locations for the pad cell instances for the listed ports. If these
ports are not to be included in the boundary register chain, rerun boundary scan insertion and list
these ports to be excluded using the '-exclude_ports' option. Otherwise, read in a DEF file which
contains the physical location of all the ports and their pad cell instances, and then rerun the
'insert_dft boundary_scan -physical' command.
DFT-921
Severity
Error
Description
JTAG Macro already defined.
What's Next
Delete the JTAG Macro and then respecify using the define_jtag_macro command.
DFT-922
Severity
Error
Description
Instruction must access boundary register.
What's Next
The 'extest_pulse' and 'extest_train' instructions may only access the 'boundary' register. Redefine
the instruction by specifying the 'boundary' register.
DFT-923
Severity
Error
Description
Boundary Scan Logic already inserted.
What's Next
The IOSpecList input file is used to customize the architecture of the boundary-scan register to be
built.For its information to be used, the file must be read prior to inserting the boundary-scan logic.If
the boundary-scan logic was inserted prior to reading this file, you must exit the tool and rerun the
commands in the proper order of execution.
DFT-924
Severity
Error
Description
The boundary-scan logic has already been inserted in the design.
What's Next
Boundary-scan insertion will not be run to avoid inserting redundant boundary-scan cells into the
system path of the functional I/O cells.If the boundary-scan logic does not meet your expectations,
you must exit the tool and re-insert the logic.
DFT-926
Severity
Error
Description
Boundary scan insertion failed.
What's Next
The 1149.1 standard requires a compliance enable port to be a dedicated input port which is not
used for any other purpose.If the pad connected to a compliance enable port is not configured as an
input pad, then ensure that the output enable and the input enable pins of the pad are controlled
using test mode signals such that the pad is configured in input mode.
DFT-927
Severity
Error
Description
Boundary-scan segment already defined.
What's Next
To define multiple boundary-scan segments for the same instance, the tdi/tdo pin pairs must be
unique pin names for each segment.To proceed, verify the tdi/tdo pin names passed to the
'define_jtag_boundary_scan_segment' command.
DFT-928
Severity
Error
Description
Could not define the specified boundary-scan segment.
What's Next
To define a boundary-scan segment with differential pairs, the positive leg of every differential cell
must be specified in the bsdl file.
DFT-931
Severity
Error
Description
Could not define jtag_macro.
What's Next
All pins on the jtag_macro should be unique. Define a JTAG Macro using 'define_jtag_macro'
containing all unique pins.
DFT-952
Severity
Error
Description
Failed to insert launch-off-shift pipeline stages.
What's Next
Ensure that the proper scan chains exist and all the flops and abstract segments are not marked for
exclusion. Also ensure that the pipeline stages are not already inserted for all the clock domains.
Correct the issue and re-run.
DFT-954
Severity
Error
Description
Invalid option value specified.
What's Next
Correct the above specified value and re-run.
DFT-955
Severity
Error
Description
Unable to find default shift enable signal.
What's Next
Ensure that the default shift enable exists for launch-off-shift pipeline insertion. Correct the issue
and re-run.
DFT-1000
Severity
Error
Description
An unexpected condition occurred in the program.
What's Next
Re-run the command with '-debug' option. Report this error to customer support and provide the
logfile generated with '-debug' option.
DFT-1001
Severity
Error
Description
Failed to analyze specified scan chain or scan segment.
What's Next
Specify the proper test control signals using either 'define_dft shift_enable or 'define_dft test
mode'(in legacy mode) or 'define_shift_enable or 'define_test mode'(in CUI mode) command before
defining the scan chains or scan segments with the -analyze option. Alternately if scan flops are
already part of a scan chain or scan segment remove the offending scan chain or segment and
reanalyze.
DFT-1201
Severity
Error
Description
Cannot get the location of segment.
What's Next
The segment location is estimated based on its type and elements.
DFT-1300
Severity
Error
Description
Unable to complete TIM insertion and connections.
What's Next
Correct the error information and re-run the command.
DFT-1301
Severity
Error
Description
Unable to generate TIM.
What's Next
Correct the error information and re-run the command.
DFT-1302
Severity
Error
Description
Unable to process OCC segments.
What's Next
Correct the error information and re-run the command.
DFT-182
DFT-185
DFT-186
DFT-187
DFT-191
DFT-220
DFT-275
DFT-276
DFT-296
DFT-298
DFT-299
DFT-300
DFT-303
DFT-306
DFT-327
DFT-425
DFT-501
DFT-502
DFT-550
DFT-564
DFT-569
DFT-570
DFT-571
DFT-572
DFT-573
DFT-574
DFT-575
DFT-577
DFT-582
DFT-650
DFT-653
DFT-655
DFT-656
DFT-657
DFT-675
DFT-676
DFT-679
DFT-680
DFT-681
DFT-682
DFT-683
DFT-684
DFT-685
DFT-694
DFT-700
DFT-709
DFT-710
DFT-715
DFT-797
DFT-17
Severity
Info
Description
Synthesis ran successfully for module.
DFT-19
Severity
Info
Description
Synthesis started for module.
DFT-20
Severity
Info
Description
Embedded test macro targeted to run at specified period.
DFT-100
Severity
Info
Description
DFT-101
Severity
Info
Description
Moved/renamed DFT object.
DFT-102
Severity
Info
Description
Removed DFT object.
DFT-103
Severity
Info
Description
Changed attribute value.
What's Next
DFT changed a user-definable attribute.
DFT-118
Severity
Info
Description
Would remove DFT object.
DFT-130
Severity
Info
Description
Created DFT port.
What's Next
A port for DFT purposes was created.
DFT-140
Severity
Info
Description
Identified pad hookup pin.
What's Next
A primary input/output port was specified as the driver/load of a DFT object; the tool determined the
port to be connected to a pad and reported the hookup pin on the pad's core side.
DFT-151
Severity
Info
Description
Added scan chain.
DFT-163
Severity
Info
Description
Marking instance as dft_abstract_dont_scan as an abstract segment is being defined on it.
What's Next
Abstract segments are considered to have a complete dft configuration and scan synthesis that
must not be changed during subsequent scan insertion steps. Scan and test signal connections
may be made on the pins defined on the design hierarchy of the respective abstract segment, to
include the segment in higher hierarchy scan chains.
DFT-164
Severity
Info
Description
Unmarking instance as dft_abstract_dont_scan as all abstract segments defined on it have been
deleted.
What's Next
This is done to allow flops (if present) within the instance to be scan synthesized.
DFT-182
Severity
Info
Description
No internal registers with fixed value outputs were found.
What's Next
Ensure that the STIL file used by the 'identify_test_mode_registers' command has been updated
with the required test-mode initialization sequence.
DFT-185
Severity
Info
Description
Did not find any shift-register segment in the design.
What's Next
Shift registers are searched along the functional path. A shift register is considered a valid scan
segment if the set, reset, and preset pins of all its flops are held at their inactive value and the
enable pin is held to its active value. Ensure that test mode signals are specified for the
synchronous pins of the flops in the shift register. Additionally, ensure that the '-min_length' and '-
max_length' options have proper values, and that the design is not already scan-connected.
DFT-186
Severity
Info
Description
Identified a shift-register scan segment.
DFT-187
Severity
Info
Description
Would identify a shift-register scan segment.
DFT-191
Severity
Info
Description
DFT SDC generation.
DFT-220
Severity
Info
Description
Cannot unmap instance.
DFT-275
Severity
Info
Description
Propagating DFT constants.
What's Next
Propagating DFT constants to re-determine hookup pin.
DFT-276
Severity
Info
Description
Test clock defined during DFT constant propagation.
What's Next
A test clock is auto-defined when the signal controls the enable port of a latch that is fed by a
constant. To prevent auto-identification, define this port as a test signal before running this
command OR set the design level attribute 'dft_identify_top_level_test_clocks' to false. The later
will prevent any test_clock identification and so may not be the best choice.
DFT-296
Severity
Info
Description
The testpoint pin is not connected.
What's Next
Use 'connect' option to connect the pin or use 'unconnect' option to suppress the warning message.
DFT-298
Severity
Info
Description
Hookup pin of MBIST clock was not updated.
What's Next
Multiple OPCG Domains were found for an MBIST clock. Redefine the MBIST clock by specifying
the appropriate OPCGCLK pin of the OPCG Domain as the hookup pin of the MBIST clock.
DFT-299
Severity
Info
Description
Hookup pin of MBIST clock was updated to the OPCG Domain's OPCGCLK pin.
What's Next
Updated the hookup pin of the MBIST clock to be the OPCGCLK pin of the OPCG Domain.
DFT-300
Severity
Info
Description
Port is not connected to a pad.
What's Next
To configure a pad, the port must already be connected to a pad in the design.
DFT-303
Severity
Info
Description
Auto detection of Async control signal. By default, all Async set and reset control signals of flops are
identified automatically and an automatically generated test signal is added to the DFT setup, if no
test signal is defined for them, yet.
What's Next
If you do not want Async set and reset signals to be defined as test signals automatically, set the
attribute dft_identify_test_signals to false.
DFT-306
Severity
Info
Description
Rerun check_dft_rules.
What's Next
An operation has occurred that may cause previous Test Design Rule Check (TDRC) data to be
invalidated. Examples of such operations are netlist changes, modification of test clocks or signals,
etc. Rerun check_dft_rules.
DFT-327
Severity
Info
Description
Attribute dft_auto_identify_shift_register and use_multibit_cells are found true.
What's Next
Attribute dft_shift_register_with_mbci will be default true if dft_auto_identify_shift_register and
use_multibit_cells are found true.
DFT-425
Severity
Info
Description
Overriding dft_max_length_of_scan_chains to connect all scan elements in defined scan chains
without creating additional scan chains.
What's Next
Define sufficient chains to accommodate all scan elements or dont use -
dont_create_chains_and_accommodate option.
DFT-501
Severity
Info
Description
Terminal lockup not needed.
What's Next
The terminal lockup element is not needed because the last element in the chain already is a
lockup element, probably because the tail segment in the chain is a skew-safe segment.
DFT-502
Severity
Info
Description
Terminal lockup not needed for the current scan style.
What's Next
Terminal lockup elements serve no purpose for the current scan style.
DFT-550
Severity
Info
Description
Scan mapping summary.
What's Next
A short summary of what happened during scan mapping.
DFT-564
Severity
Info
Description
Updated the scan chain.
DFT-569
Severity
Info
Description
DFT-570
Severity
Info
Description
Fanin/Fanout information for the port.
DFT-571
Severity
Info
Description
Instances present between the port and the load/driving flop.
DFT-572
Severity
Info
Description
Excluded segment element from launch-off-shift pipeline insertion.
What's Next
The above mentioned scan chain element is excluded from launch-off-shift pipeline insertion.
DFT-573
Severity
Info
Description
Pipeline stages inserted successfully.
DFT-574
Severity
Info
Description
Launch-off-shift pipeline stages insertion summary table.
DFT-575
Severity
Info
Description
What's Next
The above specified value will be ignored. In case this is not intended, correct the values and re-
run.
DFT-577
Severity
Info
Description
The option value does not match any scan element.
What's Next
The above specified value will be ignored. In case this is not intended, correct the values and re-
run.
DFT-582
Severity
Info
Description
Building scan groups.
DFT-650
Severity
Info
Description
Identified test clock for dedicated wrapper cell.
What's Next
A test clock has been identified from the fanin/fanout analysis of the port.
DFT-653
Severity
Info
Description
Excluding port from wrapper cell insertion.
What's Next
Excluding this port from wrapper cell insertion, since user has specified to exclude it or it is a
combinational feedthrough path and user has specified option '-exclude_comb_feedthrough_paths'.
DFT-655
Severity
Info
Description
Cannot insert shared wrapper cell for port/pin.
What's Next
When a logic constant is feeding the cone of logic in the fanout of an input port, the logic constant is
propagated when the wrappers are inserted with the '-respect_dft_constants' option. The
propagation of the logic constant may prevent the load flop from being shared. As a result, a
dedicated wrapper cell will be inserted for the port.
DFT-656
Severity
Info
Description
Scheduling port for dedicated wrapper insertion.
DFT-657
Severity
Info
Description
Cannot insert dedicated wrapper cell for port because the sink hierarchy is at top level.
DFT-675
Severity
Info
Description
An async set/reset pin is skipped.
What's Next
An async set/reset pin is only controlled by a testpoint if it is fed by internal logic. It is skipped if it
driven by top level port or a constant.
DFT-676
Severity
Info
Description
Non scan flops are not processed.
DFT-679
Severity
Info
Description
Processing DFT partition.
DFT-680
Severity
Info
Description
Command add_scan_segment_bypass started.
DFT-681
Severity
Info
Description
Processing strings to match (basename only) any modules, instances, segments, libcells, and base
cells in the design.
DFT-682
Severity
Info
Description
Scan segments specified by the -segments command line option that are within the DFT partition.
DFT-683
Severity
Info
Description
Instances to bypass according to the other command line options that are within the DFT partition.
DFT-684
Severity
Info
Description
Adding scan bypass around scan segment.
DFT-685
Severity
Info
Description
Command complete.
DFT-694
Severity
Info
Description
A shift enable signal from the control_test_signals list has been returned as a default_shift_enable.
DFT-700
Severity
Info
Description
The defined default_shift_enable signal has been added to the control_test_signals list of the
specified DFT partition.
DFT-709
Severity
Info
Description
The -control_test_signals option has not been specified, therefore, the control test signals list of the
specified DFT partition will include all the default control test signals.
DFT-710
Severity
Info
Description
Scan chain has elements which already have their SE connected. No elements in the scan chain
needs SE connection.
DFT-715
Severity
Info
Description
Lockup element insertion is unnecessary for analyzed chain.
What's Next
Insertion of lockup elements is unnecessary when (1)The the analyzed scan chain has no test clock
domain transitions (2)It already has lockup elements inserted where the test clock domain
transitions occur or (3)The scan style is not 'muxed_scan'.
DFT-797
Severity
Info
Description
Added DFT bypass object.
DFT-136
DFT-137
DFT-153
DFT-158
DFT-160
DFT-161
DFT-162
DFT-166
DFT-168
DFT-170
DFT-171
DFT-176
DFT-177
DFT-179
DFT-180
DFT-189
DFT-190
DFT-192
DFT-193
DFT-196
DFT-199
DFT-204
DFT-211
DFT-212
DFT-224
DFT-226
DFT-230
DFT-235
DFT-236
DFT-238
DFT-247
DFT-248
DFT-254
DFT-255
DFT-257
DFT-258
DFT-264
DFT-270
DFT-271
DFT-281
DFT-282
DFT-283
DFT-284
DFT-285
DFT-286
DFT-287
DFT-293
DFT-294
DFT-295
DFT-301
DFT-302
DFT-304
DFT-305
DFT-307
DFT-308
DFT-309
DFT-311
DFT-312
DFT-313
DFT-314
DFT-315
DFT-316
DFT-317
DFT-318
DFT-319
DFT-321
DFT-322
DFT-323
DFT-324
DFT-325
DFT-400
DFT-401
DFT-403
DFT-405
DFT-406
DFT-408
DFT-410
DFT-415
DFT-416
DFT-417
DFT-418
DFT-424
DFT-510
DFT-511
DFT-512
DFT-513
DFT-514
DFT-515
DFT-516
DFT-517
DFT-521
DFT-522
DFT-552
DFT-553
DFT-554
DFT-555
DFT-556
DFT-557
DFT-558
DFT-559
DFT-561
DFT-565
DFT-567
DFT-568
DFT-576
DFT-578
DFT-579
DFT-581
DFT-585
DFT-586
DFT-587
DFT-602
DFT-606
DFT-611
DFT-613
DFT-651
DFT-652
DFT-654
DFT-663
DFT-677
DFT-678
DFT-704
DFT-711
DFT-712
DFT-714
DFT-716
DFT-717
DFT-718
DFT-719
DFT-720
DFT-722
DFT-723
DFT-724
DFT-725
DFT-727
DFT-796
DFT-798
DFT-799
DFT-802
DFT-804
DFT-909
DFT-925
DFT-929
DFT-930
DFT-932
DFT-950
DFT-951
DFT-953
DFT-1200
DFT-104
Severity
Warning
Description
Ignored test signal definition.
What's Next
A test signal definition can be safely ignored if another signal with same name, port and active
value already exists.
DFT-108
Severity
Warning
Description
Removed scan segment.
DFT-109
Severity
Warning
Description
Removed scan segment/chain element.
What's Next
An element was removed from a scan segment or scan chain during optimization. To prevent that
an element is removed during optimization, set the 'preserve' attribute to 'true' on this element.
DFT-110
Severity
Warning
Description
No source available.
What's Next
A DFT clock has no source (driving pin), and hence, it will not be used. The source pin may have
been renamed, or removed during a previous synthesis operation.
DFT-111
Severity
Warning
Description
Modified the clock path.
What's Next
A testpoint inserted in the clock path can adversely affect the timing of the design.
DFT-113
Severity
Warning
Description
What's Next
Some types of Test Design Rule Check (TDRC) violations cannot be fixed. Undriven clock pins and
undriven asynchronous set or reset pins are considered design problems. They cannot be fixed
automatically. Currently, clock violations reported for the clocked LSSD scan style cannot be fixed.
DFT-115
Severity
Warning
Description
Uniquifying design.
What's Next
A DFT operation requires the design to be uniquified.
DFT-117
Severity
Warning
Description
Detected an already used scan data input.
What's Next
The specified scan data input (SDI) is already used as input for another scan chain. Although
acceptable, this practice is not common and might indicate a specification mistake.
DFT-122
Severity
Warning
Description
Removed empty scan segment.
What's Next
A floating, fixed, or preserved segment is empty if it has no (zero) elements. An abstract segment is
empty if either of its two elements (SDI or SDO) is deleted. A shift-register segment is empty if it has
less than two flops.
DFT-126
Severity
Warning
Description
Invalid string.
What's Next
The string given is not a valid choice. Check the command/attribute help for set of valid values.
DFT-127
Severity
Warning
Description
Ignored option.
What's Next
A given option can be safely ignored.
DFT-129
Severity
Warning
Description
Changed default shift enable signal.
What's Next
The default shift enable (SE) signal has changed. The default SE is used to connect scan chains for
which no chain-specific shift-enable signal has been defined (see the define_dft scan chain
command).
DFT-136
Severity
Warning
Description
Redefined a tool-defined test mode signal.
What's Next
If a test-mode signal was defined by the tool during DFT rule checking, you can redefine this signal
as a shift enable signal.
DFT-137
Severity
Warning
Description
Irrelevant test signal for current scan style.
What's Next
Nevertheless creating the test signal.
DFT-153
Severity
Warning
Description
Option -lockup_element does not apply to the current scan style.
What's Next
The current scan style does not use terminal lockup elements. The information is stored with the
defined scan_chain object, but is ignored during scan connection if the scan style remains the
same. If at the time of scan connection the scan style allows terminal lockup elements, the option
will be honored.
DFT-158
Severity
Warning
Description
Driver for a test pin is an internal node.
What's Next
Test signals defined on internal nodes are not included in scan abstract model. Full chip ATPG will
require these internal test signals to be controlled to their test mode logic values during scan mode.
This is accomplished by adding required initialization sequences to ATPG interface files to access
internal pins.
DFT-160
Severity
Warning
Description
Generating incomplete ATPG file due to definition of internal test-signal.
What's Next
Test related signals are expected to be controllable from the top module ports to write out a valid
ATPG file Test related objects are test_clocks, test_signals, scan-data input and output signals.
You need to update the ATPG file with initialization sequence to access the internal test pins.
DFT-161
Severity
Warning
Description
Since no core-side hookup pin was identified on the core side of the pad, the test mode signal may
not be used for any connection.
What's Next
For bidirectional pad cells, the core side pin of the pad instance is used to make the connection to
the core-level logic. When the core-side pin of the pad instance cannot be determined - such as in
case of complex pad cells - the signal cannot be used to connect to DFT logic. To resolve this
issue, manually inspect the PAD instance to determine if it has both input-enable (IE) and output-
enable (OE) control pins. If the IE pin is floating, you must edit the netlist and tie off the pin to the
appropriate logic value as to enable the pad to to-core path by reviewing the to-core pin function in
the libcell description. If the OE pin is not controlled in test_mode, you can respecify the test_mode
constraint with the '-configure_pad' option. Otherwise, identify test mode using the '-hookup_pin'
option to the appropriate core side of pad pin, or use a different pin as test mode pin.
DFT-162
Severity
Warning
Description
A test point without -cfo option is assumed to be an observation-only test point.
What's Next
Without a CFO pin, a test-point cannot control a net and hence must be an observation test point.
Make sure that a correct test point is being specified.
DFT-166
Severity
Warning
Description
What's Next
Determine if the internal test clock can be traced back to a primary input pin. If so, the test clock
waveform for the internal clock is derived from the root clock that drives the internal test clock. If the
internal clock cannot be traced back to a primary input, and is controllable in test mode, specify the
'-controllable' option with the 'define_test_clock' command. In the latter case, you can define the test
clock waveform.
DFT-168
Severity
Warning
Description
Failed to analyze specified scan chain.
What's Next
If the scan data path contains combinational logic that is controllable in test mode, you must specify
the proper test control signals using either the 'define_dft shift_enable or 'define_dft test mode'
command before defining the scan chain with the -analyze option. Alternately analysis may have
failed because a scan flop is part of another scan chain or scan segment. In that case remove the
offending scan chain or segment and reanalyze.
DFT-170
Severity
Warning
Description
Found incompatible test clocks in scan chain (or segment).
What's Next
When two scan elements are clocked by different test clocks that were not declared compatible, and
these elements are connected in the same scan segment or scan chain without a lockup element,
the segment or chain might not shift correctly during testing.
DFT-171
Severity
Warning
Description
Cannot trace from OPCG domain location back to the corresponding Osc Source.
What's Next
The functional clock appears to be blocked from reaching the OPCG domain location from the
output pin of the Osc Source. This may result in a broken clock path in OPCG mode. Ensure that
this clock path is enabled in OPCG mode either by your test signal setup or through a custom
OPCG mode initialization sequence.
DFT-176
Severity
Warning
Description
Removed first element from a mapped shift register segment.
What's Next
You can prevent such removal by specifying the element to be preserved (e.g. by setting the
attribute 'preserve' to true on the object).In order to connect the shift register segment to a chain, you
need to remap the design.
DFT-177
Severity
Warning
Description
Found an invalid shift-register element.
What's Next
Non-scan flip-flops marked with either a 'dft_dont_scan' attribute or a 'preserve' attribute will fail the
DFT rule checks. To include the shift-register segment during scan chain connection, all its
elements must pass the DFT rule checks. Either set the attribute 'dft_dont_scan' to false on the flop
or set the attribute 'preserve' to false on the flop, then rerun the 'check_dft_rules' command to
ensure a valid shift-register segment.
DFT-179
Severity
Warning
Description
Invalid shift-register segment configuration.
What's Next
By definition, all of the elements of a shift-register segment should be triggered by the same test
clock and same active edge. Different test clocks can only be accepted if you define these clocks as
equivalent before scan chain connection. Different clock edges can never be accepted in a shift-
register segment.
DFT-180
Severity
Warning
Description
Found shift-register element that might be invalid.
What's Next
If the first flop of a shift register is mapped to scan, it must be mapped for DFT for the segment to be
considered during scan chain connection. A flop is mapped for DFT if its shift-enable pin is either
tied off, floating, or connected to a shift-enable signal defined with a 'define_dft shift_enable'
constraint. If the scan flop is not mapped for DFT and the shift-enable pin is functionally connected,
either define the signal that the shift-enable pin is connected to as a shift-enable signal and run the
'check_dft_rules' command before you run the 'connect_scan_chains' command, or run the
'replace_scan' command before you run the 'connect_scan_chains' command.
DFT-189
Severity
Warning
Description
DFT SDC generation.
What's Next
DFT SDCs may be incomplete or inaccurate and may hinder timing closure.
DFT-190
Severity
Warning
Description
Internal test signals are not written to the scan abstraction model.
What's Next
Full chip ATPG will require that these internal test signals be controlled to their test mode logic
values during scan mode. This is accomplished by adding the required initialization sequences to
the ATPG interface file to control or access internal pins.
DFT-192
Severity
Warning
Description
Pin used to fix DFT violation might not be dedicated for test.
What's Next
Use a pin that is dedicated for test to ensure that the functional behavior of the design in non-test
mode is not affected.
DFT-193
Severity
Warning
Description
Could not define segment.
DFT-196
Severity
Warning
Description
Could not fix violation.
What's Next
Violations reported due to multiple drivers are not fixed by the tool. Multiple drivers can be realized
for a driving pin originating from a black-box component. To alleviate the violation, the black-box
component should be defined as a logic abstract model (empty module description) when the top-
level design is elaborated.
DFT-199
Severity
Warning
Description
Cannot idealize the test signal.
What's Next
The tool cannot idealize a test signal when its driver is neither a leaf instance nor a driver port.
DFT-204
Severity
Warning
Description
Masking recommended with OPMISR compression.
What's Next
OPMISR compression without any masking requires an X-state free design. It is recommended to
run Encounter Test's verify_test_structure command to verify the design contains no X-sources. An
Encounter Test script to run verify_test_structures can be generated using the check_atpg_rules
command.
DFT-211
Severity
Warning
Description
Scan chain(s) cannot be compressed.
What's Next
The scan chain(s) will be ignored for compression.
DFT-212
Severity
Warning
Description
A subset of the scan chains have been specified with OPMISR compression.
What's Next
If you plan to compress the remaining chains with a separate invocation of compress_scan_chains,
they must be compressed using OPMISR compression, and the generated pin assign files will need
to be hand edited.
DFT-224
Severity
Warning
Description
Follow-up action needed.
What's Next
One or more options specified require additional user action after this command execution
completes.
DFT-226
Severity
Warning
Description
Failed to analyze specified scan chain or scan segment.
What's Next
Specify the proper test control signals using either 'define_dft shift_enable or 'define_dft test
mode'(in legacy mode) or 'define_shift_enable or 'define_test mode'(in CUI mode) command before
defining the scan chains or scan segments with the -analyze option. Alternately if scan flops are
already part of a scan chain or scan segment remove the offending scan chain or segment and
reanalyze.
DFT-230
Severity
Warning
Description
Removed violation object.
What's Next
An element belonging to the violation object was removed during optimization. Rerun
'check_dft_rules' with option 'advanced'.
DFT-235
Severity
Warning
Description
JTAG instruction may fail Boundary Scan Verification.
What's Next
JTAG instructions used to control tool inserted ATPG scan-modes require its test data register
specified using the '-register' option to be 'BYPASS', or the JTAG instruction must be specified as a
private instruction using the '-private' option.
DFT-236
Severity
Warning
Description
DFT configuration modes are being removed due to test signal definition. Scan chains may not be
traceable for this configuration mode.
What's Next
Redefine the configuration mode with the correct test signal setup, run check_dft_rules for the
configuration mode and then reanalyze the scan chains associated with the configuration mode.
DFT-238
Severity
Warning
Description
Cannot insert inverter before chain scan out as scan out is shared.
DFT-247
Severity
Warning
Description
Removing OPCG segment.
What's Next
Removal of OPCG segments can result in loss of information on inserted OPCG logic.
DFT-248
Severity
Warning
Description
Removing chain with OPCG segments.
What's Next
Removal of chains consisting of OPCG segments can lead to inconsistent chain reports.
DFT-254
Severity
Warning
Description
Removing OPCG vdir object.
DFT-255
Severity
Warning
Description
Overriding the counter length for the domain macro parameter.
What's Next
The user specified max trigger delay value for the domain macro parameter is too small and is
being reset by using the smallest possible counter length.
DFT-257
Severity
Warning
Description
No Mode initialization file specified for OPCG mode.
What's Next
To ensure proper verification, the OPCG logic should be initialized for each mode of operation
using a mode initialization file.
DFT-258
Severity
Warning
Description
Undriven pin used for DFT purposes.
DFT-264
Severity
Warning
Description
The OPCG domain will be running at a frequency that is greater than the specified maximum
frequency.
What's Next
Ensure that the correct minimum domain period is specified to the 'define_opcg_domain' command
and that the correct oscillator source output period is specified using the '-osc_source_parameters'
option to 'define_opcg_mode'.
DFT-270
Severity
Warning
Description
DFT attribute check.
What's Next
Best scan chain balance can be achieved with this attribute set to true.
DFT-271
Severity
Warning
Description
Multiple test clock domain found.
What's Next
This can be achieved with the command 'set_compatible_test_clocks -all'.
DFT-281
Severity
Warning
Description
Missing scan chain or scan segment.
What's Next
During the DB restore process the actual scan chains and actual scan segments are traced to
validate their functionality and are only restored when the trace is successful. The specified chain or
segment was present in the original session, but is not present in the restored session. Check for
messages earlier in the log to indicate the reason.
DFT-282
Severity
Warning
Description
Requested channel length is less than maximum length of compressed segment.
What's Next
The requested channel length is recommended to be greater than or equal to the maximum length
of the compressed segments for best compression results.
DFT-283
Severity
Warning
Description
Ignoring asserted domain on test clock or test signal source pin.
What's Next
The attribute 'dft_opcg_assert_domain' should only be specified on data input ports. This attribute
setting will be ignored.
DFT-284
Severity
Warning
Description
Test clock source feeds a data pin.
What's Next
It is expected that the source of all test clock domains will only drive clock pins in the design. If the
data pin is not a clock, confirm the connection from the clock source to the data pin is correct. If the
data pin is a clock pin, the attribute 'dft_opcg_domain_clock_pin' may not be set to true in the scan
abstract.
DFT-285
Severity
Warning
Description
A data pin feeds a scan abstract test clock pin.
What's Next
It is expected that all pins specified as a test clock in a scan abstract will be driven by source pins of
defined test clocks. Confirm the connection between the data pin and the scan abstract clock pin is
correct. A pin is specified in a scan abstract as a clock pin by setting the
'dft_opcg_domain_clock_pin' to 'true'.
DFT-286
Severity
Warning
Description
A data pin feeds a blocking shift_enable signal on an abstract block.
What's Next
It is expected that all pins specified as a blocking shift_enable in a scan abstract will be driven by a
defined blocking shift_enable. Confirm the connection between the data pin and the blocking
shift_enable is correct. A pin is considered to be a blocking shift_enable in the scan abstract if it is
specified as the value of the 'dft_opcg_domain_se_input' attribute for one of the test clock inputs.
DFT-287
Severity
Warning
Description
Test clock and blocking shift_enable mismatch.
What's Next
The message is issued when a test clock / blocking shift_enable pair are not connected to a
corresponding test clock / blocking shift_enable pair on a scan abstracted block. Verify these ports
of the scan abstracted block are connected properly. The pair in the scan abstract is specified with
the 'dft_opcg_domain_se_input' attribute.
DFT-293
Severity
Warning
Description
Conflicting clock and data being propagated on a pin.
What's Next
In such cases, the forward propagation occurs considering it to be a clock.
DFT-294
Severity
Warning
Description
This option will become obsolete in future release.
What's Next
This option is redundant and will be ignored. Instead, specify the hierarchical instance name to
achieve the desired result.
DFT-295
Severity
Warning
Description
Port is not connected to a pad.
What's Next
A bidirectional port on which a test signal, test clock or shift enable signal is defined should be
connected to a pad instance and the libcell should have 'is_pad' and 'pad_cell' attributes set.
DFT-301
Severity
Warning
Description
DFT Clock Rule Violation.
What's Next
Clock signal is not controllable. Affected registers will be excluded from scan design. You might
want to define a clock if required, or use report_dft_trace_back to identify the root cause for the non-
controllability of a correctly defined clock. The root_node attribute of the DFT Clock Rule Violation
is a good starting point for any further debug.
DFT-302
Severity
Warning
Description
DFT Async Rule Violation.
What's Next
Async signal is not controllable. Affected registers will be excluded from scan design.
DFT-304
Severity
Warning
Description
DFT Abstract Segment Test Mode Rule Violation.
What's Next
Abstract Segment Test Mode signal is not controllable. Affected abstract segments will be excluded
from scan design.
DFT-305
Severity
Warning
Description
Conflicting value asserted for a test signal.
What's Next
Use the attribute 'dft_constant_value' on the net to check the current value on the net connecting to
the referenced pin. The logic value could be due to a tied constant in the netlist or a test signal
value that was either propagated from a top-level signal or specified through a 'dft_controllable'
attribute on the pin.
DFT-307
Severity
Warning
Description
TDRC data not available.
What's Next
Test Design Rule Check (TDRC) data is not available. Either check_dft_rules has not been run or
some other operation has caused TDRC data to be invalidated, for example, netlist changes,
modification of test clocks or signals, etc.
DFT-308
Severity
Warning
Description
Both edges of a clock are used to trigger the registers.It may be necessary to gate the clock to turn it
OFF during scan shift mode in Clocked LSSD or Clocked Scan styles.
What's Next
ClockedLSSD and ClockedScan styles require the system clock to be OFF during scan shift mode.
If a clock is triggering registers at both edges, the OFF states for the two sets of registers may be in
conflict - and may require gating logic to be active during scan shift mode.
DFT-309
Severity
Warning
Description
Conflicting off-state requirements for a clock signal.
What's Next
In clocked-LSSD and clocked-scan style, system clock needs to be held OFF during shift-scan
mode. The off-state requirement for different registers require this clock net to be at both logic 0 and
logic 1 simultaneously. Test points are necessary to satisfy this requirement, or some registers may
be excluded from scan chains.
DFT-311
Severity
Warning
Description
In dynamic fault testing sampling is not allowed.
What's Next
When the -delay option is specified, '-fault_sample_ratio' is not allowed unless using Modus 18.10
or greater. To target Modus 18.1 or greater, set the Tcl var '::eta::modus_version' to 18.1 or higher
and ensure this newer version of Modus is in the PATH environment variable or by pointing
dft_atpg_executable to a Modus release 18.1 or greater.
DFT-312
Severity
Warning
Description
DFT Shift Register Segment Violation.
What's Next
Affected shift register segments will be excluded from scan design.
DFT-313
Severity
Warning
Description
Cannot fix violation.
What's Next
The current version of the tool cannot fix violations reported for shift registers or potential race
condition violations or violations reported for the test mode signal, clock or other clock of abstract
segments.
DFT-314
Severity
Warning
Description
Suppressed printing of details of some DFT violations.
What's Next
Limited printing of details on DFT violations to avoid excessive data in the log file. To control the
number of violations printed to the log file, use the '-max_print_violations' option. To print all details
to the log file, use '-max_print_violations -1'. To print the output to a file, use '>' to redirect the file.
DFT-315
Severity
Warning
Description
DFT Tristate net contention Violation.
What's Next
To remove the net contention violation in scan-shift mode, either modify the RTL, or use the '-
tristate_net' option of the 'fix_dft_violations' command.
DFT-316
Severity
Warning
Description
Potential Race Condition Violation.
What's Next
A potential race condition exists in the design that could affect ATPG. This design issue should be
corrected to provide separate test signals to control the asynchronous set and reset pins of the
register.
DFT-317
Severity
Warning
Description
Potential X-source Violation.
What's Next
A potential x-source generator exists in the design that could affect ATPG. Insert shadow logic or
use the command 'fix_dft_violations'.
DFT-318
Severity
Warning
Description
Cannot fix violation.
What's Next
Bidirectional pins will be realised for an unresolved instance modeled as a black-box. To model the
pin directions, the unresolved instance should be read into the tool as a logic abstract model.
DFT-319
Severity
Warning
Description
Compression clock violation.
What's Next
Run 'connect_compression_clocks' to connect the compression clocks and rerun the command
'check_dft_rules'.
DFT-321
Severity
Warning
Description
Basic transition faults testing files are generating.
What's Next
OPCG logic is not fully connected. To generate at-speed faults files, OPCG logic should be fully
connected.
DFT-322
Severity
Warning
Description
Level sensitive latches were not found in the technology libraries.
What's Next
DFT logic in most cases use level sensitive latches as data lockup elements and terminal lockup
latches when building the scan structures. The lack of level sensitive latches could result in an error
when the DFT logic is mapped to technology gates.
DFT-323
Severity
Warning
Description
Clock Gating Integrated cells were not found in technology libraries.
What's Next
DFT logic in some cases may require Clock Gating Integrated cells to correctly build the scan
structures. The lack of Clock Gating Integrated cells could result in an error when the DFT logic is
mapped to technology gates.
DFT-324
Severity
Warning
Description
Level sensitive latches have been avoided in the technology libraries.
What's Next
DFT logic in most cases use level sensitive latches as data lockup elements and terminal lockup
latches when building the scan structures. Level sensitive latches should be made usable prior to
mapping the design or when inserting DFT logic into a mapped design.
DFT-325
Severity
Warning
Description
Clock Gating Integrated cells have been avoided in the technology libraries.
What's Next
DFT logic in some cases may require Clock Gating Integrated cells to correctly build the scan
structures. Clock Gating Integrated cells should be made usable prior to mapping the design or
when inserting DFT logic into a mapped design.
DFT-400
Severity
Warning
Description
Empty scan chain.
What's Next
Attempting to use an empty scan chain. This may indicate an unnecessary or somewhat forgotten
declaration.
DFT-401
Severity
Warning
Description
Different scan-in and scan-out power domains for an abstract scan segment.
What's Next
Provide both power_domains with connect_scan_chains to include this segment in scan chains.
DFT-403
Severity
Warning
Description
Invalid segment configuration.
What's Next
Correct the issue mentioned above and re-run.
DFT-405
Severity
Warning
Description
Ignoring register from scan connection as it belongs to an invalid segment.
DFT-406
Severity
Warning
Description
Ignoring a register from scan connection as it belongs to a preserved module but not to any user
defined scan_segment.
DFT-408
Severity
Warning
Description
Total number of configured chains differs from specified minimum number of chains.
What's Next
Additional chains were required to satisfy the scan chain configuration constraints, such as
maximum-length, number of incompatible clock domains, etc.
DFT-410
Severity
Warning
Description
Cannot connect an instance in the list of elements specified to be connected in a chain.
What's Next
A specified instance on '-elements' option cannot be connected as it may be failing TDRC, or be
already connected in a chain when using '-incremental' option. Such instances will get ignored for
scan connection.
DFT-415
Severity
Warning
Description
Could not connect scan chains.
What's Next
Check if the status of the flops indicates they failed the DFT rule checker or were marked dont scan.
If the flops passed the DFT rule checker, check if they are part of a preserved module. Also check to
see if all the elements have been assigned to a configuration mode in which case rerun the
command using the -dft_configuration_mode option. Lastly, check if the flops were already
connected.
DFT-416
Severity
Warning
Description
Excluded segment from scan connection.
What's Next
To include this segment, all elements of the segment must pass the DFT rule checks, and be
instantiated in the hierarchical instance specified with the '-elements' option of the
'connect_scan_chains' command.
DFT-417
Severity
Warning
Description
Cannot connect element in a chain for specified power domains.
What's Next
To be included in a scan chain an element specified with the '-element' option must belong to one
of the specified power domains.
DFT-418
Severity
Warning
Description
Cannot prepend an instance/segment in the list of elements to the existing chain.
What's Next
A specified instance on '-elements' option cannot be connected as it may be failing TDRC, or set to
dont scan or not mapped for DFT, or be already connected in a chain. Such instances will get
ignored for scan connection.
DFT-424
Severity
Warning
Description
Multiple power domain with same name exists.
What's Next
If only basename of power domain is provided, then the top power domain matching the basename
will be used. If hier name of power domain is provided, then that specific power domain will be
used.
DFT-510
Severity
Warning
Description
Could not find a scan-equivalent cell.
What's Next
A scan-equivalent cell was not found. A potential scan-equivalent library cell is considered not
usable if it has a 'dont_use' or a 'dont_touch' attribute set to true in the .lib files. In this case, set the
attribute 'preserve' to false on the scan library cell and set the attribute 'avoid' to false on the scan
library cell to make the cell usable. A potential scan-equivalent library cell is excluded if it does not
follow the Scan Cell Requirements described in the 'Library Guide'. This requires fixing the library.
DFT-511
Severity
Warning
Description
The scan flop mapped for DFT fails the DFT rule checks. The flop is not included in a scan chain.
What's Next
To report the DFT violation and determine the violation type (clock, async set/reset), use the
'report_dft_violations' command. Once the violation type is known, either fix the source of the
violation in the RTL or use the 'fix_dft_violations' command.
DFT-512
Severity
Warning
Description
The non-scan flop is not included in a scan chain.
What's Next
To convert the non-scan flops which pass the DFT rule checks to scan flops for DFT, run the
convert_to_scan command prior to building the scan chains.
DFT-513
Severity
Warning
Description
Scan mapped flop marked dont_scan - will not be considered for scan connection.
What's Next
Set the instance dft_dont_scan attribute to 'false' for it to be considered for scan connection.
DFT-514
Severity
Warning
Description
Scan flop is mapped for non-DFT functional operation. It will not be considered for scan connection.
What's Next
A scan flop mapped for non-DFT uses the scan pins (scan_enable, scan_input) for functional
operation. To use the scan pins for Test, run the convert_to_scan (in CUI mode) or replace_scan(in
legacy mode) command prior to building the scan chains. Each scan flop for non-DFT will be
converted into a MUX plus a scan flop. Alternatively, to avoid mapping to scan flops for non-DFT,
set the root level attribute 'use_scan_seqs_for_non_dft' to either 'true' or 'degenerated_scan' before
mapping the design to gates using the 'syn_map' command.
DFT-515
Severity
Warning
Description
Scan mapped flop marked dont scan because an abstract segment is defined across its lib cell or
across a parent module.
What's Next
Scan mapped flops which reside in a parent module that is abstracted are excluded from scan
connection as individual bits. In both scenarios, abstract scan segment defined for the lib cell or
parent module is used by scan connection to include the segment into the scan chain.
DFT-516
Severity
Warning
Description
Flip-flop marked dont_scan is in a non-uniquified subdesign.
What's Next
Use edit_netlist dedicate_subdesign <instance> to uniquify the subdesign if you do not want this
attribute to be set on all instances.
DFT-517
Severity
Warning
Description
Scan-flop fails the DFT rule checks.
What's Next
Scan flops must pass the DFT rule checks to reliably shift ATPG data through the scan chains.
DFT-521
Severity
Warning
Description
Lockup flop inserted may not satisfy clock skew requirements.
DFT-522
Severity
Warning
Description
Lockup latch inserted may not satisfy clock skew requirements.
DFT-552
Severity
Warning
Description
What's Next
To include this segment, rerun the DFT rule checker to update the DFT status of the registers that
belong to the instance for which the abstract segment was defined. If a DFT violation is detected for
the segment, fix the violation using the 'fix_dft_violations' command and rerun the
'connect_scan_chains' command.
DFT-553
Severity
Warning
Description
Excluded segment element from launch-off-shift pipeline insertion.
What's Next
The above mentioned scan chain element is excluded from launch-off-shift pipeline insertion.
Correct the issue mentioned above and re-run.
DFT-554
Severity
Warning
Description
Fanout exceeds the user specified limit.
What's Next
The fanout for the above mentioned shift enable pin exceeds the user specified limit. Correct the
issue mentioned above and re-run.
DFT-555
Severity
Warning
Description
Tracing of clock/shift enable pin did not reach its associated hook-up pin.
What's Next
The above mentioned pin did not trace back to associated hook-up pin or level-shifter or isolation
logic or power domain boundary or clock gate. Ensure that this is not an issue and re-run.
DFT-556
Severity
Warning
Description
Redundant option specified in a power domain aware insertion flow.
What's Next
The above mentioned option does not make sense in a power domain aware insertion. This option
will be ignored.
DFT-557
Severity
Warning
Description
Unable to insert pipeline flop.
What's Next
The power domain of the scan element does not match the power domain of its containing module.
This scan element will be ignored from pipeline flop insertion.
DFT-558
Severity
Warning
Description
Scan element is already part of a scan group.
What's Next
The scan element is already part of above mentioned scan group. Ignoring this element.
DFT-559
Severity
Warning
Description
Unable to create scan groups.
What's Next
Either all the scan segments and flops are already part of other scan groups or the scan segments
and flops do not exist that match the requested conditions. Correct the issue and re-run.
DFT-561
Severity
Warning
Description
Unpreserving a preserved net.
DFT-565
Severity
Warning
Description
Excluding testpoint flop.
What's Next
Flop will be ignored from update if the flop is preserved. Flop will be ignored if it was not inserted by
command insert_dft test_points or insert_dft rrfa_testpoints.Flop will also be ignored from update if
the specified location is preserved, part of a segment, is part of a compressed scan chain or the
dft_clock_edge of the location does not match the flops dft_clock_edge. If a location is not specified
for the flop, flop will be ignored if unable to find a location automatically that satisfies the above
condition. Specify the option '-force' or set the root level attribute 'ui_respects_preserve' or the
attribute 'dft_mix_clock_edges_in_scan_chains' to false to relax these conditions.
DFT-567
Severity
Warning
Description
Cannot wrap pin/port.
DFT-568
Severity
Warning
Description
This warning occurs while analyzing ports for shared wrapper cell insertion. Cannot honor '-shared'
What's Next
Check for check_dft_rules and make sure they are clean to avoid non-scannable flops which can
result in flops not getting shared during wrapper cell insertion. To query DFT rule checker (scan)
status of the flip-flop, use the instance attribute, dft_status on '$instance'. The following are the
reasons for not honoring the '-shared' option for pin/port during wrapper cell insertion while
executing the command 'insert_dft wrapper_cell': * For an input port if its shared flop count has
exceeded shared input threshold value of 30. * For an input port if its fanout includes instances
other than combinational gates and flip-flops (such as black-boxes or timing-models or ICGs). * For
an input port if its flop is not shareable.
DFT-576
Severity
Warning
Description
Hierarchical instances are not supported.
What's Next
Hierarchical instances will be ignored. In case this is not intended, replace the hierarchical instance
with all the flops and segments inside that instance and re-run.
DFT-578
Severity
Warning
Description
Excluded scan element from scan groups.
What's Next
The above mentioned scan element is excluded from scan groups. Correct the issue mentioned
above and re-run.
DFT-579
Severity
Warning
Description
Conflicting constraints specified for scan groups.
What's Next
The above mentioned scan element is included in the scan group. If this is not the intended
behavior then correct the issue mentioned above and re-run.
DFT-581
Severity
Warning
Description
What's Next
The above mentioned scan element is already included in some scan segment. Attribute will not be
set on this scan element. If this is not the intended behavior then correct the issue mentioned above
and re-run.
DFT-585
Severity
Warning
Description
Shift enable pin already connected.
What's Next
The shift enable pin of the specified element is already connected. Ensure that this is correct.
DFT-586
Severity
Warning
Description
Unable to find shift enable pin.
What's Next
The shift enable pin of the specified element is not found. Ensure that this is correct.
DFT-587
Severity
Warning
Description
Actual scan segment specified for attribute setting.
What's Next
Set the attribute on scan segment instead of actual scan segment. Support on actual scan segment
will be removed. Currently, the tool will copy this attribute over to scan segment. Correct the issue
mentioned above and re-run.
DFT-602
Severity
Warning
Description
No chains present in CTL file.
What's Next
This could be because: 1. there are no scan chains defined in the ScanStructures section of the
CTL file 2. the scan chains defined cannot be referenced from the CTLMode block with TestMode
InternalTest. Check if they are referred from the DomainReferences section of that CTLMode.
DFT-606
Severity
Warning
Description
Polarity of ScanEnable in ScanStructures block differs from polarity in CTLMode InternalTest block.
What's Next
Change your CTL input so that the polarity is the same in both the blocks.
DFT-611
Severity
Warning
Description
Replacing scan chain.
What's Next
If you do not want scan chains in an unnamed ScanStructure block from being replaced with a scan
chain with the same name in a named ScanStructure block then rename the scan chain to have a
unique name.
DFT-613
Severity
Warning
Description
CTL port and design port mismatch.
What's Next
Modify your CTL file or design to ensure that the ports are present in both the CTL file and the
design. In case the port is utilized for DFT purposes then abstract segment definition may fail.
DFT-651
Severity
Warning
Description
No test clocks identified for dedicated wrapper cell.
What's Next
A test clock has not been identified from the fanin/fanout analysis of the port. Will use the first
available test clock source from the dft vdir.
DFT-652
Severity
Warning
Description
Multiple test clocks identified for dedicated wrapper cell.
What's Next
Multiple test clock sources have identified from the fanin/fanout analysis of the port. Will use the
most occurring test clock source from the list of these available dft test clock sources.
DFT-654
Severity
Warning
Description
Excluding port from wrapper cell insertion.
What's Next
Excluding the output port from wrapper cell insertion, since user has specified '-guard' option and
the '-shared_through' option is not 'buffers'. To insert output bounding wrapper cells with guard
logic, use the '-shared_through' value as 'buffers'.
DFT-663
Severity
Warning
Description
Ignoring port in exclude list.
DFT-677
Severity
Warning
Description
An async set/reset pin is fed by an isolation cell.
What's Next
An async set/reset pin is only controlled by a testpoint if it is fed by internal logic. It is skipped if it
driven by an isolation cell.
DFT-678
Severity
Warning
Description
Async set/reset pins are fed by isolation cells.
What's Next
One or more async set/reset pins are driven by an isolation cell. Review above messages for
details.
DFT-704
Severity
Warning
Description
Defining the new DFT partition will result in DFT partitions that have a mix of instances and
instances_by_test_clocks.
What's Next
The expectation is that all the partitions are defined using either -instances or -
instances_by_test_clocks.
DFT-711
Severity
Warning
Description
Found no shift enable signal for analyzed scan chain element.
What's Next
Ensure that the shift-enable pin of the analyzed scan chain element is driven by a pin or port that
has been defined as a shift-enable test signal. If the analyzed scan chain contains elements driven
by different shift-enable test signals, the DFT-711 message will continue to be issued for all
elements whose shift-enable test signal is not detected to be the chain-specific shift enable test
signal. In most situations, the chain-specific shift-enable signal will be associated to the shift-enable
test signal belonging to the first element in the actual scan chain.
DFT-712
Severity
Warning
Description
Element of analyzed chain has opposite SE polarity.
What's Next
When different elements of a scan chain are driven by the same shift enable signal but with
opposite polarity, the scan chain might not shift correctly during testing. Check if the shift enable
path contains any unwanted inverting logic.
DFT-714
Severity
Warning
Description
Could not insert lockup elements in a preserved sub block of an analyzed scan chain.
What's Next
Lockup elements cannot be inserted in a sub-block whose instance or subdesign was marked
preserve. To ensure lockup element insertion, set the 'preserve' attribute to 'false' on the instance or
subdesign that was reported preserved before rerunning the 'add_lockup_element' command.
DFT-716
Severity
Warning
Description
Found no scan clock a signal for analyzed scan chain element.
What's Next
Make sure that the scan clock a pin of the analyzed scan chain element is connected to a pin or port
that was specified as driver of a scan clock a signal.
DFT-717
Severity
Warning
Description
Found no scan clock b signal for analyzed scan chain element.
What's Next
Make sure that the scan clock b pin of the analyzed scan chain element is connected to a pin or port
that was specified as driver of a scan clock b signal.
DFT-718
Severity
Warning
Description
Terminal Lockup element insertion not required.
What's Next
The chain already has a terminal lockup at the end of the chain or the tail segment of the chain was
specified with a -skew_safe option.
DFT-719
Severity
Warning
Description
Terminal lockup insertion will not be done for analyzed scan chain.
DFT-720
Severity
Warning
Description
Cannot overlay segment on analyzed scan chain.
What's Next
If the segment contains combinational elements then scan chain analysis may not be able to trace
through it unless it knows what is the scan in and scan out of this instance. Instead of specifying a
combinational instance as part of a segment specify it as a combination of its sdi and sdo pins. Also
If the scan chain was analyzed without specifying the -sdi option then the trace of the chain may be
terminated at an internal pin of the design. If this pin happens to be in the middle of a user defined
segment then the segment cannot be overlaid as it would not be possible to overlay all elements of
the scan chain. Specify either the -sdi option to the command or specify the right test mode values
so that the trace proceeds beyond the middle of the segment.
DFT-722
Severity
Warning
Description
Could not insert inverter in a preserved sub block of a scan chain.
What's Next
Inverters cannot be inserted in a sub-block whose instance or subdesign was marked preserve. To
ensure inverter insertion, set the 'preserve' attribute to 'false' on the instance or subdesign that was
reported preserved before rerunning the 'fix_scan_path_inversions' command.
DFT-723
Severity
Warning
Description
What's Next
Check the above logs for messages indicating where the traceback stopped and examine that area
of the logic to determine the cause.
DFT-724
Severity
Warning
Description
Could not identify shared wrapper cells in unmapped design.
What's Next
To automatically identify shared wrapper cells while auto identifying shift registers, use the
'syn_map' command.
DFT-725
Severity
Warning
Description
Segment contains a multimode element.
What's Next
The scan mode of the subelement will be ignored. The scan mode of its containing segment will be
used when connecting scan chains.
DFT-727
Severity
Warning
Description
Unable to verify the connectivity between pins in scan path.
DFT-796
Severity
Warning
Description
Using first value of -bypass_clock_pins option.
What's Next
Multiple bypass clocks are not supported with -single_flop_bypass_only option. Either specify
single value with -bypass_clock_pins option or first value will be used.
DFT-798
Severity
Warning
Description
-add_preserved_segment option is not required.
What's Next
-add_preserved_segment option is by default true. It does not need to be specified on command
line.
DFT-799
Severity
Warning
Description
Ignored option.
What's Next
Option is ignored because -force_wire_bypass option is present.
DFT-802
Severity
Warning
Description
Did not find a -min_wire_length option specified with the -physical option.
What's Next
Specify the desired min wire length with the -min_wire_length option.
DFT-804
Severity
Warning
Description
Ignoring the -physical and -min_wire_length options as a large number of scan flops do not have
physical information.
What's Next
Make sure that the DEF file has physical information for all the scan flops that are to be put onto
scan chains.
DFT-909
Severity
Warning
Description
Cannot find instruction register.
What's Next
Check to see if instruction register exists in dft/boundary_scan vdir.
DFT-925
Severity
Warning
Description
Tap Port not yet defined.
What's Next
All the tap ports need to be defined using the command 'define_jtag_tap_port' for the rest of the flow
to understand the jtag_macro.
DFT-929
Severity
Warning
Description
Invalid opcode.
What's Next
BYPASS instruction should have all 1's pattern as its opcode.
DFT-930
Severity
Warning
Description
Required option missing.
What's Next
One or more specified options additionally require an option that is not specified. Add the missing
option and rerun the command.
DFT-932
Severity
Warning
Description
Removing a TAP Port.
What's Next
The hookup pin for the TAP Port is already deleted. The TAP Port can be redefined using the
define_dft tap_port command.
DFT-950
Severity
Warning
Description
Unable to insert the analyzed test point.
What's Next
Skipping the test point.
DFT-951
Severity
Warning
Description
Some level sensitive latches have been avoided in the technology libraries.
What's Next
DFT logic in most cases use level sensitive latches as data lockup elements and terminal lockup
latches when building the scan structures. Level sensitive latches should be made usable prior to
mapping the design or when inserting DFT logic into a mapped design.
DFT-953
Severity
Warning
Description
Skipping lbist testpoint insertion.
What's Next
Update the Modus assign files accordingly.
DFT-1200
Severity
Warning
Description
Cannot get the location of segment.
What's Next
The segment location is estimated based on its type and elements.
31
DFT_GL
DFT_GL-102
Severity
Info
Description
Skip the 'pll' clock related checks.
What's Next
In order to run 'pll' clock related checks specify the 'pll' instances.
DFT_GL-103
Severity
Info
Description
Skip the 'pll' reset pin related checks.
What's Next
In order to run the 'pll' reset pin related checks specify the 'pll' reset pin.
32
DFT_GUIDELINE
DFT_GUIDELINE-407
Severity
Info
Description
Test clock signal propagates to primary output port.
What's Next
The endpoint of test signal is a primary output port, which may be effected during functional
examination and not get the expected value .
DFT_GUIDELINE-408
Severity
Info
Description
Async clear and preset pins are driven by same source point.
What's Next
As async clear and preset pins are driven by same source point, the output of flop became irregular
if both the pins are active at same time.
DFT_GUIDELINE-409
Severity
Info
Description
The data pin of flop is driven by constant.
What's Next
Failure detection rate decreases as data pin of flop is tied to a constant ,so ensure that a constant
should not propagate to data pin of flop..
DFT_GUIDELINE-410
Severity
Info
Description
Blackbox connected to the data pin.
What's Next
Detection of failure cannot be performed, as the data pin is driven by blackbox and failure detection
rate decreases.
DFT_GUIDELINE-401
Severity
Warning
Description
Test clock signal propagates to tristate pin.
What's Next
Enable pin of tristate instance is driven by test clock, to control the enable pin create a mask of the
clock signal using the test mode signal.
DFT_GUIDELINE-402
Severity
Warning
Description
Clock signal propagates to EN pin or D pin.
What's Next
Correct the circuit and ensure that the test clock should not propagate to data or enable pin of flop.
DFT_GUIDELINE-403
Severity
Warning
Description
Test object is driven by different phase of same clock source.
What's Next
Ensure that the same phase of clock is propagating to the flops or macro's (test object) else it will
effect during examination.
DFT_GUIDELINE-404
Severity
Warning
Description
Clock gating integrated instance is not in clock path.
What's Next
Replace the CGIC by any other combinational circuit cell or treat the CGIC cell as blackbox as the
CGIC (clock gating integrated cell) is not in clock path.
DFT_GUIDELINE-405
Severity
Warning
Description
Clocks of a multi port macro are driven by multi test clock.
What's Next
Correct the circuit and ensure that the same test clock is propagating to multi clock port of macro
otherwise there would be timing margin design problem.
DFT_GUIDELINE-406
Severity
Warning
Description
Reference clock of 'pll' is not driven by primary reference clock.
What's Next
Real rate test using 'pll' cannot be performed, as its reference clock is not driven by primary
reference clock. Correct the circuit to make it controllable.
DFT_GUIDELINE-411
Severity
Warning
Description
Reset pin of 'pll' is not driven by the primary reset pin.
What's Next
The real rate test using 'pll' clock cannot be performed, as its reset pin is not driven by primary reset
pin. Correct the circuit.
DFT_GUIDELINE-412
Severity
Warning
Description
Same test clock is re-convergence.
What's Next
It is a converged clock which generated from a master test clock source. The master test clock may
be propagated to the inputs of a combinational logic, as a result of that the converged clock
generated.
DFT_GUIDELINE-413
Severity
Warning
Description
The primary clock is not passes through 'pll'.
What's Next
The primary reference clock should propagate to any sequential element through 'pll'.
33
DFT_RTL
DFT_RTL-120
DFT_RTL-101
Severity
Error
Description
HDL files paths are not relative.
What's Next
Dft-RTL is currently not supporting absolute hdl path. Provide relative path while doing
'read_hdl/read_netlist'.
DFT_RTL-102
Severity
Error
Description
Directory not empty.
What's Next
The directory specified with 'write_dft_rtl_model' must be empty to avoid any inconsistency. Correct
the issue and re-run.
DFT_RTL-103
Severity
Error
Description
Modifications present inside VHDL design unit.
What's Next
DFT insertion for RTL flow does not support modifications inside VHDL design units. Ensure that
either the 'dft_rtl_insertion' attribute is set to 'false' or modifications are present only inside Verilog
design units. Correct the issue and re-run.
DFT_RTL-104
Severity
Error
Description
Unsupported edit_netlist command.
What's Next
DFT insertion for RTL flow does not support disconnection of port(s). Modify your Verilog to use
buffers where port disconnection is required and move the disconnection point to the input/output
side of the buffer as the case may be. Correct the issue and re-run.
DFT_RTL-105
Severity
Error
Description
Unable to modify user RTL for DFT insertion.
What's Next
Some error occurred while trying to modify user RTL to capture DFT insertion. One possible reason
for the same is the use of non-default attribute values for 'hdl_generate_index_style' and
'hdl_generate_separator'. Another possible reason is the absence of 'begin' label for different kind
of generate blocks. Correct the issue and re-run.
DFT_RTL-106
Severity
Error
Description
Multiple definitions of a design unit found.
What's Next
The design contains multiple definitions of the same module. Correct the issue and re-run.
DFT_RTL-107
Severity
Error
Description
Unable to modify user RTL for DFT insertion.
What's Next
Some error occurred while trying to modify user RTL to capture DFT insertion. Report this error to
customer support.
DFT_RTL-108
Severity
Error
Description
RTL modifications requested for combinational or sequential logic.
What's Next
RTL modifications (using edit_netlist commands) for RTL DFT flow can only be done for
hierarchical instances. Insert a hierarchical buffer at the specified boundary and use inputs/outputs
of that buffer to make modifications. Correct the issue mentioned above and re-run.
DFT_RTL-109
Severity
Error
Description
Incorrect RTL DFT modifications applied.
What's Next
The specified instance is being modified more than once. The possible reason is that the same
instance name is present inside multiple branches of the 'if' generate block where either the 'begin'
label is missing or same label is used across multiple branches.
DFT_RTL-110
Severity
Error
Description
DFT RTL flow supports only explicit name based binding.
What's Next
Ensure that the explicit name based binding is used. Correct the issue and re-run.
DFT_RTL-111
Severity
Error
Description
DFT RTL flow supports only default generate index style '%s[%d]'.
What's Next
Ensure that the default generate indexing style is used. DFT RTL flow allows modification only for
default value for the attribute 'hdl_generate_index_style'. Correct the attribute value and re-run.
DFT_RTL-112
Severity
Error
Description
DFT RTL flow supports only default generate separator '.'.
What's Next
Ensure that the default generate separator is used. DFT RTL flow allows modification only for
default value for the attribute 'hdl_generate_separator'. Correct the attribute value and re-run.
DFT_RTL-113
Severity
Error
Description
Unsupported netlist editing command used for DFT RTL insertion flow.
What's Next
DFT RTL flow does not support the above mentioned netlist editing command. In such cases, this
command can be used after generating the DFT inserted RTL on this generated RTL by treating
that as golden design and disabling 'dft_rtl_insertion' attribute.
DFT_RTL-114
Severity
Error
Description
Unsupported command used for DFT RTL insertion flow.
What's Next
DFT RTL flow does not support the above mentioned command. In such cases, this command can
be used after generating the DFT inserted RTL on this generated RTL by treating that as golden
design and disabling 'dft_rtl_insertion' attribute.
DFT_RTL-115
Severity
Error
Description
Unsupported netlist editing command used for DFT RTL insertion flow.
What's Next
DFT RTL flow does not support the above mentioned netlist editing command for modules except
top level module. In such cases, create primitive instances inside top level design. Correct the issue
and re-run.
DFT_RTL-117
Severity
Error
Description
Attribute 'dft_rtl_insertion' must be set prior to elaboration.
What's Next
DFT RTL flow requires that the attribute 'dft_rtl_insertion' must be set prior to elaboration. This is
required to capture some of the information that needs to be back-annotated in the output RTL. Lack
of this attribute will cause erroneous RTL to be generated. Set this attribute prior to elaboration and
re-run.
DFT_RTL-118
Severity
Error
Description
Unable to modify user RTL for DFT insertion.
What's Next
All the if/case conditions should have proper labels. Correct the issue and re-run.
DFT_RTL-119
Severity
Error
Description
An unexpected condition occurred in the program.
What's Next
Re-run the command with '-debug' option. Report this error to customer support and provide the
logfile generated with '-debug' option.
DFT_RTL-120
Severity
Error
Description
Attribute 'hdl_use_for_generate_prefix' is set to 'false'. DFT RTL flow supports only default value
('true') for this attribute.
What's Next
Ensure that the default generate prefix attribute value is used. DFT RTL flow allows modification
only with default value for the attribute 'hdl_use_for_generate_prefix'. Correct the attribute value and
re-run.
DFT_RTL-116
Severity
Warning
Description
Renamed port due to port disconnection request during DFT RTL insertion flow.
What's Next
Port disconnection during DFT RTL insertion flow is handled by renaming the ports. Ensure that
proper wire declarations are present for original port in the generated RTL otherwise elaboration
may fail on generated RTL.
34
DPOPT
DPOPT-1
Severity
Info
Description
Optimizing datapath logic.
DPOPT-2
Severity
Info
Description
Done optimizing datapath logic.
DPOPT-3
Severity
Info
Description
Implementing datapath configurations.
DPOPT-4
Severity
Info
Description
Done implementing datapath configurations.
DPOPT-5
Severity
Info
Description
Skipping datapath optimization.
DPOPT-6
Severity
Info
Description
Pre-processed datapath logic.
DPOPT-7
Severity
Info
Description
Skipping datapath optimization.
DPOPT-10
Severity
Info
Description
Optimized a mux chain.
DPOPT-54
Severity
Info
Description
User hierarchy not ungrouped.
DPOPT-61
Severity
Info
Description
Applied datapath rewrite.
DPOPT-71
Severity
Info
Description
Datapath big operator.
DPOPT-50
Severity
Warning
Description
DPOPT-51
Severity
Warning
Description
Datapath region has no outputs.
DPOPT-52
Severity
Warning
Description
User-specified timing value prevents optimization of datapath logic.
DPOPT-53
Severity
Warning
Description
Datapath region not OK.
DPOPT-55
Severity
Warning
Description
'path_group' timing exceptions that specify datapath pins or instances are not preserved.
What's Next
Define the 'path_group' without using specific datapath pins or instances.
35
ECCD
ECCD-412
Severity
Error
Description
Unable to run CCD.
What's Next
Set the environment variables VERPLEX_HOME_CCD/PATH appropriately.
ECCD-413
Severity
Error
Description
Unable to complete CCD run.
What's Next
Check the log to proceed further.
ECCD-415
Severity
Error
Description
Errors reported by CCD.
What's Next
This may result in design errors.
ECCD-416
Severity
Error
Description
Unable to run CCD.
What's Next
Make sure that the required license is available before using the command.
ECCD-414
Severity
Info
Description
Completed CCD execution.
What's Next
CCD ran successfully without any errors.
ECCD-410
Severity
Warning
Description
Input sdc file not specified.
What's Next
Specify the input sdc if automatic generation is not desired.
ECCD-411
Severity
Warning
Description
Input netlist not specified.
What's Next
Specify the input netlist if automatic generation is not desired.
36
ECO
ECO-100
Severity
Error
Description
File/Directory not found.
What's Next
Check if the file/directory exists.
ECO-101
Severity
Error
Description
Error while reading in file.
What's Next
Check if the file/directory exists and change permissions if necessary.
ECO-102
Severity
Error
Description
Invalid effort level for syn -to_map.
What's Next
The valid effort levels are 'low','medium' and 'high'.
ECO-103
Severity
Error
Description
Error while opening file for writing.
What's Next
Check if the file/directory exists and change permissions if necessary.
ECO-104
Severity
Error
Description
Error while executing the contents of the file.
What's Next
Check the syntax of the file contents.
ECO-150
Severity
Warning
Description
SDC file not specified.
What's Next
Synthesis will proceed without any timing constraints.
37
ECO_MANIPULATION
ECO_MANIPULATION-100
Severity
Error
Description
Addition of the object not possible.
What's Next
Addition of the object does not possible as the object already exists.
ECO_MANIPULATION-101
Severity
Error
Description
Deletion of the object not possible.
What's Next
Deletion of the object not possible as the object does not exist.
ECO_MANIPULATION-102
Severity
Error
Description
ECO command failed.
What's Next
ECO Manipulate command failed.
ECO_MANIPULATION-103
Severity
Warning
Description
ECO command ignored.
What's Next
ECO Manipulate command ignored.
38
ELAB
ELAB-1
Severity
Info
Description
Elaborating Design.
ELAB-2
Severity
Info
Description
Elaborating Subdesign.
ELAB-3
Severity
Info
Description
Done Elaborating Design.
ELAB-4
Severity
Info
Description
Unable to elaborate the design.
ELAB-5
Severity
Info
Description
Binding to architecture.
39
ELABUTL
ELABUTL-120
Severity
Error
Description
Inout/Output ports of an instance cannot be driven by supply0/supply1.
What's Next
Check port connectivity of an instance.
ELABUTL-121
Severity
Error
Description
Too many port connections on the instance.
What's Next
Check port connectivity of an instance.
ELABUTL-122
Severity
Error
Description
Invalid port name in module/cell.
What's Next
Check if technology libraries are specified correctly.
ELABUTL-126
Severity
Error
Description
Invalid bit position of port.
What's Next
Check port details.
ELABUTL-140
Severity
Error
Description
Illegal named port association for instantiation of module with one or more unnamed port
expressions.
What's Next
Only positional port association is allowed for instantiations of modules with one or more unnamed
port expressions.
ELABUTL-128
ELABUTL-129
ELABUTL-130
ELABUTL-131
ELABUTL-132
ELABUTL-133
ELABUTL-134
ELABUTL-135
ELABUTL-128
Severity
Info
Description
Undriven module output port.
What's Next
The 'hdl_unconnected_value' attribute controls treatment of undriven output port.
ELABUTL-129
Severity
Info
Description
What's Next
The 'hdl_unconnected_value' attribute controls treatment of unconnected input port.
ELABUTL-130
Severity
Info
Description
Undriven signal detected.
What's Next
The 'hdl_unconnected_value' attribute controls treatment of undriven signal.
ELABUTL-131
Severity
Info
Description
Undriven module input port.
What's Next
The 'hdl_unconnected_value' attribute controls treatment of undriven input port.
ELABUTL-132
Severity
Info
Description
Unused instance port.
What's Next
Please check the reported scenario of unconnected instance port to ensure that it matches the
design intent.
ELABUTL-133
Severity
Info
Description
To insure proper verification, preserved netlist point(s) because they are involved in combinational
loop(s). To disable this, set the 'cb_preserve_ports_nets' root attribute to 'false'.
ELABUTL-134
Severity
Info
Description
Using the (old) attribute value(s) for driving unconnected input/ undriven signal or output to set the
value of new variable "hdl_unconnected_value".
What's Next
To revert to old behaviour set the value of the attribute "hdl_use_new_undriven_handling" to 0.
ELABUTL-135
Severity
Info
Description
Pin/ port would be skipped from undriven handling (controlled by root attribute
"hdl_unconnected_value").
ELABUTL-124
ELABUTL-125
ELABUTL-127
ELABUTL-136
ELABUTL-137
ELABUTL-138
ELABUTL-139
ELABUTL-101
Severity
Warning
Description
Inout/Output ports of an instance cannot be driven by supply0/supply1.
What's Next
Check port connectivity of an instance.
ELABUTL-102
Severity
Warning
Description
Port connections on the instance are lesser than the number of declared ports on the module.
ELABUTL-123
Severity
Warning
Description
Undriven module output port.
ELABUTL-124
Severity
Warning
Description
Unconnected instance input port detected.
What's Next
Run check_design to check 'Undriven Port(s)/Pin(s)' section for all unconnected instance input
ports. It is better to double confirm with designer these unconnected instance input port are
expected. During syn_gen the unconnected instance input ports are controlled by attribute
'hdl_unconnected_value', the default value is 0.
ELABUTL-125
Severity
Warning
Description
Undriven signal detected.
What's Next
The undriven signal handling can be controlled by setting the attribute 'hdl_unconnected_value'
before syn_generic command.
ELABUTL-127
Severity
Warning
Description
Undriven module input port.
What's Next
Run check_design to check 'Undriven Port(s)/Pin(s)' section for all undriven module input ports. It is
better to double confirm with designer if these undriven ports are expected. During syn_gen the
undriven ports are controlled by attribute 'hdl_unconnected_value', the default value is 0.
ELABUTL-136
Severity
Warning
Description
Undriven module output port.
What's Next
Use the 'hdl_undriven_output_port_value' attribute to control treatment of undriven output port.
ELABUTL-137
Severity
Warning
Description
Unconnected instance input port detected.
What's Next
Use the 'hdl_unconnected_input_port_value' attribute to control treatment of unconnected input
port.
ELABUTL-138
Severity
Warning
Description
Undriven signal detected.
What's Next
Use the 'hdl_undriven_signal_value' attribute to control treatment of undriven net.
ELABUTL-139
Severity
Warning
Description
Undriven module input port.
What's Next
Use the 'hdl_undriven_signal_value' attribute to control treatment of undriven input port.
40
ENCRYPT
ENCRYPT-1
Severity
Error
Description
Invalid combination of arguments.
What's Next
The specified combination of arguments to this command is not valid.
ENCRYPT-3
Severity
Error
Description
The file to be encrypted does not exist or the current user does not have read permissions.
What's Next
Verify the specified encryption file exists and has proper read permissions.
41
ENV_PA
ENV_PA-31
Severity
Warning
Description
Overwrote the leakage power of instance.
What's Next
The user-defined leakage power value will be used for power analysis for this instance.
ENV_PA-32
Severity
Warning
Description
Overwrote the internal power of instance.
What's Next
The user-defined internal power value will be used for power analysis for this instance.
ENV_PA-33
Severity
Warning
Description
Cannot overwrite the leakage power of hierarchical instance.
What's Next
The user-defined leakage power value can only be set on leaf instances.
ENV_PA-34
Severity
Warning
Description
Cannot overwrite the internal power of hierarchical instance.
What's Next
The user-defined internal power value can only be set on leaf instances.
ENV_PA-35
Severity
Warning
Description
Overwriting libcell level attribute with instance level attribute.
What's Next
The instance level attribute takes precedence over the libcell level attribute and will be used for
power analysis for this instance.
ENV_PA-36
Severity
Warning
Description
In future releases setting max_leakage_power attribute will not enable leakage power optimization
on its own.
What's Next
Setting leakage_power_effort to 'none' will enable the backward compatible mode.
ENV_PA-37
Severity
Warning
Description
Leakage power optimization will still be enabled as leakage_power_effort is set to non-default
value.
42
FILE
FILE-100
Severity
Error
Description
File error.
What's Next
Make sure that the file is a readable regular file and has the specified name.
FILE-104
FILE-101
Severity
Warning
Description
Cannot access file.
What's Next
Permissions are not set correctly.
FILE-102
Severity
Warning
Description
Specified path is not a directory.
FILE-103
Severity
Warning
Description
Cannot access directory.
What's Next
Permissions are not set correctly.
FILE-104
Severity
Warning
Description
File error.
What's Next
Make sure that the file is a readable regular file and has the specified name.
43
FPLN
FPLN-1
Severity
Info
Description
Neither core box nor die box is defined.
FPLN-2
Severity
Info
Description
No ROWS defined in floorplan.
What's Next
Make sure that rows are defined in the DEF file with valid SITE types. If rows are not defined,
regenerate the floorplan DEF or use the 'create_row' command to create it.
FPLN-3
Severity
Info
Description
Some pre-placed ports are not marked as fixed.
What's Next
If you want to keep the original port location, change the port placement status to fixed.
FPLN-4
Severity
Info
Description
Not all pad cells are pre-placed and fixed.
FPLN-5
Severity
Info
Description
Not all hard macros are pre-placed and fixed.
What's Next
Usually, this error is caused by mismatched hierarchical names in RTL and DEF. Possible causes
resulting in this error could be - 1. if the RTL and DEF have the same type hard macros; 2. if there
are any added or reduced hard macros; 3. if there are any hierarchical update in RTL; 4. if there are
any ungroup/change_name commands which have changed the hierarchical/instance names of the
hard macros before read_def. Note that, if there are any hard macros in RTL but not in DEF, you
can use command 'predict_floorplan' to place and fix these hard macros.
FPLN-6
Severity
Info
Description
Placement constraint has extremely high utilization..
FPLN-7
Severity
Info
Description
Wrong partial density value for placement blockage.
FPLN-8
Severity
Info
Description
Floorplan ROW definitions reference unknown SITE type.
FPLN-9
Severity
Info
Description
Abnormal utilization detected for top design or a region.
What's Next
Examine it and make necessary changes in order to achieve good placement result.
FPLN-10
Severity
Info
Description
Not all physical-only cells are pre-placed and fixed.
FPLN-11
Severity
Info
Description
FPLN-12
Severity
Info
Description
Sites with no rows detected.
44
FSDB
FSDB-1
Severity
Error
Description
FSDB file does not exist.
What's Next
Ensure that the FSDB file exists in the current path.
FSDB-2
Severity
Error
Description
Specified design not found as top.
What's Next
Check the loaded designs using 'vls /designs/'.
45
FV
FV Warning Messages
FV Warning Messages
FV-101
FV-102
FV-103
FV-104
FV-201
FV-202
FV-203
FV-101
Severity
Warning
Description
Illegal value for the 'verification_directory_naming_style' attribute.
What's Next
An acceptable value must have zero or one '%s' plus zero or one '%d', in any order.
FV-102
Severity
Warning
Description
Illegal value for the 'fv_dp_info_file' attribute.
What's Next
The value must be a legal file name.
FV-103
Severity
Warning
Description
There are multiple top designs.
What's Next
This operation requires exactly one top design.
FV-104
Severity
Warning
Description
There is no top design.
What's Next
This operation requires exactly one top design.
FV-201
Severity
Warning
Description
Cannot open or create directory.
What's Next
Make sure you have 'write' permission for the specified directory.
FV-202
Severity
Warning
Description
Cannot open a file for writing.
What's Next
Make sure you have 'write' permission for the specified file.
FV-203
Severity
Warning
Description
Cannot open a file for reading.
What's Next
Make sure you have 'read' permission for the specified file.
46
GB
GB Information Messages
GB Warning Messages
GB Information Messages
GB-1
GB-2
GB-3
GB-4
GB-6
GB-9
GB-10
GB-11
GB-14
GB-1
Severity
Info
Description
Doing context sensitive CSA optimization.
GB-2
Severity
Info
Description
Generating Booth encoder for Product-Of-Sum form.
GB-3
Severity
Info
Description
Doing super operator transformations.
GB-4
Severity
Info
Description
Doing conservative CSA transformations.
GB-6
Severity
Info
Description
A datapath component has been ungrouped.
GB-9
Severity
Info
Description
Limiting optimization for formal verification.
GB-10
Severity
Info
Description
Report command will be executed during syn_map.
GB-11
Severity
Info
Description
Pre-mapped datapath logic has been changed to use cells from a new library domain.
GB-14
Severity
Info
Description
Rebuild multiplier based-on mode setting.
GB Warning Messages
GB-5
GB-12
GB-13
GB-5
Severity
Warning
Description
'GENERIC' version of datapath module not written due to port mismatch.
What's Next
Issue the 'write_hdl -lec' command prior to commands that can delete datapath module ports (such
as 'delete_unloaded_undriven') so that the ports match those in the 'GENERIC' version of the
module.
GB-12
Severity
Warning
Description
Ungrouping of datapath component causes deletion of some attributes.
GB-13
Severity
Warning
Description
Datapath logic with 'user_speed_grade' setting is not ungrouped.
47
GLO
GLO-34
GLO-40
GLO-41
GLO-42
GLO-43
GLO-45
GLO-46
GLO-47
GLO-48
GLO-49
GLO-51
GLO-52
GLO-53
GLO-12
Severity
Info
Description
Replacing a flip-flop with a logic constant 0.
What's Next
To prevent this optimization, set the 'optimize_constant_0_flops' root attribute to 'false' or
'optimize_constant_0_seq' instance attribute to 'false'. You can also see the complete list of deleted
sequential with command "report sequential -deleted" (on Reason "constant0").
GLO-13
Severity
Info
Description
Replacing a flip-flop with a logic constant 1.
What's Next
To prevent this optimization, set the 'optimize_constant_1_flops' root attribute to 'false' or
'optimize_constant_1_seq' instance attribute to 'false'.
GLO-14
Severity
Info
Description
Replacing a latch with a logic constant 0.
What's Next
This optimization was enabled by the root attribute 'optimize_constant_latches'.
GLO-15
Severity
Info
Description
Replacing a latch with a logic constant 1.
What's Next
This optimization was enabled by the root attribute 'optimize_constant_latches'.
GLO-16
Severity
Info
Description
Deleting a transparent latch.
What's Next
This optimization replaces a latch with a feedthrough.
GLO-17
Severity
Info
Description
Replacing a blocking latch with a logic constant 0.
What's Next
The value used to replace the latch can be set by the root attribute 'optimize_seq_x_to'.
GLO-18
Severity
Info
Description
Replacing a blocking latch with a logic constant 1.
What's Next
The value used to replace the latch can be set by the root attribute 'optimize_seq_x_to'.
GLO-19
Severity
Info
Description
Replacing a blocking latch with a dont care.
What's Next
The value used to replace the latch can be set by the root attribute 'optimize_seq_x_to'.
GLO-20
Severity
Info
Description
Replacing a blocking flip-flop with a dont care.
What's Next
The value used to replace the flop can be set by the root attribute 'optimize_seq_x_to'.
GLO-21
Severity
Info
Description
Replacing a blocking flip-flop with a logic constant 0.
What's Next
The value used to replace the flop can be set by the root attribute 'optimize_seq_x_to'.
GLO-22
Severity
Info
Description
Replacing a blocking flip-flop with a logic constant 1.
What's Next
The value used to replace the flop can be set by the root attribute 'optimize_seq_x_to'.
GLO-23
Severity
Info
Description
Replacing a dont care flip-flop with a dont care.
What's Next
The value used to replace the flop can be set by the root attribute 'optimize_seq_x_to'.
GLO-24
Severity
Info
Description
Replacing a dont care flip-flop with a logic constant 0.
What's Next
The value used to replace the flop can be set by the root attribute 'optimize_seq_x_to'.
GLO-25
Severity
Info
Description
Replacing a dont care flip-flop with a logic constant 1.
What's Next
The value used to replace the flop can be set by the root attribute 'optimize_seq_x_to'.
GLO-30
Severity
Info
Description
Replaced instances of avoided library cells.
What's Next
To disable this replacement, set the 'preserve' attribute on the affected instance(s) or the instances'
library cell, or remove the 'avoid' attribute on the instances' library cell.
GLO-31
Severity
Info
Description
Replaced instance of avoided library cell.
What's Next
To prevent this replacement, set the 'preserve' attribute to 'true' on the affected instance(s) or its
library cell, or set the 'avoid' attribute to 'false' on the library cell of the instance.
GLO-32
Severity
Info
Description
Deleting sequential instances not driving any primary outputs.
What's Next
Optimizations such as constant propagation or redundancy removal could change the connections
so an instance does not drive any primary outputs anymore. To see the list of deleted sequential,
set the 'information_level' attribute to 2 or above. If the message is truncated set the message
attribute 'truncate' to false to see the complete list.
GLO-33
Severity
Info
Description
Found floating hierarchical output.
What's Next
To see the list of floating hierarchical instances, set the 'information_level' attribute to 2 or above.If
the message is truncated set the message attribute 'truncate' to false to see the complete list.
GLO-34
Severity
Info
Description
Deleting instances not driving any primary outputs.
What's Next
Optimizations such as constant propagation or redundancy removal could change the connections
so a hierarchical instance does not drive any primary outputs anymore. To see the list of deleted
hierarchical instances, set the 'information_level' attribute to 2 or above. If the message is truncated
set the message attribute 'truncate' to false to see the complete list. To prevent this optimization, set
the 'delete_unloaded_insts' root/subdesign attribute to 'false' or 'preserve' instance attribute to 'true'.
GLO-40
Severity
Info
Description
Combinational hierarchical blocks with identical inputs have been merged.
What's Next
This optimization usually reduces design area. To prevent merging of combinational hierarchical
blocks, set the 'merge_combinational_hier_instances' root attribute to 'false' or the
'merge_combinational_hier_instance' instance attribute to 'false'.
GLO-41
Severity
Info
Description
Sequential hierarchical blocks with identical inputs have been merged.
What's Next
This can be turned off with '::legacy::set_attribute cse_sequential_hier_instances 0 /'.
GLO-42
Severity
Info
Description
Equivalent sequential instances have been merged.
What's Next
To prevent merging of sequential instances, set the 'optimize_merge_flops' and
'optimize_merge_latches' root attributes to 'false' or the 'optimize_merge_seq' instance attribute to
'false'.
GLO-43
Severity
Info
Description
Invert equivalent sequential instances have been merged.
What's Next
To prevent merging of sequential instances, set the 'optimize_merge_flops' and
'optimize_merge_latches' root attributes to 'false' or the 'optimize_merge_seq' instance attribute to
'false'.
GLO-45
Severity
Info
Description
Replacing the synchronous part of an always feeding back flip-flop with a logic constant.
What's Next
To prevent this optimization, set 'optimize_constant_feedback_seqs' root attribute to 'false'. The
instance attribute 'optimize_constant_feedback_seq' controls this optimization.
GLO-46
Severity
Info
Description
Combinational hierarchical instances are merged.
GLO-47
Severity
Info
Description
Sequential hierarchical instances are merged.
GLO-48
Severity
Info
Description
Replacing a clock gating instance with a logic constant 0.
GLO-49
Severity
Info
Description
Replacing a clock gating instance with a logic constant 1.
GLO-51
Severity
Info
Description
Hierarchical instance automatically ungrouped.
What's Next
Hierarchical instances can be automatically ungrouped to allow for better area or timing
optimization. To prevent this ungroup, set the root-level attribute 'auto_ungroup' to 'none'. You can
also prevent individual ungroup with setting the attribute 'ungroup_ok' of instances or modules to
'false'.
GLO-52
Severity
Info
Description
Skipping 'boundary_opto' = false setting since set on an internal created hierarchy.
What's Next
User is not allowed and should not need to disable boundary optimization on internal created
hierarchies.
GLO-53
Severity
Info
Description
Relaxing boundary_opto from 'strict_no' to 'false'.
What's Next
Setting boundary_opto 'false' allows boundary optimization (e.g. constant propagation) into the
module.
GLO-26
Severity
Warning
Description
Setting attribute 'optimize_constant_0_seq' only allowed for sequential instances.
What's Next
Attribute 'optimize_constant_0_seq' is evaluated only for sequential instances. Therefore changing
it for other instances is ignored.
GLO-27
Severity
Warning
Description
Setting attribute 'optimize_constant_1_seq' only allowed for sequential instances.
What's Next
Attribute 'optimize_constant_1_seq' is evaluated only for sequential instances. Therefore changing
it for other instances is ignored.
GLO-28
Severity
Warning
Description
Setting attribute 'optimize_merge_seq' only allowed for sequential instances.
What's Next
Attribute 'optimize_merge_seq' is evaluated only for sequential instances. Therefore changing it for
other instances is ignored.
GLO-29
Severity
Warning
Description
Setting attribute 'optimize_constant_feedback_seq' only allowed for sequential instances.
What's Next
Attribute 'optimize_constant_feedback_seq' is evaluated only for sequential instances. Therefore
changing it for other instances is ignored.
GLO-44
Severity
Warning
Description
No usable cell in library.
What's Next
Make sure library has usable cells.
GLO-54
Severity
Warning
Description
Invalid object type for attribute.
What's Next
Attribute is not applicable for leaf level object.
48
GSC
GSC-317
GSC-318
GSC-319
GSC-320
GSC-321
GSC-322
GSC-323
GSC-324
GSC-325
GSC-326
GSC-327
GSC-328
GSC-329
GSC-330
GSC-331
GSC-332
GSC-333
GSC-334
GSC-335
GSC-336
GSC-337
GSC-338
GSC-339
GSC-300
Severity
Error
Description
Exactly 2 pins should be present for unique gsc_pin_type.
What's Next
If pins have the same gsc_pin_function, and are of the gsc_pin_type unique, there must be exactly
2 pins.
GSC-301
Severity
Error
Description
More than 1 driver pin found for shared gsc_pin_function.
What's Next
There must be exactly 1 driver pin for pins having the same gsc_pin_function.
GSC-302
Severity
Error
Description
No driver pin found for shared gsc_pin_function.
What's Next
There must be exactly 1 driver pin for pins having the same gsc_pin_function.
GSC-303
Severity
Error
Description
No receiver pin found for shared gsc_pin_function.
What's Next
At least 1 receiver pin should be specified.
GSC-304
Severity
Error
Description
2 terminal pins must exist for a daisy chained network.
What's Next
For pins of daisy chained network having the same gsc_pin_function, there must be exactly 2 pins
with a gsc_pin_type of terminal.
GSC-305
Severity
Error
Description
No terminal pins found for a daisy chained network.
What's Next
For pins of daisy chained network having the same gsc_pin_function, there must be exactly 2 pins
with a gsc_pin_type of terminal.
GSC-306
Severity
Error
Description
An even number of chained pins are required.
What's Next
For pins of daisy chained network having the same gsc_pin_function, there must be an even
number of pins with a gsc_pin_type of chained.
GSC-307
Severity
Error
Description
No primary driver pin for daisy chained network.
What's Next
For pins of a daisy chained network having the same gsc_pin_function, there must be a main driver
pin with a gsc_pin_type of terminal.
GSC-308
Severity
Error
Description
No primary receiver pin for daisy chained network.
What's Next
For pins of a daisy chained network having the same gsc_pin_function, there must be a main
receiver pin with a gsc_pin_type of terminal.
GSC-309
Severity
Error
Description
Chained driver/receiver pins must be equal.
What's Next
For pins of a daisy chained network having the same gsc_pin_function, there must be an equal
number of receiver and driver pins with a gsc_pin_type of chained.
GSC-310
Severity
Error
Description
Could not create file.
What's Next
Verify permissions are correct, and that there is enough disk space.
GSC-311
Severity
Error
Description
Could not create temporary directory.
What's Next
Verify permissions are correct, and that there is enough disk space.
GSC-312
Severity
Error
Description
No pins specified.
What's Next
No pins specified and no starting location specified. Specify a starting location with -start
<instance>.
GSC-313
Severity
Error
Description
No parent module found.
What's Next
Attempting to get parent module for top level design. This module does not have a parent module.
GSC-314
Severity
Error
Description
A user specified pin order has been specified via the gsc_pin_order attribute, but not all pins in the
daisy chained network have a value set for the gsc_pin_order attribute.
What's Next
Specify a valid gsc_pin_order on all pins that belong to the daisy chained network.
GSC-315
Severity
Error
Description
The minimum gsc_pin_order value for a user specified pin order has a non zero value.
What's Next
The minimum value is required to be zero.
GSC-316
Severity
Error
Description
The minimum and maximum gsc_pin_order values cannot be the same.
What's Next
The minimum and maximum values are required to be different.
GSC-317
Severity
Error
Description
All values for gsc_pin_order must be accounted for in the range from minimum to maximum.
What's Next
Make sure all pins in the network have the correct gsc_pin_order value specified.
GSC-318
Severity
Error
Description
The same gsc_pin_order has been specified on multiple pins.
What's Next
Make sure all pins in the network have the correct gsc_pin_order value specified.
GSC-319
Severity
Error
Description
The terminal head pin must use the maximum value gsc_pin_order value (n) and the tail pin must
use the minimum gsc_pin_order value (0).
What's Next
Make sure all pins in the network have the correct gsc_pin_order value specified.
GSC-320
Severity
Error
Description
The receiver pin in the shared network is already being driven.
What's Next
Receiver pins in a shared network must not have existing drivers.
GSC-321
Severity
Error
Description
The receiver pin in the shared network is already connected to another receiver pin.
What's Next
Receiver pins in a shared network must not already be connected to each other.
GSC-322
Severity
Error
Description
Attempting to connect to an existing pin that is not on the path from the main driver for the network.
What's Next
Connecting the specified shared network would make an invalid connection. Ensure the receiver
pins for the shared network are correctly specified. If reused modules are present, perform
uniquification to resolve the issue.
GSC-323
Severity
Error
Description
A connection has been found on a pin with the gsc_pin_type of open.
What's Next
If the open attribute is correct, this is probably due to reused modules. Perform uniquification on the
logic first, and then rerun.
GSC-324
Severity
Error
Description
Multiple pin order values found for the gsc_pin_order attribute. Could not find the pin order value for
the specified gsc_pin_function.
What's Next
If a common head pin (or driver) is being used for multiple daisy chained networks and a pin order
has been specified via the gsc_pin_order attribute, then a pin order must be specified for all
networks. The order of the networks and the order of the pin order values in their respective
attributes must be consistent. Ensure that all pin orders have been specified and then rerun.
GSC-325
Severity
Error
Description
The number of pin order values must equal the number of pin functions specified for the pin.
What's Next
If a common head pin (or driver) is being used for multiple daisy chained networks and a pin order
has been specified via the gsc_pin_order attribute, then a pin order must be specified for all
networks. The order of the networks and the order of the pin order values in their respective
attributes must be consistent. Ensure that all pin orders have been specified and then rerun.
GSC-326
Severity
Error
Description
Multiple pin orders can only be specified on the head pin for a daisy chained network.
What's Next
If a common head pin (or driver) is being used for multiple daisy chained networks and a pin order
has been specified via the gsc_pin_order attribute, then a pin order must be specified for all
networks. The order of the networks and the order of the pin order values in their respective
attributes must be consistent. Ensure that all pin orders have been specified and then rerun.
GSC-327
Severity
Error
Description
An inverter is required between the driver and receiver pin, but a connection already exists between
the driver and receiver pin that is not inverted.
What's Next
The most likely cause of this is reused modules. If reused modules are present, ensure the
polarities are consistent for each instance.
GSC-328
Severity
Error
Description
An inverter is not required between the driver and receiver pin, but an inverter already exists
between the driver and receiver pin.
What's Next
The most likely cause of this is reused modules. If reused modules are present, ensure the
polarities are consistent for each instance.
GSC-329
Severity
Error
Description
Exactly one driver pin and one receiver pin is allowed for a unique network.
What's Next
Specify one driver pin (output pin or input port) and one receiver pin (input pin or output port). If two
input pins or two output pins are to be connected, use the gsc_pin_class attribute to designate one
pin as the driver and the other as the receiver.
GSC-330
Severity
Error
Description
The receiver pin in the unique network is already being driven.
What's Next
The receiver pin in a unique network must not have existing drivers.
GSC-331
Severity
Error
Description
A output pin was specified for a constant connection. Only input pins are allowed.
What's Next
Specify an input pin to have a constant connection.
GSC-332
Severity
Error
Description
The pin specified to have a constant connection is already connected to a non constant value, or
the opposite constant value.
What's Next
The pin can only have an existing connection to a constant of the same value that is desired.
GSC-333
Severity
Error
Description
The receiver pin in the daisy-chained network is already being driven.
What's Next
Receiver pins in a daisy-chained network must not have existing drivers.
GSC-334
Severity
Error
Description
A mixture of gsc_pin_type values were found for the gsc_pin_function.
What's Next
All pins for a gsc_pin_function have to be of the same type. Only pins in a daisy-chained network
may have 2 types of pins specified: terminal and chained.
GSC-335
Severity
Error
Description
An invalid value was given to the gsc_pin_type attribute.
What's Next
Valid values are: chained terminal open constant shared unique.
GSC-336
Severity
Error
Description
The object specified already has an existing value for the gsc_pin_type attribute.
What's Next
If a different value is required, first clear the value by setting it to an empty string, and then specify
the new value.
GSC-337
Severity
Error
Description
An invalid character was found in the gsc_pin_function string. The / character is not allowed.
What's Next
Specify a gsc_pin_function without / characters.
GSC-338
Severity
Error
Description
Could not create work directory.
What's Next
Verify permissions are correct, and that there is enough disk space.
GSC-339
Severity
Error
Description
Created a connection with multiple drivers.
What's Next
The most likely cause of the multi driver connection is reused modules. Ensure the correct pins
have been specified in the network. If the correct pins are specified, uniquify the portion of the netlist
that is reused and run again. The output of dft_trace_back from the mentioned pin is provided
above.
GSC-1
GSC-2
GSC-1
Severity
Info
Description
No pins specified.
GSC-2
Severity
Info
Description
No pins with general stitching code attributes found.
What's Next
Found no pin with gsc_pin_function attributes.
GSC-102
GSC-100
Severity
Warning
Description
Unique pins should have the same polarity value.
What's Next
Two pins that have the same value for gsc_pin_function, with a gsc_pin_type of unique must have
the same value for the attribute gsc_pin_polarity.
GSC-101
Severity
Warning
Description
Disconnected a constant driver.
What's Next
Default constant connection is being replaced by dft functional connection.
GSC-102
Severity
Warning
Description
Unique pins have different polarity values.
What's Next
Two pins that have the same value for gsc_pin_function, with a gsc_pin_type of have different
values for the attribute gsc_pin_polarity. An inverter will be inserted.
49
HDL
HDL-2
Severity
Error
Description
Argument to '-lib' must be an identifier or assignment.
What's Next
Specify the VHDL library name using the 'read_hdl -lib' argument. For example,
HDL-3
Severity
Error
Description
Conflicting options specified with the 'read_hdl' command.
What's Next
Use any one of the '-vhdl', '-v1995', '-v2001', '-sv' or the '-mixvlog' option to read in HDL files. For
more information on Hardware Description Languages, refer to 'HDL Modeling Guide'.
HDL-6
Severity
Error
Description
Bad option(s) specified with the 'update_hdl_input' command.
What's Next
Use 'update_hdl_input -h' for valid options.
HDL-12
Severity
Error
Description
Conflicting options specified with 'read_hdl' command.
What's Next
The following options cannot be combined with '-f' option :
HDL-13
Severity
Error
Description
Multiple 'read_hdl' are not allowed with '-f' option.
What's Next
All the design files to be read can be given inside list file.
HDL-14
Severity
Error
Description
File or directory not found.
What's Next
The specified file could not be opened. Check the path of file.
HDL-15
Severity
Error
Description
Wrong usage of '-exclude' option.
What's Next
Usage: '-y dir1/ -exclude {sub_dir1/ file1}'.
HDL-16
Severity
Error
Description
Bad token starting with backslash found.
What's Next
Unlike TCL syntax, the option_file provided with 'read_hdl -f' does not need trailing backslash at the
end of line for continuation of command. For more details refer to 'Reading Designs in Simulation
Environment' section in 'Genus Synthesis Flows Guide'
HDL-17
Severity
Error
Description
Found illegal attribute value.
What's Next
Signal names must be separated by spaces.
HDL-18
Severity
Error
Description
Reference to undefined dollar variable in simulation option file.
What's Next
A tcl or environment variable must be defined before its reference.
HDL-19
Severity
Error
Description
Incorrect string specified for '-language' option of read_hdl command.
What's Next
Use one of the following options for language: 'v1995', 'v2001', 'sv', 'vhdl', 'mixvlog'.
HDL-20
Severity
Error
Description
Incorrect string specified for '-language' option of read_netlist or read_hdl -netlist command.
What's Next
Use the following option for language: 'v1995'.
HDL-22
Severity
Error
Description
Incorrect string specified as the language option for the command.
What's Next
Use one of the following options : '-v1995', '-v2001', '-sv'.
HDL-23
Severity
Error
Description
Incorrect or empty string specified as the filename extension for the command.
What's Next
Incorrect extension name specified.
HDL-8
HDL-9
HDL-10
HDL-11
HDL-21
HDL-24
HDL-25
HDL-26
HDL-4
Severity
Warning
Description
Options not supported with 'read_hdl -netlist'.
What's Next
The following options are ignored when they are given in combination with the 'read_hdl -netlist'
command:
HDL-7
Severity
Warning
Description
Unusual option(s) specified with the 'update_hdl_input' command.
HDL-8
Severity
Warning
Description
File has been modified.
HDL-9
Severity
Warning
Description
File has been modified.
HDL-10
Severity
Warning
Description
File not read through read_hdl command.
HDL-11
Severity
Warning
Description
Option is deprecated.
HDL-21
Severity
Warning
Description
Bad filename found while processing '-f' option of 'read_hdl' command.
HDL-24
Severity
Warning
Description
Ignoring command 'set_module_location'.
HDL-25
Severity
Warning
Description
Ignoring option.
HDL-26
Severity
Warning
Description
Incompatible option for LEC verification.
50
HF
HF Error Messages
HF Information Messages
HF Warning Messages
HF Error Messages
HF-100
HF-101
HF-111
HF-112
HF-100
Severity
Error
Description
No unique design found.
What's Next
Obsolete message.
HF-101
Severity
Error
Description
Partition subdesign has multiple instances.
What's Next
Obsolete message.
HF-111
Severity
Error
Description
Data directory not found.
What's Next
Obsolete message.
HF-112
Severity
Error
Description
Input file not found.
What's Next
Obsolete message.
HF Information Messages
HF-113
HF-114
HF-113
Severity
Info
Description
DEF file is not created for ILM.
What's Next
Obsolete message.
HF-114
Severity
Info
Description
File is not found for ILM.
What's Next
Obsolete message.
HF Warning Messages
HF-110
HF-110
Severity
Warning
Description
Output directory already exists.
What's Next
Obsolete message.
51
HIER
HIER-131
HIER-100
Severity
Error
Description
No unique design found.
What's Next
Check if there is a design provided in the command arguments. If not, there should be only one
design loaded.
HIER-101
Severity
Error
Description
Partition subdesign has multiple instances.
What's Next
Check if there exists multiple instances of subdesign provided as partition. If so uniquify the partition
module.
HIER-104
Severity
Error
Description
Top-level DEF is not loaded.
What's Next
Hierarchical DEF for ILM modules cannot be loaded without top DEF. Run 'assemble_design'
again after reading top DEF or use 'read_ilm -logical' to skip DEF loading.
HIER-105
Severity
Error
Description
Top-level SDC is not loaded.
What's Next
For multi-mode design timing-modes must be created before running 'assemble_design'.
HIER-106
Severity
Error
Description
Missing rc_corner details.
What's Next
Could not find a rc_corner associated with default setup analysis_view.
HIER-107
Severity
Error
Description
Top-level view/mode name is not correct.
What's Next
When 'config_view_name_mapping' is used with 'assemble_design', correct top level view/mode
name must be provided.
HIER-108
Severity
Error
Description
View/Mode name given in ILM config file is not correct.
What's Next
Provide the correct view/mode name which is present at top level. Potentially use '-
config_view_name_mapping' option with 'assemble_design' to map the ILM view/mode name with
top level view/mode name.
HIER-111
Severity
Error
Description
Data directory not found.
What's Next
The ILM data directory could not be found. Check the path again.
HIER-112
Severity
Error
Description
Input file not found.
What's Next
The file could not be found. Check the path again and check if the file exists.
HIER-118
Severity
Error
Description
For ILM generation mode "-basename" option is required.
What's Next
Need to provide output file name through "-basename" option for ILM non-preview mode.
HIER-119
Severity
Error
Description
ILM data cannot be loaded as elaborated design exists.
What's Next
The ILM data should be loaded before elaborating the top-level design.
HIER-123
Severity
Error
Description
Failed to apply place_file.
What's Next
Check ILM generation setup.
HIER-124
Severity
Error
Description
Flow order is incorrect, 'init_design' must be run before 'assemble_design'.
What's Next
Correct flow to call 'init_design' before 'assemble_design'.
HIER-125
Severity
Error
Description
Innovus ILM with place file requires associated LEF file.
What's Next
Specify the associated LEF file for the ILM with 'read_ilm -lef_file <file>'.
HIER-126
Severity
Error
Description
Innovus ILM with multiple configuration files for non-MMMC mode.
What's Next
Update the Innovus ILM catalog file to specify only one configuration file.
HIER-127
Severity
Error
Description
Non Innovus ILM or SHDB passed to 'read_ilm_from_files -innovus'.
What's Next
The '-innovus' option can only be used with Innovus ILM or SHDB.
HIER-130
Severity
Error
Description
Toplevel view is not correct.
What's Next
The specified toplevel view does not exist. Check the '-view_map' option and retry.
HIER-131
Severity
Error
Description
Toplevel corner is not correct.
What's Next
The specified toplevel corner does not exist. Check the '-corner_map' option and retry.
HIER-114
HIER-115
HIER-116
HIER-117
HIER-121
HIER-122
HIER-102
Severity
Info
Description
Finished ILM loading.
HIER-113
Severity
Info
Description
DEF file is not created for ILM.
What's Next
A floorplan is not read. So DEF file is not written for ILM. Load the floorplan using 'read_def'.
HIER-114
Severity
Info
Description
File is not found for ILM.
What's Next
Check the path and filename again and check if the file exists.
HIER-115
Severity
Info
Description
ILM database generation finished.
HIER-116
Severity
Info
Description
What's Next
Reduction statistics in preview mode could be different from generated ILM due to redundant logic
removal.
HIER-117
Severity
Info
Description
For ILM preview mode "-basename" option is not required.
What's Next
Preview mode is enabled and hence "-basename" option is ignored.
HIER-121
Severity
Info
Description
Internal paths detected in ILM.
What's Next
Check ILM generation setup. Also mechanisms like cost-groups, path-adjust, path-disable etc. can
be used to disable such paths during top-level optimization.
HIER-122
Severity
Info
Description
Disabling internal paths in the ILM modules.
What's Next
Disabling internal paths in the ILM modules. To revert this set attribute 'ilm_disable_internal_paths'
false.
HIER-110
Severity
Warning
Description
What's Next
The directory to be used to store output data already exists. The data will be overwritten with new
generated data.
HIER-120
Severity
Warning
Description
Detected duplicate module definitions during 'read_ilm' command.
What's Next
Check that the modules reported in logfile are intended to be replaced by later definition.
52
HLO
HLO-1
Severity
Error
Description
The attribute must be set before elaboration.
What's Next
Setting the attribute after elaboration can impact quality of results. The attribute must be set before
elaboration.
HLO-2
Severity
Error
Description
Incorrect value specified for attribute.
What's Next
A correct value must be specified.
HLO-3
Severity
Warning
Description
The specified value disables optimizations that have been fully qualified and may impact quality of
results.
HLO-4
Severity
Warning
Description
The specified value enables optimizations that have not been fully qualified and may impact quality
of results.
53
HPT
HPT-41
Severity
Error
Description
Cannot open file.
What's Next
The specified file could not be opened. Check the path of the file.
HPT-67
Severity
Error
Description
NULL file information passed to routine.
What's Next
Error during Parsing.
HPT-74
Severity
Error
Description
Ambiguous module reference.
What's Next
Error during Parsing.
HPT-81
Severity
Error
Description
Non-structural construct.
What's Next
Only structural HDL input is supported in this mode.
HPT-82
Severity
Error
Description
VHDL entity declaration not specified for ChipWare component.
What's Next
A file containing the VHDL entity declaration must be specified for a ChipWare component if any of
the component implementations are written in VHDL. The path to the entity declaration file is
specified via the 'location' attribute on the component vdir.
HPT-88
Severity
Error
Description
Cannot mix VHDL 2008 files with previous VHDL versions.
What's Next
Avoid mixing incompatible VHDL versions.
HPT-89
Severity
Error
Description
No module exists for design element of Verilog configuration.
What's Next
Make sure module with the configuration design name exists.
HPT-92
Severity
Error
Description
Unable to implement bindings specified via configuration.
What's Next
Rectify top module names in 'design' statement in configuration.
HPT-94
Severity
Error
Description
Cell clause present in configuration cannot be configuration name itself.
What's Next
Rectify cell clause name in 'cell' statement in configuration.
HPT-95
Severity
Error
Description
Cell clause present in configuration instantiates another configuration with mismatching top design
name.
What's Next
Rectify top design name in hierarchical configuration.
HPT-78
Severity
Info
Description
Freeing module.
HPT-62
HPT-76
HPT-83
HPT-84
HPT-85
HPT-86
HPT-87
HPT-90
HPT-91
HPT-93
HPT-96
HPT-97
HPT-5
Severity
Warning
Description
Multiple versions of module found in module pool during elaboration.
HPT-62
Severity
Warning
Description
NULL handle passed to routine.
HPT-76
Severity
Warning
Description
Replacing previously read Verilog module or VHDL entity.
What's Next
A newly read VHDL entity replaces any previously read Verilog module or VHDL entity in the same
library if its name matches (case-insensitively) the existing module or entity.
HPT-83
Severity
Warning
Description
Missing synthesis model for a ChipWare component.
What's Next
The synthesis model corresponding to a particular implementation of a ChipWare component was
not found in the specified file. The file was specified via the 'location' attribute on the
implementation directory. Check that the file contains the synthesis model, and that the model
follows the required naming convention. A Verilog synthesis model should be named:
'<component_name>__<implementation_name>'. A VHDL synthesis model should have an
architecture named '<implementation_name>'.
HPT-84
Severity
Warning
Description
Ignoring conflicting instance configuration.
What's Next
An instance has been configured through two conflicting configuration constructs.
HPT-85
Severity
Warning
Description
Redundant instance configuration.
What's Next
An instance has been configured the same way twice.
HPT-86
Severity
Warning
Description
Replacing previous configuration with the same name.
What's Next
Latest configuration with the same name is retained.
HPT-87
Severity
Warning
Description
File does not contain the VHDL entity for ChipWare component.
What's Next
The VHDL entity declaration corresponding to a given ChipWare component was not found in the
file specified via the 'location' attribute on the component vdir. Check that the file contains the entity
declaration, and that the entity name is identical to the component name.
HPT-90
Severity
Warning
Description
Top module given in configuration not found.
What's Next
Make sure top module is present in design.
HPT-91
Severity
Warning
Description
Top module mentioned in configuration 'design' statement is not top level.
What's Next
Make sure top module in configuration is not instantiated.
HPT-93
Severity
Warning
Description
Erroneous top module name in instance clause.
What's Next
Rectify top module name in 'instance' statement in configuration.
HPT-96
Severity
Warning
Description
Duplicate module definition.
HPT-97
Severity
Warning
Description
What's Next
Rectify library name in configuration 'design' statement.
54
INVS
INVS-1
Severity
Error
Description
Innovus executable not found.
What's Next
The Innovus executable was not found. Assure that 'innovus' is in the path and retry the command.
INVS-9
Severity
Error
Description
Innovus failed to launch.
What's Next
The Innovus executable could not launch. Ensure that you have the INNOVUS environment
variable properly defined or that the Innovus executable is in your path. Restart the tool after you
have properly defined the variable or set up the path.
INVS-14
Severity
Error
Description
Innovus batch job did not finish properly.
What's Next
An error occurred with the Innovus batch job. Check log for details on the errors. The innovus log
file that is generated is genus2invs__<pid><design>/innovus.log. If you have specified
invs_temp_dir attribute, your innovus logfile is <invs_temp_dir>/innovus.log. Run the command
again after correcting the errors.
INVS-16
Severity
Error
Description
Innovus command failed.
What's Next
A command failed in innovus batch job. See the logfile for additional details. The logfile is
genus2invs__<pid><design>/innovus.log by default. If you have specified invs_temp_dir attribute,
your innovus log file is <invs_temp_dir>/innovus.log.
INVS-22
Severity
Error
Description
Specified library file is a directory.
What's Next
The cited library file is a directory. Mention individual library file names instead.
INVS-24
Severity
Error
Description
Incorrect attribute value.
What's Next
Attribute value can only be between 0 and 1.
INVS-25
Severity
Error
Description
This attribute will not be communicated to Innovus and is not used within Genus.
What's Next
Seek Cadence support to understand shared attributes.
INVS-29
Severity
Error
Description
The specified Innovus is not compatible with this version of Genus.
What's Next
Innovus and Genus are most compatible at the same major version.
INVS-8
Severity
Info
Description
Innovus executable found.
What's Next
The specified Innovus executable will be used for the Innovus batch jobs.
INVS-13
Severity
Info
Description
The design has been changed by Innovus.
What's Next
The design was changed during QoS prediction. This may include netlist structural changes.
INVS-17
Severity
Info
Description
The following license was used for the Innovus session.
What's Next
The specified license was used for the Innovus session. If the used license is not what you expect,
use the invs_license_flag attribute to specify a particular license. You can specify the innovus
command line option directly. For example, if you want to invoke Innovus with VDI-XL license,
specify the license_startup option to invs_license_falg attribute. ex.) set_db invs_license_flag '-
lic_startup vdixl'
INVS-18
Severity
Info
Description
The following executable version was used for the Innovus session.
What's Next
The specified Innovus version was used for the Innovus batch job.
INVS-21
Severity
Info
Description
An Innovus license will be checked out.
What's Next
A license will be checked out for the Innovus batch job. The license will be checked in when the job
is finished.
INVS-2
Severity
Warning
Description
Innovus executable not found.
What's Next
The Innovus executable was not found. Assure that 'innovus' is in the path if Innovus based
operations are desired.
INVS-3
Severity
Warning
Description
Configuration file construct ignored.
What's Next
The specified construct is not supported.
INVS-4
Severity
Warning
Description
Globals file construct ignored.
What's Next
The specified construct is not supported.
INVS-6
Severity
Warning
Description
Problems detected during configuration file generation. See logfile for details.
What's Next
One of more problems where detected as a result of generating the configuration file. The file
contents may not be as expected.
INVS-10
Severity
Warning
Description
Unrecognized floorplan or placement format based on file extension.
What's Next
The physical data file format specified by the file extension is not recognized.
INVS-15
Severity
Warning
Description
Preferred Innovus mode or command not available.
What's Next
The preferred Innovus mode of operation or command was not found. Less optimal command(s) will
be used or the operation will be skipped. This will result in a less than optimal result.
INVS-23
Severity
Warning
Description
CPU number more than default. Additional licenses will be checked out.
What's Next
By default 8 CPUs are permitted to be used by Innovus. Additional licenses will be checked out if
more than 8 CPUs are specified to be used through attribute 'invs_cpu_usage'.
INVS-26
Severity
Warning
Description
A mismatch was detected in Genus within base_cell and lib_cell values for dont_use or dont_touch.
What's Next
Innovus only supports cell based dont_use/dont_touch on base_cells. The most restrictive set value
for a base_cell and its associated lib_cells will be used.
INVS-27
Severity
Warning
Description
The 'set_global' command is intended for compatibility with known Innovus variables and attributes.
What's Next
Use 'set_db' or 'set_attribute' directly for Genus attributes.
INVS-28
Severity
Warning
Description
This version of Genus contains attributes and Mode commands for compatibility with Innovus based
on a different major release.
What's Next
Align your Genus and Innovus releases.
55
INVS_MSV
INVS_MSV-101
Severity
Error
Description
There was a problem accessing the given file.
What's Next
Give a different file name.
INVS_MSV-102
Severity
Error
Description
Unable to create temporary files.
What's Next
There are too many files with the temporary prefix.
INVS_MSV-103
Severity
Error
Description
A design with the given design name does not exist.
What's Next
Supply a valid design name.
INVS_MSV-104
Severity
Error
Description
The design is not supported by Innovus.
What's Next
Make sure that all instances in a power domain are in a single library domain.
INVS_MSV-301
Severity
Info
Description
Design has no library or power domains.
What's Next
No power domains will be created for Innovus.
56
LBR
LBR-86
LBR-94
LBR-95
LBR-99
LBR-107
LBR-108
LBR-112
LBR-113
LBR-115
LBR-116
LBR-119
LBR-125
LBR-127
LBR-128
LBR-130
LBR-131
LBR-132
LBR-133
LBR-138
LBR-144
LBR-145
LBR-154
LBR-163
LBR-164
LBR-171
LBR-172
LBR-199
LBR-214
LBR-231
LBR-354
LBR-355
LBR-367
LBR-407
LBR-411
LBR-502
LBR-507
LBR-508
LBR-509
LBR-517
LBR-521
LBR-522
LBR-523
LBR-527
LBR-528
LBR-530
LBR-533
LBR-552
LBR-553
LBR-554
LBR-600
LBR-601
LBR-608
LBR-611
LBR-612
LBR-613
LBR-614
LBR-615
LBR-616
LBR-617
LBR-618
LBR-619
LBR-707
LBR-710
LBR-17
Severity
Error
Description
A test_cell group is missing the ff group.
What's Next
Check the 'Scan Cell Requirements' section in the 'Library Guide' for more information.
LBR-19
Severity
Error
Description
Library name multiply defined.
What's Next
To read both libraries, change the name of the library in the second library file and then reload the
library.
LBR-28
Severity
Error
Description
Loading libraries.
What's Next
Syntax error in the current library.
LBR-29
Severity
Error
Description
What's Next
An attempt was made to unavoid a library cell that did not succeed. This is usually due to another
attribute on the lib-cell that is causing it to remain avoided (e.g. the lib-cell is preserved (dont-used)
or its function/timing may be too complex).
LBR-32
Severity
Error
Description
Invalid operating_conditions name.
What's Next
Use ls to see the valid operating_conditions in the libraries.
LBR-33
Severity
Error
Description
Non-unique operating_conditions name.
What's Next
Use a more unique name to ensure that a single operating_conditions object is specified.
LBR-35
Severity
Error
Description
Invalid library arc name.
What's Next
Use ls to see the valid arcs for the library pin.
LBR-44
Severity
Error
Description
Unsupported bus-pin format found in library.
What's Next
Valid format is A[0]|A[0:1] or the one specified by bus_naming_style attribute.
LBR-45
Severity
Error
Description
Dis-parity in pin direction within a bus in library definition.
What's Next
A libcell is ignored if 'direction' attribute is missing or wrong for one of its pins.
LBR-53
Severity
Error
Description
Library has bad unit.
What's Next
Current library has bad unit.
LBR-56
Severity
Error
Description
Computed internal power unit is 0.
What's Next
Current library has either bad time unit or capacitance unit or voltage unit. Bad library.
LBR-59
Severity
Error
Description
Pin was declared as a bus, but it is missing a 'bus_type' qualifier.
What's Next
Ensure that all busses have a corresponding 'bus_type' in the loaded library.
LBR-60
Severity
Error
Description
Pin was declared as a bus with an undefined 'bus_type'.
What's Next
Libcell will be ignored. Ensure that all bus_types that are declared are defined in the appropriate
library cell of the loaded library.
LBR-68
Severity
Error
Description
A library file does not exist.
What's Next
Make sure that the library file exists or check for a typo in the file name.
LBR-69
Severity
Error
Description
A library file does not have the correct format.
What's Next
Check whether the file is corrupted or if it follows the .lib format.
LBR-80
Severity
Error
Description
Invalid syntax.
What's Next
Ensure the syntax specified in the attribute conforms to Liberty standards.
LBR-82
Severity
Error
Description
Internal node not found.
What's Next
Ensure that the 'internal_node' name corresponds to a node identifier specified on a 'statetable' in
the loaded library.
LBR-86
Severity
Error
Description
The attribute was specified in incorrect order.
What's Next
The attribute needs to be set after reading the target-library.
LBR-94
Severity
Error
Description
'when' attribute missing from multiple leakage power groups.
What's Next
To take the 'leakage_power' group into account during power estimation, fix the library first and
restart your synthesis session. For more information, refer to the Liberty Reference Manual.
LBR-95
Severity
Error
Description
The attribute dont_touch/dont_use cannot be reset.The libcell has an inconsistency across mmmc
views.
What's Next
Fix the inconsistency and then try again.
LBR-99
Severity
Error
Description
Cannot process state table for clock-gating integrated cell.
What's Next
The input node names in the state table must match the cell input pin names.
LBR-107
Severity
Error
Description
Failed to create a library domain.
What's Next
The tool cannot create library domains after the Liberty or LEF libraries have been loaded. You
must create the library domain before reading in any of the libraries.
LBR-108
Severity
Error
Description
Failed to create a library domain.
What's Next
The tool cannot create the library domain because a library domain with the same name exists. You
must use a unique library domain name.
LBR-112
Severity
Error
Description
Cannot set is_default attribute to false.
What's Next
Set the is_default attribute on another library domain to true to change the default library domain.
LBR-113
Severity
Error
Description
Cannot set is_default attribute to a library domain without loading libraries first.
What's Next
Load the libraries first by setting 'library' attribute on this library domain.
LBR-115
Severity
Error
Description
Cannot process libraries loaded into the domain.
What's Next
Ensure the loaded libraries contain only valid and supported syntax and your operating conditions
are supported by the loaded libraries.
LBR-116
Severity
Error
Description
Elaborate error; No target technology library was loaded.
What's Next
Tool couldn't read technology library before elaborate. Try to load the libraries by setting root
attribute to "library" before elaborate.
LBR-119
Severity
Error
Description
The attribute cannot be set before libraries are loaded.
What's Next
You will be able to set this attribute after you load libraries.
LBR-125
Severity
Error
Description
Option '-flexible' not supported for renaming library domains.
What's Next
Rename the object with the desired name so that the target name is available.
LBR-127
Severity
Error
Description
Library domain cannot be renamed.
What's Next
Renaming of this library domain is not allowed.
LBR-128
Severity
Error
Description
Library domain attribute cannot be copied.
What's Next
Either source/destination library domain is not allowed.
LBR-130
Severity
Error
Description
Parsing error.
What's Next
Invalid liberty syntax is parsed, or unsupported liberty syntax is encountered.
LBR-131
Severity
Error
Description
Processing error.
What's Next
Problem processing timing group.
LBR-132
Severity
Error
Description
Processing error.
What's Next
Problem processing internal_power group.
LBR-133
Severity
Error
Description
There was a bundle error in the loaded library.
What's Next
Refer to the Liberty Guide for more information on how to resolve bundle errors.
LBR-138
Severity
Error
Description
Duplicated definition for a variable.
What's Next
Ensure the loaded library does not contain any cells with multiple declarations of the same variable.
LBR-144
Severity
Error
Description
The loaded library contained syntax or constructs that did not conform to Liberty standards.
What's Next
Refer to the Liberty Guide for more information on how to resolve this error.
LBR-145
Severity
Error
Description
The delay_model specification either did not conform to the Liberty standards or was ill-formed.
What's Next
Ensure that all models in the loaded library conform to the Liberty standards.
LBR-154
Severity
Error
Description
Invalid operator.
What's Next
Use valid boolean operators.
LBR-163
Severity
Error
Description
Failed to execute command.
What's Next
Specify libraries using read_libs or read_mmmc.
LBR-164
Severity
Error
Description
Cannot set power_library/leakage_power_library/dynamic_power_library attribute to a library
domain without loading libraries first.
What's Next
Load the libraries first by setting 'library' attribute on this library domain.
LBR-171
Severity
Error
Description
Cannot perform synthesis because libraries do not have usable inverters.
What's Next
Inverters are required for mapping. Ensure that the loaded libraries contain at least one usable
inverter. * Check if the inverter is available in the loaded libraries. Following is the command to
check the availability of inverter: filter inverter true [find / -libcell *] It returns the inverter libcell name,
if available in the libraries. * Check if the inverter is usable. Following is the command to check the
usability of inverter: get_attr usable [filter inverter true [find / -libcell *]] It returns "true" if inverter is
usable. * Check if the incoming timing arcs for the inverter is missing. Following is the command to
check the incoming timing arcs: get_attr incoming_timing_arcs [find /libraries/.../libcells/INV -libpin
Z] The following proc can be used to check the usability of libcells and if unusable, then to know the
unusability reason. .nf set unusable_libs [filter usable false [find / -libcell *]] foreach my_lib
$unusable_libs { set reason_for_unusable [get_attr unusable_reason $my_lib] puts 'The libcell
[basename $my_lib] is UNUSABLE and the reason is $reason_for_unusable' } Based on the
unusability reason, try to fix the issue in the library. .fi
LBR-172
Severity
Error
Description
Synthesis can't be performed because libraries do not have usable basic gates.
What's Next
At least one usable two-input and/or/nand/nor gate (module inversion at inputs) is required for
mapping. Ensure that the loaded libraries contain at least one such cell. A library cell is considered
not usable if it has a 'dont_use' or a 'dont_touch' attribute set to 'true' in the .lib files. Thus to make
the cell usable, use 'set_attribute preserve false <libcell>' and 'set_attribute avoid false <libcell>'(in
legacy_ui) or 'set_db <libcell> .preserve false' and 'set_db <libcell> .avoid false' (in common_ui)
LBR-199
Severity
Error
Description
Poorly formatted statetable in library.
What's Next
Make legitimate values for table inputs.
LBR-214
Severity
Error
Description
Incorrect level shifter location specified.
What's Next
The valid values are { from | to }.
LBR-231
Severity
Error
Description
Incorrect valid location specified.
What's Next
The valid values are { from | to | any | either }.
LBR-354
Severity
Error
Description
Incorrect Argument passed.
What's Next
Check if technology libraries are specified correctly.
LBR-355
Severity
Error
Description
Invalid syntax or unknown option.
What's Next
Check option name and syntax.
LBR-367
Severity
Error
Description
Incorrect Argument passed.
What's Next
Correct the command options and re-try.
LBR-407
Severity
Error
Description
Improperly defined 'internal_power' group.
What's Next
To take the 'internal_power' group into account during power estimation, fix the library first and
restart. For more information, refer to the Liberty Reference Manual.
LBR-411
Severity
Error
Description
Found incorrect pin specification.
What's Next
If the pin name is specified within double quotes, extra blanks and parentheses are not allowed
inside the double quotes.
LBR-502
Severity
Error
Description
The LDB file was written with a different version.
What's Next
Regenerate the LDB using 'write_ldb <full_path_of_orig_ldb> <path_to_new_ldb>' command to be
able to read the ldb file successfully.
LBR-507
Severity
Error
Description
Missing library header in the library file.
What's Next
Each library file is expected to have the library header.
LBR-508
Severity
Error
Description
Specified slew thresholds are incorrect.
What's Next
Refer to 'Liberty Reference Manual' for more details on slew upper/lower threshold limits.
LBR-509
Severity
Error
Description
Found identical slew threshold value.
What's Next
Refer to 'Liberty Reference Manual' for more details on slew upper/lower threshold limits.
LBR-517
Severity
Error
Description
Could not find the look-up table definition.
What's Next
Add the look-up table definition, then reload the library.
LBR-521
Severity
Error
Description
Library read error.
What's Next
Fix the library read error, then reload the library.
LBR-522
Severity
Error
Description
Fail to load library file.
What's Next
Fix the library read error, then reload the library.
LBR-523
Severity
Error
Description
Could not find the library pin specified in the attribute.
What's Next
Modify the attribute with a valid library pin, then reload the library.
LBR-527
Severity
Error
Description
Found incorrect value for 'slew_derate_from_library' liberty attribute.
What's Next
Reload the library by modifying the 'slew_derate_from_library' liberty attribute with proper value.
LBR-528
Severity
Error
Description
Improper look-up table template.
What's Next
Modify the look-up table template as per the Liberty syntax, then reload the library.
LBR-530
Severity
Error
Description
Missing an attribute in the library.
What's Next
Add the missing attribute, then reload the library.
LBR-533
Severity
Error
Description
LDB is generated by other tool.
What's Next
Use the LDB file generated by Genus.
LBR-552
Severity
Error
Description
Inconsistent nominal operating condition in libraries for PVT scaling.
What's Next
The libraries within a group must have identical nominal process and temperature. The tool
supports only voltage scaling.
LBR-553
Severity
Error
Description
Invalid operating condition.
What's Next
The voltage must be within the interpolation range.
LBR-554
Severity
Error
Description
Libraries not found at the location specified.
What's Next
The libraries loaded initially into Genus, have been changed or deleted. Do not delete or move
libraries till the Genus run completes.
LBR-600
Severity
Error
Description
Missing P, V, or T value.
What's Next
Must specify at least one value.
LBR-601
Severity
Error
Description
Must specify three values.
What's Next
Must provide a triplet list.
LBR-608
Severity
Error
Description
Library_set cannot be used by multiple timing-conditions.
What's Next
Must duplicate the library_set.
LBR-611
Severity
Error
Description
Cannot run MMMC commands in legacy_ui.
What's Next
MMMC commands are disabled in legacy_ui.
LBR-612
Severity
Error
Description
Must specify -timing or -target_timing and -link_timing.
What's Next
Library_set not specified properly.
LBR-613
Severity
Error
Description
A lib_cell is not found in all timing_conditions.
What's Next
Lib_cells must exists for each timing_condition.
LBR-614
Severity
Error
Description
Two timing_conditions have unbalanced libraries.
What's Next
Timing_conditions must contain the same libcells.
LBR-615
Severity
Error
Description
An invalid file was specified for a library_set.
What's Next
You either specified no file or a non-existing file. Specify an existing file name with the correct
format.
LBR-616
Severity
Error
Description
An invalid opcond was specified for a timing_condition.
What's Next
You neither specified the option '-opcond_library' nor the opcond is defined with 'create_opcond'.
LBR-617
Severity
Error
Description
Cannot run MMMC1 command.
What's Next
MMMC1 commands are disabled.
LBR-618
Severity
Error
Description
Cannot run MMMC2 command.
What's Next
MMMC2 commands are disabled.
LBR-619
Severity
Error
Description
Could not find usable basic gates in the timing condition's library set.
What's Next
Genus requires basic inverter or two-input single output gates such as AND, OR, NAND, NOR as a
prerequisite in the Liberty library for delay modeling. Make sure that your library contains at least
one inverter and one 2-input library cell to create timing models for unmapped gates in the netlist. A
library cell is considered not usable if it has a 'dont_use' or a 'dont_touch' attribute set to 'true' in the
.lib files. In this case, use 'set_attribute preserve false <libcell>' and 'set_attribute avoid false
<libcell>' in legacy_ui or use 'set_db <libcell> .preserve false' and set_db <libcell> .avoid false' in
Common_ui to make the cell usable.
LBR-707
Severity
Error
Description
Both retain arcs and delay arcs are not present.
What's Next
Re-characterize the libraries such that delay/retain values are specified correctly.
LBR-710
Severity
Error
Description
Group definition is absent in library.
What's Next
Add the group definition, then reload the library.
LBR-54
LBR-70
LBR-71
LBR-72
LBR-74
LBR-77
LBR-83
LBR-109
LBR-111
LBR-114
LBR-118
LBR-120
LBR-122
LBR-123
LBR-149
LBR-155
LBR-160
LBR-161
LBR-162
LBR-170
LBR-202
LBR-204
LBR-206
LBR-209
LBR-218
LBR-362
LBR-363
LBR-364
LBR-365
LBR-366
LBR-409
LBR-412
LBR-415
LBR-436
LBR-516
LBR-518
LBR-519
LBR-520
LBR-1
Severity
Info
Description
Multiple objects with same name in library.
What's Next
The new object will overwrite the original one.
LBR-3
Severity
Info
Description
Appending library.
What's Next
Appending libraries will overwrite some of the characteristics of the library.
LBR-5
Severity
Info
Description
Cell is excluded during ecsm pincap calculation.
What's Next
Physical only cell or cell created by Genus is excluded during escm_pincap calculation as they do
not have timing data.
LBR-27
Severity
Info
Description
Replacing timing arc(s).
LBR-30
Severity
Info
Description
Promoting a setup arc to recovery.
What's Next
Setup arcs to asynchronous input pins are not supported.
LBR-31
Severity
Info
Description
What's Next
Hold arcs to asynchronous input pins are not supported.
LBR-40
Severity
Info
Description
An unsupported construct was detected in this library.
What's Next
Check to see if this construct is really needed for synthesis. Many liberty constructs are not actually
required.
LBR-41
Severity
Info
Description
An output library pin lacks a function attribute.
What's Next
If the remainder of this library cell's semantic checks are successful, it will be considered as a
timing-model (because one of its outputs does not have a valid function.
LBR-42
Severity
Info
Description
Could not parse a library pin's function statement.
What's Next
Check the pin's function statement in the library source.
LBR-46
Severity
Info
Description
Unsupported bus_type declaration.
What's Next
Attribute values should be integer.
LBR-47
Severity
Info
Description
Unsupported bus_type declaration. Non-bool value for bus_type attribute 'downto'.
What's Next
Attribute value should be either 'true' or 'false'.
LBR-48
Severity
Info
Description
Unsupported bus_type declaration.
What's Next
'bus_type' attribute 'bus_width' is less than 1.
LBR-49
Severity
Info
Description
Unsupported bus_type declaration.
LBR-54
Severity
Info
Description
Library has missing unit.
What's Next
Current library has missing unit.
LBR-70
Severity
Info
Description
What's Next
The library cell was described as a flip-flop yet this timing arc appears to be intended for time-
borrowing. Time borrowing is not supported in flip-flops.
LBR-71
Severity
Info
Description
Automatically disabling an unsupported borrow arc.
What's Next
The library cell appears to be a latch, yet an arc was found between the D and Q pins that was not
described as combinational. Only combinational arcs may be used for time-borrowing.
LBR-72
Severity
Info
Description
Detected an unsupported timing arc type.
What's Next
Refer to 'Supported Liberty timing_type Values' in the 'Timing Analysis Guide' for more information.
LBR-74
Severity
Info
Description
Disabling a setup/recovery arc.
What's Next
Setup/recovery arcs to output pins are not supported.
LBR-77
Severity
Info
Description
Automatically disabling a scan-only combinational arc.
What's Next
The library cell is sequential and it has a combinational arc involving at least one pin that is only
used in scan mode. You can enable such arcs by setting root-level attribute
"ignore_scan_combinational_arcs" to false, but that will deem the cell unusable.
LBR-83
Severity
Info
Description
Found 'statetable' group in cell.
What's Next
Currently, state tables are only supported for scan cells for the clocked LSSD scan style and for
clock-gating cells whose Liberty attribute 'clock_gating_integrated_cell' is set to 'generic'.
LBR-109
Severity
Info
Description
Set default library domain.
LBR-111
Severity
Info
Description
Library-domains are created automatically.
LBR-114
Severity
Info
Description
Overwrite previously defined pin function with user function.
LBR-118
Severity
Info
Description
Inverting the sense of a setup arc to be consistent with the launching clock edge.
What's Next
In a flip-flop, the setup and clock -> q arcs must refer to the same clock edge. In a latch, they must
refer to opposite clock edges. The technology library has an inconsistency in this respect.
LBR-120
Severity
Info
Description
Removing libraries.
LBR-122
Severity
Info
Description
Automatically disabling an inconsistent clock edge arc.
What's Next
The library description of this flip-flop or latch specified multiple clock edge arcs that are sensitive to
different clock edges. A flip-flop or latch may only be sensitive to one clock edge.
LBR-123
Severity
Info
Description
Automatically disabling an unsupported timing arc.
What's Next
The timing arc connects two pins that are already connected by a clock edge arc. Clock edge arcs
cannot be mixed with non-clock-edge arcs in a flip-flop or latch.
LBR-149
Severity
Info
Description
Replaced unresolved instances with mapped instances.
What's Next
The subdesigns with the same name as the library cells that were missing in the old library domain
were removed.
LBR-155
Severity
Info
Description
What's Next
The 'timing_sense' attribute will be respected.
LBR-160
Severity
Info
Description
Library-cells are not swappable.
What's Next
Check the number of input, output, and internal pins of the two library cells as well as the functions
of the output pins.
LBR-161
Severity
Info
Description
Setting the maximum print count of this message to 10 if information_level is less than 9.
LBR-162
Severity
Info
Description
Both 'pos_unate' and 'neg_unate' timing_sense arcs have been processed.
What's Next
Setting the 'timing_sense' to non_unate.
LBR-170
Severity
Info
Description
Ignoring specified timing sense.
What's Next
Timing sense should never be set with 'rising_edge' or 'falling_edge' timing type.
LBR-202
Severity
Info
Description
Invalid level shifter / isolation cell.
LBR-204
Severity
Info
Description
Undefined 'mode_definition' group.
LBR-206
Severity
Info
Description
Input/Output voltage range specified with level shifter in/out pin.
LBR-209
Severity
Info
Description
Removed the existing level shifter group.
LBR-218
Severity
Info
Description
Level shifter cell is set to be avoided.
What's Next
To use the level shifter cell, the avoid attribute must be set to false.
LBR-362
Severity
Info
Description
LBR-363
Severity
Info
Description
EEQ library cell already exists and marked avoid.
LBR-364
Severity
Info
Description
EEQ library cell already exists.
LBR-365
Severity
Info
Description
Creating a copy of library cell.
LBR-366
Severity
Info
Description
The specified cell has no liberty data.
LBR-409
Severity
Info
Description
Found conflicting clock polarity information.
What's Next
The tool derives the clock polarity from the sequential timing arcs specified through the Liberty
'timing_type' attribute. For the positive-edge triggered cells a positive value is expected for the
'clocked_on' or 'enable' attribute while an inverted value is expected for the negative-edge triggered
sequential cells.
LBR-412
Severity
Info
Description
Created nominal operating condition.
What's Next
The nominal operating condition is represented, either by the nominal PVT values specified in the
library source (via nom_process,nom_voltage and nom_temperature respectively), or by the default
PVT values (1.0,1.0,1.0).
LBR-415
Severity
Info
Description
Unusable library cells found at the time of loading a library.
What's Next
For more information, refer to 'Cells Identified as Unusable' in the 'User Guide'. To know the reason
why a cell is considered as unusable, check 'unusable_reason' libcell attribute.
LBR-436
Severity
Info
Description
Could not find an attribute in the library.
What's Next
It is recommended to have max_fanout attribute on the standard cell output pins. If this information
is not present in .lib, then this message is issued. If you encounter any lib cells having output pins
without max_fanout attribute, then you can specify their attribute using 'set_max_fanout' command.
LBR-516
Severity
Info
Description
Missing library level attribute.
LBR-518
Severity
Info
Description
Missing a function attribute in the output pin definition.
LBR-519
Severity
Info
Description
Missing threshold parameters.
LBR-520
Severity
Info
Description
Missing required timing data in the timing group.
LBR-10
LBR-11
LBR-12
LBR-20
LBR-21
LBR-22
LBR-23
LBR-24
LBR-25
LBR-26
LBR-34
LBR-36
LBR-37
LBR-38
LBR-39
LBR-43
LBR-58
LBR-63
LBR-64
LBR-65
LBR-66
LBR-67
LBR-73
LBR-75
LBR-76
LBR-78
LBR-79
LBR-81
LBR-84
LBR-89
LBR-90
LBR-91
LBR-92
LBR-93
LBR-96
LBR-97
LBR-98
LBR-100
LBR-101
LBR-102
LBR-103
LBR-105
LBR-106
LBR-110
LBR-117
LBR-121
LBR-124
LBR-126
LBR-129
LBR-136
LBR-139
LBR-140
LBR-141
LBR-142
LBR-143
LBR-146
LBR-147
LBR-148
LBR-150
LBR-151
LBR-152
LBR-153
LBR-156
LBR-157
LBR-158
LBR-159
LBR-165
LBR-166
LBR-167
LBR-173
LBR-200
LBR-201
LBR-203
LBR-205
LBR-207
LBR-210
LBR-211
LBR-212
LBR-219
LBR-220
LBR-221
LBR-223
LBR-224
LBR-225
LBR-227
LBR-228
LBR-229
LBR-230
LBR-242
LBR-243
LBR-301
LBR-350
LBR-351
LBR-352
LBR-353
LBR-356
LBR-357
LBR-358
LBR-359
LBR-360
LBR-361
LBR-403
LBR-404
LBR-405
LBR-406
LBR-408
LBR-413
LBR-414
LBR-416
LBR-417
LBR-418
LBR-419
LBR-420
LBR-500
LBR-501
LBR-504
LBR-505
LBR-506
LBR-510
LBR-511
LBR-512
LBR-513
LBR-514
LBR-524
LBR-525
LBR-526
LBR-529
LBR-531
LBR-532
LBR-534
LBR-550
LBR-551
LBR-609
LBR-610
LBR-702
LBR-703
LBR-704
LBR-705
LBR-706
LBR-708
LBR-709
LBR-711
LBR-712
LBR-713
LBR-714
LBR-715
LBR-4
Severity
Warning
Description
Loading libraries.
What's Next
Unrecognized phase/retention_disable_value for state retention power gating pin/retention pin. The
cell cannot be used for SRPG synthesis.
LBR-7
Severity
Warning
Description
Loading libraries.
What's Next
Multiple power_gating_pin/retention_pin specifications in the library cell. Specify only one
power_gating_pin/retention_pin construct for a pin in the library cell.
LBR-8
Severity
Warning
Description
Found an outgoing setup or clock edge timing arc for next_state library pin.
What's Next
Pin used in a next_state function should not have an outgoing setup or clock edge arc. Otherwise,
the library cell will be treated as a timing model.
LBR-9
Severity
Warning
Description
Library cell has no output pins defined.
What's Next
Add the missing output pin(s), then reload the library. Else the library cell will be marked as timing
model i.e. unusable. Timing_model means that the cell does not have any defined function. If there
is no output pin, Genus will mark library cell as unusable i.e. the attribute 'usable' will be marked to
'false' on the libcell. Therefore, the cell is not used for mapping and it will not be picked up from the
library for synthesis. If you query the attribute 'unusable_reason' on the libcell; result will be: 'Library
cell has no output pins.'Note: The message LBR-9 is only for the logical pins and not for the
power_ground pins. Genus will depend upon the output function defined in the pin group (output
pin) of the cell, to use it for mapping. The pg_pin will not have any function defined.
LBR-10
Severity
Warning
Description
The specified wireload selection string matches both a mode and a wireload selection table name.
The mode will be used.
What's Next
If instead you want the wireload selection table to be used, specify the full path to the table (as
returned by the find command).
LBR-11
Severity
Warning
Description
Found a non-sequential SRPG cell.
LBR-12
Severity
Warning
Description
Found libraries with and without pg_pin construct.
What's Next
This can lead to issues later in the flow.
LBR-20
Severity
Warning
Description
No compatible timing arc defined with library delay model.
What's Next
The 'delay_model' used determines which set of delay calculation attributes can be specified in the
'timing' group. This library has either its 'delay_model' attribute set to 'table_lookup' but the
information in the 'timing' group is not in the form of lookup tables, or the 'delay_model' attribute is
set to 'generic_cmos' and the timing information is found in the format of lookup tables. For more
information, refer to 'Defining the timing Group' in the 'Liberty User Guides and Reference Manual'.
LBR-21
Severity
Warning
Description
Timing attributes intrinsic_rise and intrinsic_fall are not expected and will be ignored.
What's Next
Although the delay model for this library is table lookup, it appears that linear delay model
parameters are also present.
LBR-22
Severity
Warning
Description
Multiply-defined library cell.
What's Next
Library cell names must be unique. Any duplicates will be deleted. Only the first (as determined by
the order of libraries) will be retained.
LBR-23
Severity
Warning
Description
Multiply-defined library.
What's Next
Library names must be unique. Any duplicates will be deleted. Only the first will be retained. If you
intend to merge the libraries, you should read them together.
LBR-24
Severity
Warning
Description
Multiple unit definitions detected.
What's Next
A library can only have one definition for capacitive_load_units. Delete the incorrect value. You
might need to regroup the contents of the library (into multiple files) based on their appropriate units.
LBR-25
Severity
Warning
Description
Empty Wireload selection.
What's Next
Empty wireload selection, ignored by the tool.
LBR-26
Severity
Warning
Description
Multiple values were detected.
LBR-34
Severity
Warning
Description
Missing an incoming setup timing arc for next_state library pin.
What's Next
Pin used in a next_state function must have an incoming setup timing arc. Otherwise, the library cell
will be treated as a timing model.
LBR-36
Severity
Warning
Description
Libpin with the given name not found.
LBR-37
Severity
Warning
Description
Invalid tree-type specified.
What's Next
Acceptable tree-types are 'worst_case_tree', 'best_case_tree',
LBR-38
Severity
Warning
Description
Libraries have inconsistent nominal operating conditions. In the Liberty library, there are attributes
called nom_voltage, nom_process and nom_temperature. Genus reports the message, if the
respective values of the 2 given .libs differ.
What's Next
This is a common source of delay calculation confusion and should be avoided.
LBR-39
Severity
Warning
Description
Relaxing an attribute value in the library.
What's Next
Relaxing one of the library's attribute value (for example, a library-cell's dont_use or dont_touch
attribute) should be done with caution. This warning means that a dont_use or dont_touch attribute
defined for a library cell (in liberty file) is overridden by the user in the run. When you do 'read_cpf -
library' in a CPF based flow, apart from loading libraries, this command automatically marks low
power standard cells as usable. So that the synthesis can use them. These low power standard
cells are usually marked 'dont_use true' in the liberty. That's why when the tool makes them usable
(avoid = false), these warnings are flagged.
LBR-43
Severity
Warning
Description
Libcell has no area attribute. Defaulting to 0 area.
What's Next
Specify a valid area value for the libcell.
LBR-58
Severity
Warning
Description
Ignoring internal library pin with no incoming timing arcs.
What's Next
Check to make sure that the definition of this library pin is correct.
LBR-63
Severity
Warning
Description
Lookup tables are only supported in 'table_lookup' libraries.
What's Next
Verify that the delay_model for this library is table_lookup.
LBR-64
Severity
Warning
Description
Malformed test_cell.
What's Next
Review the definition of the test_cell's function or its parent library-cell's function. An inconsistency
between the two may exist.
LBR-65
Severity
Warning
Description
Different var1/var2 names in test_cell and scanned cell.
What's Next
According to the library format specification, it is unclear whether this is acceptable. However, other
third party tools seem to generate such constructs, and we parse them at our customer's request.
LBR-66
Severity
Warning
Description
Library cell only has usable test_cell function.
What's Next
This library has a valid test_cell function, but its regular cell function is either missing or not
completely understood (for example, cell has a state_table construct). Consult your library vendor
about why this cell is either missing or has an incorrectly specified cell non-test function. Comparing
this cell to the other cells that do not display this Warning, can be helpful to debug the issue.
LBR-67
Severity
Warning
Description
Incomplete timing specification of library pin.
LBR-73
Severity
Warning
Description
Automatically disabling an arc which forms loop at output.
What's Next
Check to see whether the timing arc in question is crucial for synthesis. If it is, disable a proper arc
in the loop.
LBR-75
Severity
Warning
Description
Detected both combinational and sequential timing arcs in a library cell.
What's Next
The library cell will be treated as a timing-model. Make sure that the timing arcs and output function
were defined correctly. Even if the cell was intended to have dual-functionality, it cannot be
unmapped or automatically inferred.
LBR-76
Severity
Warning
Description
Detected both combinational and sequential timing arcs in a library cell. This might prevent the tool
from using this cell for technology mapping. The tool will treat it as unusable.
What's Next
The library cell will be treated as a timing-model. Make sure that the timing arcs and output function
are defined correctly. Even if the cell intends to have dual-functionality, it cannot be unmapped or
automatically inferred.
LBR-78
Severity
Warning
Description
Invalid object specified for the attribute.
What's Next
Attribute can only be set on an output or inout library pin.
LBR-79
Severity
Warning
Description
An obsoleted attribute was detected in this library.
What's Next
The attribute will be recognized in this release, but support will be dropped in a future release.
LBR-81
Severity
Warning
Description
Non-monotonic wireload model found.
What's Next
Non-monotonic wireload models can cause problems during synthesis and/or mapping. Raising
some of the points in the curve to give it a monotonic shape.
LBR-84
Severity
Warning
Description
What's Next
The value set by CPF or 1801 or user takes higher priority.
LBR-89
Severity
Warning
Description
Overwrote internal power characterized in the .lib.
What's Next
The user defined value will be used for power analysis.
LBR-90
Severity
Warning
Description
Power units.
What's Next
This unit is used only for reporting(default nW).The possible unit values are mW, uW, nW, pW.
LBR-91
Severity
Warning
Description
Scaling power value.
What's Next
Suspicious scaled power value.
LBR-92
Severity
Warning
Description
Non-mutually exclusive when conditions detected.
What's Next
Ignore the invalid state-dependent leakage power model and use the default cell leakage power for
leakage power analysis.
LBR-93
Severity
Warning
Description
In PLE mode. This attribute will be ignored.
What's Next
If you want, set attribute 'interconnect_mode' to 'wireload' first.
LBR-96
Severity
Warning
Description
Duplicate when conditions found.
What's Next
Ignoring the earlier when conditions and using the latest condition.
LBR-97
Severity
Warning
Description
'::legacy::set_attribute area' does not change lib_cell area in PLE mode.
What's Next
Use '::legacy::set_attribute area_multiplier' to change lib_cell area in PLE mode.
LBR-98
Severity
Warning
Description
Incorrect gating function for combinational clock-gating integrated cell.
What's Next
The combinational clock-gating integrated cell must be either an AND or OR type gate.
LBR-100
Severity
Warning
Description
Unusable clock gating integrated cell.
What's Next
Check to make sure that clock gating cell has all its pin attributes set correctly.
LBR-101
Severity
Warning
Description
Unusable clock gating integrated cell found at the time of loading libraries. This warning happens
because a particular library cell is defined as 'clock_gating_integrated_cell', but 'dont_use' attribute
is defined as true in the liberty library. To make Genus use this cell for clock gating insertion,
'dont_use' attribute should be set to false.
What's Next
To make the cell usable, change the value of 'dont_use' attribute to false.
LBR-102
Severity
Warning
Description
The clock gating integrated cell has no state table or latch group definition.
What's Next
Only a cell with proper state table or latch group definition is supported for clock gating insertion.
LBR-103
Severity
Warning
Description
The clock gating integrated cell is not usable.
What's Next
The 'clock_gating_integrated_cell' attribute and state table or latch group definition for the clock
gating integrated cell is not matching.
LBR-105
Severity
Warning
Description
The cell is not a clock gating integrated cell.
What's Next
Only a cell with 'clock_gating_integrated_cell' attribute set in the library is supported for clock gating
insertion.
LBR-106
Severity
Warning
Description
Invalid object type for the attribute when library domains are used. Correct object_type for the
attribute is library_domain.
What's Next
Set the attribute on library_domain object type. Once library_domains are created in Genus,
attributes such as aocv_library, library, link_library, operating_conditions, power_library,
socv_library, target_library, wireload_selection can be applied only on the library_domain object
type.
LBR-110
Severity
Warning
Description
Cannot modify the attribute after loading library(s).
What's Next
You must set the attribute before you set the 'library' attribute.
LBR-117
Severity
Warning
Description
Bus and related_pin bus bitwidths do not match.
What's Next
The bus and its related bus must have matching bitwidths so that timing arcs can be built between
corresponding bits.
LBR-121
Severity
Warning
Description
Cell not found in the power library.
What's Next
To perform accurate power analysis, all library cells in the timing library must also appear in the
power library.
LBR-124
Severity
Warning
Description
Cannot use power models from another library.
What's Next
The library cell in the timing library and the cell in the power library must have identical names and
same pin definitions.
LBR-126
Severity
Warning
Description
Found a combinational arc in a sequential cell.
What's Next
The timing arc connects two pins that are already connected by a sequential arc. It is not
recommended to mix combinational arcs with sequential arcs in a sequential cell.
LBR-129
Severity
Warning
Description
Could not recognize a vector of pins as a bus.
What's Next
For a vector of pins to be recognized as a bus, either number the pins continuously, or define a 'bus'
group for the pins. For more information on 'bus' groups, refer to the Liberty Reference Manual.
LBR-136
Severity
Warning
Description
Could not parse the function.
LBR-139
Severity
Warning
Description
Could not resolve pin-bundle names.
What's Next
Ensure that the identifiers specified in the parameter string correspond to the pin bundles that are
present in the libcell.
LBR-140
Severity
Warning
Description
Sequential cell function definition makes cell unusable.
What's Next
The sequential cell cannot be inferred because its function is unknown.
LBR-141
Severity
Warning
Description
Clock function definition makes cell unusable.
What's Next
The sequential cell cannot be inferred because its clock function is unknown.
LBR-142
Severity
Warning
Description
Async-clear function definition makes cell unusable.
What's Next
The sequential cell cannot be inferred because its async-clear function is unknown.
LBR-143
Severity
Warning
Description
Async-preset function definition makes cell unusable.
What's Next
The sequential cell cannot be inferred because its async-preset function is unknown.
LBR-146
Severity
Warning
Description
The specified pin is invalid.
What's Next
Specify the pin name which is an input pin and exist in the cell.
LBR-147
Severity
Warning
Description
Unable to parse a timing/power arc 'when' function.
What's Next
Check the arc's 'when' statement in the library source.
LBR-148
Severity
Warning
Description
Some mapped instances became unresolved.
What's Next
Some library cells were found in the original library domain but not in the new library domain.
Instances of such library cells were replaced with unresolved hierarchical instances. The
corresponding subdesign was named after the missing library cell. Choose a library domain that
contains these library cells to convert the unresolved instances.
LBR-150
Severity
Warning
Description
Improperly defined 'leakage_power' group.
What's Next
To take the 'leakage_power' group into account during power estimation, fix the library first and
restart. For more information, refer to the Liberty Reference Manual.
LBR-151
Severity
Warning
Description
Pin with a setup timing arc is not in the support set of the next-state function.
What's Next
Pin with a setup timing arc must be in the support set of the next-state function. Otherwise, the
library cell will be treated as a timing-model.
LBR-152
Severity
Warning
Description
Pin has more than one setup arc.
What's Next
Pin should not have more than one setup arc. Otherwise, the library cell will be treated as a timing-
model.
LBR-153
Severity
Warning
Description
Missing 'default_power_rail' in the 'power_supply' group.
What's Next
The value of the 'default_power_rail' attribute identifies a default power supply. For more
information on this attribute, refer to the Liberty Reference Manual.
LBR-156
Severity
Warning
Description
Cannot assign library domain to this unresolved subdesign.
What's Next
The library cell with the same name as the subdesign is not a timing model in the new library
domain.
LBR-157
Severity
Warning
Description
Detected a pin with itself as the related_pin in a combinational cell.
What's Next
This timing-arc of the cell will be ignored.
LBR-158
Severity
Warning
Description
Libcell will be treated as a timing model.
What's Next
Ensure that the relevant timing arcs are defined in the Liberty model of the libcell.
LBR-159
Severity
Warning
Description
Missing 'value' in 'leakage_power' group.
What's Next
Missing 'value' will be taken as 0.0 for cells with multiple rails.
LBR-165
Severity
Warning
Description
Library-cells are not swappable.
What's Next
Check the number of input, output, and internal pins of the two library cells as well as the functions
of the output pins.
LBR-166
Severity
Warning
Description
Found inconsistent library arcs.
What's Next
Library arcs are expected to be consistent across views. Fix the discrepancy in the timing
information.
LBR-167
Severity
Warning
Description
Found inconsistent sigma arcs.
What's Next
This may change the result of timing_report across views during aocv or socv analysis. Correct the
library files to avoid this warning.
LBR-173
Severity
Warning
Description
Cell leakage power exceeded the maximum limit.
What's Next
The cell leakage power in the library is either wrong or too large to handle. The tool will use the
maximum value that it can handle for power analysis.
LBR-200
Severity
Warning
Description
Multiple definitions of the same power rail.
What's Next
The last definition of each power rail within a group will be maintained. Delete all but one definition
to avoid this warning.
LBR-201
Severity
Warning
Description
Invalid level shifter pin. The level shifter is not usable.
What's Next
Make sure the signal level attribute for the pin is properly set.
LBR-203
Severity
Warning
Description
Bad bus_naming_style attribute value.
What's Next
The string must contain substrings '%s' and '%d' in that order.
LBR-205
Severity
Warning
Description
Ignoring undefined mode in timing construct.
What's Next
The 'mode_definition' group must be defined for timing mode in the cell.
LBR-207
Severity
Warning
Description
Cannot change the library pin type to power or ground.
What's Next
The library pin has logical data, hence, cannot be converted to power or ground.
LBR-210
Severity
Warning
Description
Cannot create/rename level shifter group.
What's Next
The level shifter group with the specified name already exists.
LBR-211
Severity
Warning
Description
Incompatible level shifter cells found.
What's Next
Cannot put level shifter cells with different functionality in the same level shifter group.
LBR-212
Severity
Warning
Description
Cannot determine the functionality of the level shifter cell.
What's Next
Check the 'Level Shifter Requirements' section in the 'Library Guide'.
LBR-219
Severity
Warning
Description
Level shifter cell defined previously.
What's Next
Each level shifter cell can only be defined in one level shifter group.
LBR-220
Severity
Warning
Description
Level shifter cells within a group must be from the same library domain.
LBR-221
Severity
Warning
Description
What's Next
Set cell attribute 'is_level_shifter' true in library to treat it as level shifter cell.
LBR-223
Severity
Warning
Description
Libcell is not a level shifter.
What's Next
Check User Guide for a level shifter requirements.
LBR-224
Severity
Warning
Description
Level shifter has wrong voltage range.
What's Next
Maximum input and output voltage should not be less than minimum input and output voltage
respectively.
LBR-225
Severity
Warning
Description
Libcell is not a level shifter or isolation cell.
What's Next
Check User Guide for a level shifter and isolation cell requirements.
LBR-227
Severity
Warning
Description
Libcell is not a pure isolation cell.
What's Next
The attribute is valid only for pure isolation cell. It cannot be set on any other cell.
LBR-228
Severity
Warning
Description
Level_shifter_type specified with libcell is not supported.
LBR-229
Severity
Warning
Description
Both input and output voltage range should be specified with libcell.
LBR-230
Severity
Warning
Description
Level shifter cell voltage cannot be computed.
What's Next
It will be skipped for low power insertion.
LBR-242
Severity
Warning
Description
Found Boolean AND expression for async-clear function using retention pin.
What's Next
When an AND expression is found for the async-clear function which includes the retention pin, the
tool ties off the retention pin to 0 or 1 and the async-clear function is degenerated to a buffer or
inverter.
LBR-243
Severity
Warning
Description
Found boolean AND expression for async-preset function using retention pin.
What's Next
When an AND expression is found for the async-preset function which includes the retention pin,
the tool ties off the retention pin to 0 or 1 and the async-preset function is degenerated to a buffer or
inverter.
LBR-301
Severity
Warning
Description
Unusable isolation cell.
What's Next
To use the cell for isolation cell insertion, set cell attribute 'dont_use' and 'dont_touch' to 'false' in
the library.
LBR-350
Severity
Warning
Description
Cannot determine the functionality of the isolation cell / level shifter.
LBR-351
Severity
Warning
Description
Isolation cell / level shifter does not have the enable pin.
LBR-352
Severity
Warning
Description
Cannot set 'isolation_cell_enable_pin' attribute.
LBR-353
Severity
Warning
Description
Data pin of isolation cell / level shifter is inverted.
LBR-356
Severity
Warning
Description
Potentially inconsistent nor type isolation cell.
What's Next
The specified cell is potentially inconsistent. Check the library. If cell is indeed inconsistent,
consider setting avoid attribute to ensure that tool does not pick this cell.
LBR-357
Severity
Warning
Description
Identified as isolation nor type, however out_pin related_power_pin is missing construct
'permit_power_down'.
LBR-358
Severity
Warning
Description
Identified as isolation nor type, however isolation_enable_pin is missing construct
'alive_during_partial_power_down' and out_pin related_power_pin is missing construct
'permit_power_down'.
LBR-359
Severity
Warning
Description
Found two sequential blocks in the library cell.
LBR-360
Severity
Warning
Description
Negative Cap value alert.
What's Next
Set fishy_library to 1 or correct the library values to avoid the assertion failure.
LBR-361
Severity
Warning
Description
Unknown AAE option.
LBR-403
Severity
Warning
Description
Ignoring unsupported lu_table_template.
What's Next
LBR-403 is issued when an unsupported lu_table_template is encountered by GENUS.
LBR-404
Severity
Warning
Description
Loading libraries.
What's Next
Found extra '}' in the current library.
LBR-405
Severity
Warning
Description
Multiple definitions of the same voltage map.
What's Next
The last definition of each voltage map within a group will be maintained. Delete all but one
definition to avoid this warning.
LBR-406
Severity
Warning
Description
Improperly defined power group.
What's Next
To take the 'leakage_power' or 'internal_power' group into account during power estimation, fix the
library first and restart. For more information, refer to the Liberty Reference Manual.
LBR-408
Severity
Warning
Description
Found CCS construct in the cell.
LBR-413
Severity
Warning
Description
Improperly defined sequential function.
LBR-414
Severity
Warning
Description
Sequential cell cannot be treated as MSFF.
What's Next
The libcell will be marked as timing model. To make sure that the sequential cell is treated as a
master-slave flip-flop, use either different clocks or different clock edges of the same clock for the
master and slave clocks.
LBR-416
Severity
Warning
Description
Found bit count mismatch in cell.
What's Next
The bit count of a multibit register bank and the bundle/bus pin of the cell should be the same.
LBR-417
Severity
Warning
Description
What's Next
Set the 'override_library_max_drc' root attribute to 'true' if you want to override the library design
rule constraint values.
LBR-418
Severity
Warning
Description
Could not set avoid attribute.
What's Next
A lib_cell cannot be unavoided if it was internally marked avoided by the tool, for example because
the cell lacks physical data.
LBR-419
Severity
Warning
Description
Found group statement outside of its group.
What's Next
A group statement defined outside of its group will be ignored by the tool.
LBR-420
Severity
Warning
Description
Mismatch in 'timing_type' values for setup and clock timing arcs.
What's Next
Rising edge-triggered sequential cells should have rising hold/setup checks and falling edge-
triggered sequential cells should have falling hold/setup checks.
LBR-500
Severity
Warning
Description
Found CCS construct in one or more libraries.
LBR-501
Severity
Warning
Description
The LDB file was written with a different version.
What's Next
Regenerate the LDB using 'write_ldb <full_path_of_orig_ldb> <path_to_new_ldb>' command to be
able to read the ldb file successfully.
LBR-504
Severity
Warning
Description
Found multiple definitions.
What's Next
Reload the library file by removing one of the multiple definitions of the same attribute.
LBR-505
Severity
Warning
Description
Invalid value specified for Liberty attribute.
What's Next
Refer to 'Liberty Reference Manual' for more details on the attribute.
LBR-506
Severity
Warning
Description
Poorly formatted statetable.
LBR-510
Severity
Warning
Description
LBR-511
Severity
Warning
Description
An attribute is used before it is defined.
LBR-512
Severity
Warning
Description
Found empty library construct.
What's Next
Check and update the library to have the attribute defined before it is used by any of the groups
further down in the library. When a group is defined, all attributes which affect that particular group
should be known at that point else Genus will ignore their definition.
LBR-513
Severity
Warning
Description
Invalid value specified in the power gating pin construct.
LBR-514
Severity
Warning
Description
Ignoring the cell function.
LBR-524
Severity
Warning
Description
Could not process the library pin.
LBR-525
Severity
Warning
Description
Missing clock pin in the sequential cell.
What's Next
Sequential timing checks, such as 'setup_rising' or 'hold_rising', on flop and latch cells require a
clock pin. Verify that the 'clock' attribute of the clock pin is set to 'true' or that the clock pin has a
'clocked_on' attribute.
LBR-526
Severity
Warning
Description
Missing sequential block in the sequential cell.
LBR-529
Severity
Warning
Description
Incorrect unit specified in the library.
LBR-531
Severity
Warning
Description
Invalid value specified.
What's Next
Invalid value found for the attribute. Correct as per Liberty syntax.
LBR-532
Severity
Warning
Description
Generated LDB file.
LBR-534
Severity
Warning
Description
Found multiple pg pins with same voltage_name.
LBR-550
Severity
Warning
Description
Number of libraries exceeds the supported limit.
What's Next
The extra libraries will be ignored.
LBR-551
Severity
Warning
Description
What's Next
The tool will use timing and power numbers from the first library.
LBR-609
Severity
Warning
Description
A lib_cell is not found in all timing_conditions.
What's Next
Lib_cells must exists for each timing_condition.
LBR-610
Severity
Warning
Description
Two timing_conditions have unbalanced libraries.
What's Next
Timing_conditions must contain the same libcells.
LBR-702
Severity
Warning
Description
Missing pg_pin group in the library.
LBR-703
Severity
Warning
Description
Setting the default related_power_pin or related_ground_pin value.
LBR-704
Severity
Warning
Description
LBR-705
Severity
Warning
Description
Missing voltage_name in the pg_pin group.
LBR-706
Severity
Warning
Description
Retain arcs present but delay arcs not present OR delay arcs present but retain arcs are absent in
presence of retain transition.
What's Next
Re-characterize the libraries such that delay/retain values are specified correctly.
LBR-708
Severity
Warning
Description
Found non monotonic values.
What's Next
Update the library with monotonically increasing values.
LBR-709
Severity
Warning
Description
Ignoring the timing group.
What's Next
Fix the library cell error and then reload the library.
LBR-711
Severity
Warning
Description
Library pin name is same as sequential block variable name.
What's Next
Update the library cell with unique pin names, otherwise the cell will be ignored.
LBR-712
Severity
Warning
Description
Library pin is not listed in the 'members' attribute of bundle group.
What's Next
Add the missing library pin name in the 'members' attribute.
LBR-713
Severity
Warning
Description
Ignoring the library cell.
What's Next
Fix the library cell error and then reload the library, otherwise cell will be ignored.
LBR-714
Severity
Warning
Description
Inconsistency detected among the units specified in the timing libraries being used.
What's Next
Default system time/capacitance unit will be used.
LBR-715
Severity
Warning
Description
Invalid expression specified for the attribute.
What's Next
Check and update the library to have correct expression value for the attribute, otherwise the
attribute will be ignored for the pin.
57
LIC
LIC-12
Severity
Error
Description
The requested feature has an invalid value for this release.
What's Next
Limited access and Beta feature keys are specific to a major release of Genus.
LIC-10
LIC-11
LIC-1
Severity
Info
Description
Limited access feature.
What's Next
Final production licensing of this limited access feature has not been determined and is subject to
change. Usage and support of this limited access feature are subject to prior agreement with
Cadence. In addition, Cadence assumes you understand the feature limitations and expected
results. Contact your Cadence representative if you have any questions.
LIC-2
Severity
Info
Description
Beta feature.
What's Next
Final production licensing of this beta feature has not been determined and is subject to change.
Usage and support of this beta feature are subject to prior agreement with Cadence. In addition,
Cadence assumes you understand the feature limitations and expected results. Contact your
Cadence representative if you have any questions.
LIC-10
Severity
Info
Description
License checkout request.
LIC-11
Severity
Info
Description
Preventing license checkout.
LIC-5
Severity
Warning
Description
Limited access feature unavailable.
LIC-6
Severity
Warning
Description
Beta feature unavailable.
58
LJLS
LJLS-1
Severity
Error
Description
Joules executable not found.
What's Next
The Joules executable was not found. Assure that 'Joules' is in the path and retry the command.
LJLS-2
Severity
Error
Description
Joules executable not found.
What's Next
The Joules executable was not found. Assure that 'joules' is in the path if Joules based operations
are desired.
LJLS-4
Severity
Error
Description
Joules batch job did not finish properly.
What's Next
An error occurred with the Joules batch job. Check log for details on the errors. The Joules log file
that is generated is joules_work/joules.log. If you have specified -work <joules_work_dir>. your
Joules logfile is <joules_work_dir>/joules.log. Run the command again after correcting the errors.
LJLS-5
Severity
Error
Description
Permission Denied.
What's Next
Either the path does not exist or you do not have permission to access this path.
LJLS-6
Severity
Error
Description
Design is not mapped.
What's Next
The option -gen_power_profile is only supported with mapped design.
LJLS-7
Severity
Error
Description
Options are not mapped properly.
What's Next
Two or more specified options are not mapped properly.
LJLS-8
Severity
Error
Description
Required options are missing.
What's Next
Specify minimum required options for replay.
LJLS-9
Severity
Error
Description
Joules run failed.
What's Next
Either launch_joules proc failed or joules replay run failed.
LJLS-11
Severity
Error
Description
Failed to generate file.
What's Next
The required output file is not generated.
LJLS-12
Severity
Error
Description
Options Required.
What's Next
The required options are missing.
LJLS-13
Severity
Error
Description
Options are not mapped properly.
What's Next
Number of stimulus should be equal to number of weight and sum of weights of all stimulus shall be
1.
LJLS-14
Severity
Error
Description
Mutually exclusive Options.
What's Next
Use any one of these mutually exclusive options.
LJLS-15
Severity
Error
Description
Specified value of option is incorrect.
What's Next
Check command help for correct values of specified options.
LJLS-16
Severity
Error
Description
Connection timed out.
What's Next
Launched process did not connect to the main process.
LJLS-17
Severity
Error
Description
One of the launched process has failed.
What's Next
Joules launch process has failed.
LJLS-18
Severity
Error
Description
Specify correct path of joules executable.
What's Next
The path of specified Joules executable is not correct.
LJLS-19
Severity
Error
Description
Multiple designs exist.
What's Next
For multiple designs launch this command within the scope of a single design.
LJLS-21
Severity
Error
Description
Library files not found.
What's Next
Library files are not found in current session.
LJLS-20
LJLS-3
Severity
Info
Description
Joules executable found.
What's Next
The specified Joules executable will be used for the Joules batch jobs.
LJLS-10
Severity
Info
Description
File generated.
What's Next
The required output file generated.
LJLS-20
Severity
Info
Description
The replayed tcf will not be read back.
What's Next
The replayed tcf is auto-loaded only when run without -mapped_db or -netlist options.
59
MAP
MAP-205
MAP-206
MAP-301
MAP-1
Severity
Error
Description
Unable to map design without a tristate buffer or inverter.
What's Next
Check the libraries for necessary tristate cell. You can query using the 'is_tristate' attribute on
libcells to know which libcells the tool recognized as tristate. If the tristate cell exists in the library,
query using the 'unusable_reason' attribute on the libcell to know why the tool marked it as
unusable.
MAP-2
Severity
Error
Description
Unable to map design without a suitable flip-flop.
What's Next
Check the libraries for necessary flop cell. You can query using the 'is_flop' attribute on libcells to
know which libcells the tool recognized as flop. If the flop cell exists in the library, query using the
'unusable_reason' attribute on the libcell to know why the tool marked it as unusable.
MAP-3
Severity
Error
Description
Unable to map design without a suitable latch.
What's Next
Check the libraries for necessary latch cell. You can query using the 'is_latch' attribute on libcells to
know which libcells the tool recognized as latch. If the latch cell exists in the library, query using the
'unusable_reason' attribute on the libcell to know why the tool marked it as unusable.
MAP-12
Severity
Error
Description
Cannot create multibit cell.
What's Next
A multibit flop can be created only for those 1-bit flop library cells that belong to the same subdesign
and are not marked preserved.
MAP-14
Severity
Error
Description
Attribute boundary_optimize_hier_pin_invertible only defined for hierarchical boundary pins.
What's Next
Access this attribute only for hierarchical boundary pins.
MAP-16
Severity
Error
Description
Invalid cell type specified.
What's Next
Only sequential libcells are allowed for the 'map_to_register' attribute.
MAP-17
Severity
Error
Description
Specified libcells are not functional equivalent.
What's Next
The libcells specified for the 'map_to_register'/'map_to_multibit_register' attribute must be
functionally equivalent.
MAP-18
Severity
Error
Description
Specified libcell is not a multibit sequential cell.
What's Next
Only multibit sequential libcells can be specified for the 'map_to_multibit_register' attribute.
MAP-19
Severity
Error
Description
Specified libcell is either avoided or not usable.
What's Next
Check if the 'avoid' libcell attribute is set to 'true'. If so, change the attribute value to 'false'. Check if
the 'usable' libcell attribute is set to 'false'. If so, remove the cell from the 'map_to_register' attribute
value.
MAP-20
Severity
Error
Description
Specified libcell is avoided.
What's Next
Check if the 'avoid' libcell attribute is set to 'true'. If so, change the attribute value to 'false'.
MAP-21
Severity
Error
Description
Library domain difference prevents mapping to requested libcell.
What's Next
The tool can only map an instance to the requested libcell if the library domain of the instance and
the requested libcell are the same.
MAP-28
Severity
Error
Description
A generated PLE model is required for physical aware mapping or structuring.
What's Next
Use generate_ple_model command in a separate session to generate a PLE model and source it in
a new session before running physical aware mapping or structuring.
MAP-34
Severity
Error
Description
A DEF file is required for physical aware mapping or structuring.
What's Next
Use read_def command to read in the physical definition for your design.
MAP-129
Severity
Error
Description
The Parallel Incremental Optimization failed.
What's Next
Set the path of the environment variable to write the temporary information and retry.
MAP-132
Severity
Error
Description
The create_timing_budgets command failed.
What's Next
The timing budget is not created if appropriate license is not present.
MAP-135
Severity
Error
Description
Cannot invoke physical multibit merging.
What's Next
Advanced Physical License is not present.
MAP-200
Severity
Error
Description
The Partition Based Synthesis failed.
What's Next
Set the attribute pbs_db_directory or the environment variable TMPDIR to a writable directory and
retry.
MAP-205
Severity
Error
Description
Error reading the database file.
What's Next
Try the suggestions mentioned below.
MAP-206
Severity
Error
Description
Distributed Area Optimization requires super threading to be turned on.
What's Next
Set max_cpus_per_server to a value greater than 0 (Typically 8).
MAP-301
Severity
Error
Description
Wrong usage of effort express.
What's Next
Cannot run synthesis with express effort in certain design states and synthesis option combinations.
MAP-7
MAP-9
MAP-10
MAP-15
MAP-24
MAP-25
MAP-26
MAP-29
MAP-31
MAP-32
MAP-38
MAP-41
MAP-42
MAP-43
MAP-127
MAP-128
MAP-130
MAP-133
MAP-134
MAP-137
MAP-138
MAP-139
MAP-140
MAP-141
MAP-142
MAP-143
MAP-144
MAP-145
MAP-151
MAP-152
MAP-4
Severity
Info
Description
Non-standard use of global mapping.
What's Next
The results from the non-standard flow are not necessarily better or worse, but could just be
different from the standard flow.
MAP-6
Severity
Info
Description
Unable to unmap a sequential cell with exceptions or attributes on the input pins.
What's Next
A generic sequential cannot safely inherit the exceptions/attributes (external_delay, clock latency,
latch_max_borrow, timing case logic value) from the mapped input pin. Hence, the sequential cell is
not unmapped. Check for timing exception(s) using the 'exceptions' attribute on the pin or for the
other attributes. Using instance level timing exceptions instead of pin level will help prevent this
scenario.
MAP-7
Severity
Info
Description
Removing exceptions from a sequential cell while unmap.
MAP-9
Severity
Info
Description
Could not find pin or port.
MAP-10
Severity
Info
Description
You can reproduce this netlist by setting attribute 'stop_at_iopt_state' to the appropriate iopt state as
follow:
MAP-15
Severity
Info
Description
Sequential phase inversion.
What's Next
Root attribute 'lbr_seq_in_out_phase_opto' enables this optimization. The sequential instance will
be implemented by inverting the data input and the output, or moving the inversion from the data
input to the output(bubble pushing). In such cases, swapping asynchronous preset and clear inputs
will happen(if present).
MAP-24
Severity
Info
Description
Rerun of check_dft_rules may be needed.
What's Next
Multibit mapping has changed the previous Test Design Rule Check (TDRC) data as it ran
check_dft_rules with default options.
MAP-25
Severity
Info
Description
Discrepancy between the mapper-timer and ian-timer has been detected.
What's Next
This is a development only check and can be disabled by setting fatal_on_constraint_checking to
'0'.
MAP-26
Severity
Info
Description
What's Next
A multibit cell mapped to regular multibit library cell and having proper 1-bit replacement available
in library can only be split.
MAP-29
Severity
Info
Description
Sequential phase inversion.
What's Next
This optimization was enabled by 'lbr_async_clr_pre_seq_interchangable' root attribute.
MAP-31
Severity
Info
Description
Using different clock sensitivity (flop) or active level (latch) as specified in HDL or originally used by
mapped instance.
What's Next
This message is triggered because 'map_respect_rtl_clk_phase' is set and clock edge or active
level used in HDL or netlist could not be honored based on the available library cells.
MAP-32
Severity
Info
Description
Relaxed some design requirements on sequential instances to run synthesis successfully.
What's Next
To see the list of relaxed sequential instances, set the 'information_level' attribute to 2 or above. If
the message is truncated set the message attribute 'truncate' to false to see the complete list.
MAP-38
Severity
Info
Description
Invalid activity information (toggle rate, probability) on pin.
What's Next
This could be a problem in power analysis. Default activity used instead which impacts dynamic
power optimization results.
MAP-41
Severity
Info
Description
Net power is large compared to internal power of basic 2 input gate.
What's Next
Likely due to large wireload model capacitance. Dynamic power optimization will primarily optimize
for net power and neglecting cell internal power as in relation small.
MAP-42
Severity
Info
Description
Net power is small compared to internal power of basic 2 input gate.
What's Next
Likely due to small wireload model capacitance. Dynamic power optimization will primarily optimize
for cell internal power and neglecting net power as in relation small.
MAP-43
Severity
Info
Description
Additional information regarding potential problems with this cell and 'map_to_register'.
MAP-127
Severity
Info
Description
Enabling some advanced optimizations in incremental optimization.
What's Next
Enabling this attribute can potentially improve Qor and increase runtime.
MAP-128
Severity
Info
Description
Enabling some advanced optimizations in global mapping.
What's Next
Enabling this attribute can potentially improve Qor and increase runtime.
MAP-130
Severity
Info
Description
Enabling TNS optimization for Parallel Incremental Optimization.
What's Next
Parallel Incremental Optimization is executed in TNS mode to ensure consistency of QOR. Any
comparison to single thread Incremental Optimization should be done in TNS mode only.
MAP-133
Severity
Info
Description
The create_timing_budgets command was successful.
What's Next
Timing Budget Created.
MAP-134
Severity
Info
Description
One or more passes of the leakage optimization based Iopt will not happen as one of the leakage
power group is missing basic libcells.
What's Next
One of the leakage power group is missing some basic gates needed for Synthesis.
MAP-137
Severity
Info
Description
Unable to replace avoided cell instance without a suitable tristate buffer or inverter.
What's Next
Check the libraries for necessary tristate cell. The cell could be marked avoided/unusable.
MAP-138
Severity
Info
Description
Unable to replace avoided cell instance without a suitable flip-flop.
What's Next
Check the libraries for necessary flop cell. The cell could be marked avoided/unusable.
MAP-139
Severity
Info
Description
Unable to replace avoided cell instance without a suitable latch.
What's Next
Check the libraries for necessary latch cell. The cell could be marked avoided/unusable.
MAP-140
Severity
Info
Description
Unable to replace few avoided cell instances without a suitable flip-flop/latch .
What's Next
Use 'set_attr iopt_remap_avoided_cells_verbose 1 /' to enlist these instances.
MAP-141
Severity
Info
Description
Unable to replace few avoided cell instances without a suitable tristate buffer or inverter.
What's Next
Use 'set_attr iopt_remap_avoided_cells_verbose 1 /' to enlist these instances.
MAP-142
Severity
Info
Description
Unable to replace few avoided cell instances in design.
What's Next
Use 'set_attr iopt_remap_avoided_cells_verbose 1 /' to enlist these instances.
MAP-143
Severity
Info
Description
Unable to replace an avoided cell instance.
MAP-144
Severity
Info
Description
Remapped instances of avoided library cells.
What's Next
To disable this replacement, set the 'preserve' attribute on the affected instance(s) or the instances'
library cell, or remove the 'avoid' attribute on the instances' library cell.
MAP-145
Severity
Info
Description
Unable to remap instances of avoided library cells.
MAP-151
Severity
Info
Description
Cannot swap pins of the multibit inst.
What's Next
Swapping of cells gives either same or worse QOR, hence rejected.
MAP-152
Severity
Info
Description
Skipping steps to optimize dynamic power.
MAP-8
MAP-11
MAP-13
MAP-22
MAP-23
MAP-27
MAP-30
MAP-35
MAP-36
MAP-37
MAP-40
MAP-44
MAP-125
MAP-126
MAP-131
MAP-136
MAP-201
MAP-203
MAP-204
MAP-300
MAP-5
Severity
Warning
Description
Unable to map register to specified libcells.
What's Next
Specify different set of libcells for this register. Otherwise mapper will use most cost effective cell.
MAP-8
Severity
Warning
Description
Logic optimization has modified the design's clock network. Clock signals have changed at a
sequential clock pin.
What's Next
Some timing optimization has already been performed using clocking information that is no longer
valid. Better synthesis results may be achieved by setting the post-optimization clock waveforms
directly on the sequential clock pins before mapping. You can see what the clock signals at each
sequential pin are before and after mapping by using the attribute 'propagated_clocks'.
MAP-11
Severity
Warning
Description
Incremental optimization is stopped by abnormal interruption.
MAP-13
Severity
Warning
Description
Unable to map register to the libcells specified with the instance attribute 'map_to_register'.
What's Next
Check if the libcell specified matches the functionality of the instance provided through the
'map_to_register' attribute. It may be possible to map to these libcells only if instance properties
change during the mapping process and the functionality matches with the specified libcell. For
example, asynchronous signals resolve to a constant and makes the instance equivalent to the
simple flop specified through map_to_register attribute.
MAP-22
Severity
Warning
Description
Found instance not mapped to a retention cell as required by retention rule in power intent.
What's Next
If an instance must be mapped to a retention cell, you must ensure that the cells specified in the
'map_to_register' attribute for this instance are retention cells which also cover the sequential
requirements.
MAP-23
Severity
Warning
Description
A specified cell is not compatible with the retention rule in power intent of the instance.
What's Next
The 'map_to_register' attribute contains one or more cells that are not compatible with the power
intent requirements for the instance. Make sure to only specify cells for the 'map_to_register'
attribute that are compatible.
MAP-27
Severity
Warning
Description
Mapping was done using -feasible_targets_only option.
What's Next
This option MUST NOT be used for production, but only for constraint debugging.
MAP-30
Severity
Warning
Description
Multiple delay corners are present but the 'map_to_register' constraint is just specified for some of
them.
What's Next
To be consistent, the specified library cell will be used as constraint across all available delay
corners.
MAP-35
Severity
Warning
Description
Non always-on cells being used in mapper where always-on cell may be required.
What's Next
The power intent verification may fail. If this happens, add always-on cells to specified library
domain and re-run synthesis.
MAP-36
Severity
Warning
Description
The floorplan checker finds some violations which may impact quality of physical aware mapping or
structuring.
What's Next
Use 'check_floorplan -detailed' command to list the violation details and fix them if necessary.
MAP-37
Severity
Warning
Description
Libraries have no usable 2-input combinational gates with non zero power value.
What's Next
Such libraries are not suitable for performing power optimization and results could be suboptimal for
power.
MAP-40
Severity
Warning
Description
Power Intent design without usable always on inverters.
What's Next
Mapper will continue to use normal inverters for specified module. If the power intent constraints
require that some of these inverters should have been always on, then the resulting netlist may fail
formal verification. To avoid this ensure that always on inverters are present in library and are not
marked unusable.
MAP-44
Severity
Warning
Description
Extreme map has been enabled, which is not recommended.
What's Next
Enabling extreme map can cause a significant increase in runtime. Consult Cadence support on the
latest flow.
MAP-125
Severity
Warning
Description
The row or column with constraint should have all instances with similar type of libcells.
MAP-126
Severity
Warning
Description
The instance is having some special setting (e.g preserve ) which inhibits sizing.
MAP-131
Severity
Warning
Description
Skip Parallel Incremental Optimization.
What's Next
Reverting to single thread Incremental Optimization.
MAP-136
Severity
Warning
Description
The Parallel Incremental Optimization failed.
What's Next
Switching to Normal Incremental Optimization flow.
MAP-201
Severity
Warning
Description
The Partition Based Synthesis failed.
What's Next
Correct the Super Thread related settings. Refer to user documentation for more information.
MAP-203
Severity
Warning
Description
In time assembly is turned off.
What's Next
Set the attribute pbs_in_time_assembly to 1 in order to turn on in time assembly.
MAP-204
Severity
Warning
Description
The MAP-2/3 check failed in some partitions and hence some optimizations were not done for those
partitions.
What's Next
Set the design attribute 'generic' to 'true' if you want to proceed nevertheless.
MAP-300
Severity
Warning
Description
Design size is too small for express mapping.
What's Next
Design size is less than 40000 instances, cannot run express mapping. Switching to medium effort
instead.
60
MCTL
MCTL-5027
MCTL-5028
MCTL-5000
Severity
Error
Description
Parsing Error in file occurred.
What's Next
Correct the parsing errors that have been reported.
MCTL-5001
Severity
Error
Description
Unable to create memory view file.
What's Next
Correct the issues mentioned above and re-run.
MCTL-5002
Severity
Error
Description
Internal Error has occurred.
What's Next
An internal error has occurred.
MCTL-5004
Severity
Error
Description
Incomplete CTL file provided.
What's Next
Some of the essential constructs to generate a memory view are unavailable.
MCTL-5006
Severity
Error
Description
Port not defined in the 'Signals' block.
What's Next
The above mentioned port is not defined in the 'Signals' block. All the ports specified in the 'Internal'
section of the 'Environment' block, must be defined in the 'Signals' block. Correct the issue and re-
run.
MCTL-5007
Severity
Error
Description
Option -preview is compulsory to use with option -ctl.
What's Next
Use -preview option with -ctl option.
MCTL-5012
Severity
Error
Description
Duplicate signal definition found in the 'Signals' block.
What's Next
The above mentioned signal is defined more than once in the 'Signals' block. All the signals should
be defined exactly once in the 'Signals' block. Correct the issue and re-run.
MCTL-5013
Severity
Error
Description
Inconsistent 'PhysicalColumnAddress' statements found in the 'ScrambleDefinition' block.
What's Next
The total number of 'PhysicalColumnAddress' statements defined in the 'ScrambleDefinition' block
should be equal to the logarithm to the base 2 of the 'ColumnMultiplexing' specified in the
'MemoryPhysicalOrganization' block. Correct the issue and re-run.
MCTL-5014
Severity
Error
Description
Invalid port found in the 'Internal' block.
What's Next
Correct the issue and re-run.
MCTL-5015
Severity
Error
Description
Invalid logic expression found in the 'ScrambleDefinition' block.
What's Next
Correct the logic expression and re-run.
MCTL-5016
Severity
Error
Description
Unsupported data bit distribution found.
What's Next
All the columns of each functional data-bit must be grouped together, making 'BitDistribution' value
as '1'. Set the 'BitDistribution' value to '1' in the 'MemoryPhysicalOrganization' block and re-run.
MCTL-5019
Severity
Error
Description
Consistency check failed.
What's Next
Correct the above mentioned issue and re-run.
MCTL-5022
Severity
Error
Description
Invalid value found in the input CTL file.
What's Next
Correct the issue mentioned above and re-run.
MCTL-5023
Severity
Error
Description
Could not find bit-order of the signal.
What's Next
To tie a multi-bit value to a bus signal, either define its complete bit-range in one entry inside the
'Signals' block, or specify its bit-range in the 'Vector' statements corresponding to the above
mentioned signal. Alternatively, individual bits of this bus signal can be tied to their corresponding
values in multiple entries inside the 'Vector' statements. Correct the issue and re-run.
MCTL-5024
Severity
Error
Description
Invalid default value found in the input CTL file.
What's Next
Valid set of values are "D", "U", "Z", "ForceDown", "ForceUp", and "ForceOff". Correct the issue
mentioned above and re-run.
MCTL-5025
Severity
Error
Description
Invalid data type found in 'Internal' block.
What's Next
The above mentioned data type does not belong to the valid set of data types. Specify a valid data
type for the above mentioned signal and re-run.
MCTL-5026
Severity
Error
Description
Could not find bit-order of the signal.
What's Next
To use a full bus in a signal expression, either define its complete bit-range in one entry inside the
'Signals' block, or specify its bit-range with its entry in the signal expression itself. Alternatively,
individual bits of this bus signal can be specified in the signal expressions using multiple entries.
Correct the issue and re-run.
MCTL-5027
Severity
Error
Description
Port not defined in the 'Signals' block.
What's Next
The above mentioned port is not defined in the 'Signals' block. All the ports specified in the
'SignalGroups' block, must be defined in the 'Signals' block. Correct the issue and re-run.
MCTL-5028
Severity
Error
Description
Unsupported bank bits found in 'RepairSignal' statement.
What's Next
Partial bank address bits are not supported with 'RepairSignal' statement. Specify all the bank
address bits with 'RepairSignal' statement, or do not specify the bank address bits at all. Correct the
issue and re-run.
MCTL-5003
Severity
Info
Description
The memory view file has been generated successfully.
MCTL-5005
MCTL-5008
MCTL-5010
MCTL-5011
MCTL-5017
MCTL-5018
MCTL-5021
MCTL-5005
Severity
Warning
Description
Data type unrecognized.
What's Next
The data type is unrecognized. No corresponding port_alias will be created for the port.
MCTL-5008
Severity
Warning
Description
Incomplete CTL file has been provided.
What's Next
Some of the essential modules to generate a memory view are unavailable in the input CTL file. To
avoid any inconsistency, specify the information mentioned above and re-run.
MCTL-5010
Severity
Warning
Description
Conflicting values are assigned to memory port in 'Vector' statements.
What's Next
The ports which are expected to stay at constant values during PMBIST operations should be
assigned consistent values among all the 'Vector' statements in the procedures called with
'Purpose' as 'MemoryRead' and 'MemoryWrite'. If inconsistent values are associated to such ports
in the 'Vector' statements, then such ports will not be assigned any constant value during PMBIST
operation. To avoid any inconsistency, specify consistent value to the above mentioned port and re-
run.
MCTL-5011
Severity
Warning
Description
Multiple values are assigned to memory port in 'Vector' statements.
What's Next
A value range is associated to the above mentioned memory port instead of associating a single
value in the 'Vector' statement. Such ports will not be assigned any constant value during PMBIST
operation. To avoid any inconsistency, specify consistent value to the above mentioned port and re-
run.
MCTL-5017
Severity
Warning
Description
Incomplete input CTL file provided.
What's Next
The default value will be used for processing. Provide the above mentioned information to override
the default value and re-run.
MCTL-5018
Severity
Warning
Description
Conflicting values are assigned to a memory port in the input CTL file.
What's Next
The ports which are expected to stay at constant values during PMBIST operations should be
assigned consistent values in the 'ActiveState' statements in the 'Internal' section of the
'Environment' block and among all the 'Vector' statements in the procedures called with 'Purpose'
as 'MemoryRead' and 'MemoryWrite'. Specify consistent value to the above mentioned port and re-
run.
MCTL-5021
Severity
Warning
Description
Incorrect value found in the input CTL file.
What's Next
Correct the issue mentioned above and re-run.
61
MESG
MESG-1
Severity
Error
Description
Invalid group.
What's Next
Use a group other than internal groups.
MESG-3
Severity
Error
Description
You specified more than on severity flag for the messages. Specify one of: ERROR, WARNING, or
INFO.
What's Next
Specified more than one severity (flag).
MESG-4
Severity
Error
Description
Invalid priority specified.
What's Next
Invalid priority specified.
MESG-5
Severity
Error
Description
Invalid severity. Valid severity flags are ERROR, WARNING, or INFO.
What's Next
Invalid severity specified.
MESG-8
Severity
Error
Description
Cannot overwrite internal message with a user message.
What's Next
Cannot overwrite internal message with a user message.
MESG-9
Severity
Error
Description
Internal group does not exist.
What's Next
Specify an existing internal group when using the '-internal_group' option.
MESG-7
Severity
Info
Description
Message severity has been changed from default value.
MESG-6
MESG-10
MESG-11
MESG-2
Severity
Warning
Description
Overwriting messages. Specify a different ID or group to avoid overwriting the existing message.
What's Next
Specify different id/group to avoid overwriting of existing message.
MESG-6
Severity
Warning
Description
Message truncated because it exceeds the maximum length of 4096 characters.
What's Next
By default messages are limited to 4096 characters. All characters after the 4096 character limit are
truncated. To remove this limit, set the message attribute 'truncate' to 'false'. However, this may
dramatically increase the size of the log file.
MESG-10
Severity
Warning
Description
Unknown message ID.
MESG-11
Severity
Warning
Description
Maximum message print count reached.
62
MM_INVS
MM_INVS-61
Severity
Error
Description
Not all the instances are mapped.
What's Next
Cannot write data unless all instances are mapped.
MM_INVS-62
Severity
Error
Description
Cannot export any files for Innovus.
What's Next
Multiple designs have been found.
MM_INVS-63
Severity
Error
Description
Cannot export any files for Innovus.
What's Next
No design has been loaded.
MM_INVS-65
Severity
Error
Description
Failed to create fe_path. Make sure you have the write permission to the parent path.
What's Next
Specify a writable directory for the '-output_directory' option.
MM_INVS-67
Severity
Error
Description
No write permission to file.
What's Next
Check file permissions and rerun the command.
MM_INVS-68
Severity
Error
Description
No read permission to file.
What's Next
Check file permissions and rerun the command.
MM_INVS-69
Severity
Error
Description
Failed to generate FE file since output FE path does not exist.
What's Next
Specify a writable directory for the '-output_directory' option.
MM_INVS-70
Severity
Error
Description
Attribute 'invs_power_library_flow' is set to true and different library domains in the design are using
different power library.
What's Next
If there is more than one library domain, make sure there is only one power library used for all the
library domains. To run a flow with multi power analysis views, make sure to run Genus in Common
UI mode with an input MMMC file having required appropriate settings for power library and power
analysis views.
MM_INVS-50
Severity
Info
Description
No output directory has been specified.
What's Next
Creating the output directory using the design name appended with '_GENUS_INVS_MM_PATH'.
MM_INVS-51
Severity
Info
Description
FE path has been created.
MM_INVS-53
Severity
Info
Description
File has been generated.
MM_INVS-66
Severity
Info
Description
Not a Multi-Mode design.
What's Next
Default corner and mode will be created.
MM_INVS-1
Severity
Warning
Description
Max Try has been reached while creating file.
63
MMMC
MMMC-104
Severity
Error
Description
Setup views are mandatory.
What's Next
Use -setup option.
MMMC-105
Severity
Error
Description
Command not present.
What's Next
The set_analysis_view command is not present in the mmmc file.
MMMC-107
Severity
Error
Description
View default_emulate_view is an internal view that cannot be mixed with user-defined setup
analysis_views.
What's Next
Use a different analysis_view name.
MMMC-101
MMMC-102
MMMC-103
MMMC-106
MMMC-100
Severity
Warning
Description
The given constraint mode is dangling and is not associated with any analysis_view.
What's Next
No timing modes with name INACTIVE_<constraint_mode> will be created. The constraints will not
be maintained/renamed for this constraint mode during the flow.
MMMC-101
Severity
Warning
Description
The command will reset the timer and the design state.
What's Next
Do init_design again.
MMMC-102
Severity
Warning
Description
Cannot find expected operating_conditions in library.
What's Next
Using default operating conditions. Check for supported operating_conditions in libraries.
MMMC-103
Severity
Warning
Description
Ignoring the leakage, dynamic views.
What's Next
Constraint mode of leakage view is not matching with dynamic view.
MMMC-106
Severity
Warning
Description
Ignoring the leakage or dynamic views.
What's Next
Constraint mode of view is not matching with any of setup view. Contact Cadence Support for
assistance on how to handle this scenario.
64
MSV_INVS
MSV_INVS-80
Severity
Error
Description
Failed to create innovus_path. Make sure you have the write permission to the parent path.
What's Next
The directory specified with '-output_directory' option is not writable.
MSV_INVS-81
Severity
Error
Description
No design top has been specified.
What's Next
If multiple designs exist, specify one using the '-design' option.
MSV_INVS-82
Severity
Error
Description
Failed to generate Innovus file since output Innovus path does not exist.
What's Next
Specify a writable output directory with the '-output_directory' option.
MSV_INVS-83
Severity
Error
Description
No Innovus output path directory has been specified.
What's Next
An output directory could no be created. Specify one using the '-output_directory' option.
MSV_INVS-84
Severity
Error
Description
File name is not one.
What's Next
Specify only one file name.
MSV_INVS-85
Severity
Error
Description
No libraries have been loaded.
What's Next
A design library must be available. Specify a library using the 'library' attribute.
MSV_INVS-86
Severity
Error
Description
No path name has been found.
What's Next
Specify a writable output directory with the '-output_directory' option.
MSV_INVS-87
Severity
Error
Description
Genus does not have write permission to file.
What's Next
Make sure directory and file permissions allow writing.
MSV_INVS-88
Severity
Error
Description
Genus does not have read permission to file.
What's Next
Make sure directory and file permissions allow reading.
MSV_INVS-89
Severity
Error
Description
It is not a MSV design.
What's Next
This command can only be used with Multiple Supply Voltage designs.
MSV_INVS-92
Severity
Error
Description
There is no library domain information associate with the hierarchical instance.
What's Next
Library domains must be specified for all hierarchical instances.
MSV_INVS-94
Severity
Error
Description
Cannot export any files for Innovus.
What's Next
No design has been loaded.
MSV_INVS-95
Severity
Error
Description
Cannot export any files for Innovus.
What's Next
Multiple designs have been found.
MSV_INVS-96
Severity
Error
Description
Not all the instances are mapped.
What's Next
This command only operates on fully mapped designs.
MSV_INVS-97
Severity
Error
Description
Found illegal level shifters.
What's Next
No legal domain for level shifter.
MSV_INVS-98
Severity
Error
Description
Command 'write_fe_msv' is not supported in multi-mode environment.
What's Next
This command only operates for non multi-mode designs.
MSV_INVS-52
MSV_INVS-54
MSV_INVS-55
MSV_INVS-56
MSV_INVS-57
MSV_INVS-59
MSV_INVS-60
MSV_INVS-50
Severity
Info
Description
Innovus path has been created.
MSV_INVS-51
Severity
Info
Description
Identify the library domain for design top.
MSV_INVS-52
Severity
Info
Description
No Innovus file name specified.
MSV_INVS-54
Severity
Info
Description
All the files are created successfully.
MSV_INVS-55
Severity
Info
Description
This library domain is for level shifter.
MSV_INVS-56
Severity
Info
Description
No hierarchical instances found for library domain.
MSV_INVS-57
Severity
Info
Description
No output directory has been specified.
What's Next
Creating the output directory using the design name appended with '_GENUS_INVS_MSV_PATH'.
MSV_INVS-59
Severity
Info
Description
MSV_INVS-60
Severity
Info
Description
File has been generated.
MSV_INVS-1
Severity
Warning
Description
Max Try has been reached while creating file.
MSV_INVS-2
Severity
Warning
Description
Max Try has been reached while creating directory.
MSV_INVS-3
Severity
Warning
Description
No shifter table file has been found.
MSV_INVS-5
Severity
Warning
Description
No Innovus config file specified.
MSV_INVS-11
Severity
Warning
Description
Failed locate file name for library.
MSV_INVS-13
Severity
Warning
Description
No Innovus LEF file name has been specified.
MSV_INVS-15
Severity
Warning
Description
Specified name of LEF file list is not a file.
MSV_INVS-17
Severity
Warning
Description
There is no Genus inserted level shifter cells in the design.
MSV_INVS-19
Severity
Warning
Description
There is no LEF file list provided to write_fe_msv.
MSV_INVS-20
Severity
Warning
Description
There is no output pin defined for level shifter.
MSV_INVS-21
Severity
Warning
Description
The specified reference config file is not a file.
MSV_INVS-22
Severity
Warning
Description
The specified LEF list file is not a file.
MSV_INVS-23
Severity
Warning
Description
Command 'write_fe_msv' will be obsolete in a future release.
MSV_INVS-24
Severity
Warning
Description
There is no input voltage defined for level shifter.
65
MTDCL
MTDCL-13
Severity
Error
Description
Design is not mapped. No replacement is possible.
What's Next
Map the design first using synthesize command and then run this command.
MTDCL-16
Severity
Error
Description
Unknown Error.
What's Next
Internal error. Report to Cadence.
MTDCL-24
Severity
Error
Description
No compatible one-to-one replacement found for instance on clock-path.
What's Next
If a library set has missing match for any instance on clock-path, we can try to remap the cells. Set
the attribute predefined_vt_map_effort to high to allow remapping.
MTDCL-27
Severity
Error
Description
Error occurred when trying to remap the clock-path instances.
What's Next
First fix the problem reported above to run this command. Or check if clock_library_cells are not
avoided and match library-domain of instances on clock-path.
MTDCL-28
Severity
Error
Description
Unable to find a unique design to run the command.
What's Next
Provide the design-name in argument list or run the command from design directory.
MTDCL-34
Severity
Error
Description
No compatible one-to-one replacement found for instance on clock-path.
What's Next
If a library set has missing match for any instance on clock-path, we can try to remap the cells. Set
the effort to high to allow remapping.
MTDCL-36
Severity
Error
Description
Option partial_remap can be set with high effort only.
What's Next
Change effort to high to allow partial remapping.
MTDCL-39
Severity
Error
Description
Attribute clock_library_cells_root should be set with the switch backward_trace_all.
What's Next
Set the attribute clock_library_cells_root and re run.
MTDCL-12
Severity
Info
Description
An inverter and a 2-input gate found in library set.
MTDCL-14
Severity
Info
Description
Processing the instances on clock-path.
MTDCL-15
Severity
Info
Description
Preserved instance is skipped for replacement/remapping.
MTDCL-18
Severity
Info
Description
Ignoring clock for dedicated cell library mapping.
What's Next
The clock should have a valid library set defined in clock_library_cells attribute and a source
pin/port to track clock-path.
MTDCL-19
Severity
Info
Description
Preview mode is enabled. No changes will be done to the design.
What's Next
Keep information_level above 0 to get info about all the changes.
MTDCL-20
Severity
Info
Description
MTDCL-29
Severity
Info
Description
Successfully finished remapping the instances on clock-path.
MTDCL-30
Severity
Info
Description
Preview of remapping based clock-path optimization feature is not supported.
MTDCL-32
MTDCL-33
MTDCL-35
MTDCL-37
MTDCL-38
MTDCL-11
Severity
Warning
Description
Missing inverter/2-input gate in library set.
What's Next
Check whether the library cell to be used is avoided or preserved.If not, provide a library set with
atleast an inverter and a 2 i/p gate.Remapping will fail without inverter and a 2 input gate.
MTDCL-21
Severity
Warning
Description
Forbidden Case Identified: Clock tree has logic not mapped to clock_library_cells.
MTDCL-22
Severity
Warning
Description
Forbidden Case Identified: Path exists with mismatch in clock_library_cells of launch and capture
clocks.
MTDCL-23
Severity
Warning
Description
No compatible one-to-one replacement found for instance on clock-path.
What's Next
If a library set has missing match for any instance on clock-path, we try to remap the cells. The
predefined_vt_map_effort attribute is set to high, so remapping will be done.
MTDCL-25
Severity
Warning
Description
Unable to form temporary hierarchy for clock-path instances' remapping.
MTDCL-26
Severity
Warning
Description
Unable to form temporary design for clock-path instances' remapping.
MTDCL-31
Severity
Warning
Description
Failed to find any suitable replacement for clock-path instance.
What's Next
Check if the library-cells to be used are not avoided and correspond to library-domain of the clock-
path instances.
MTDCL-32
Severity
Warning
Description
No compatible one-to-one replacement found for instance on clock-path.
What's Next
If a library set has missing match for any instance on clock-path, we try to remap the cells.But partial
one-to-one replacement does not allow remapping.Remove partial_one_to_one_replacement
option and set the attribute predefined_vt_map_effort to high to allow remapping.
MTDCL-33
Severity
Warning
Description
No compatible one-to-one replacement found for instance on clock-path.
What's Next
If a library set has missing match for any instance on clock-path, we try to remap the cells.But low
effort does not allow remapping. set effort to high to allow remapping.
MTDCL-35
Severity
Warning
Description
No compatible one-to-one replacement found for instance on clock-path.
What's Next
If a library set has missing match for any instance on clock-path, we try to remap the cells. effort is
set to high, so remapping will be done.
MTDCL-37
Severity
Warning
Description
Problem occurred when trying to remap the clock-path instances.
What's Next
Check if clock_library_cells are not avoided and match library-domain of instances on clock-path.
MTDCL-38
Severity
Warning
Description
All libcells for this clock are avoided.
What's Next
Check if clock_library_cells are not avoided and match library-domain of instances on clock-path.
66
MUX_MAPPING
MUX_MAPPING-100
Severity
Error
Description
Mux mapping error.
What's Next
Mux mapping has encountered something unexpected.
MUX_MAPPING-101
Severity
Error
Description
No suitable muxes.
What's Next
No suitable muxes found in the library for early mapping.
MUX_MAPPING-102
Severity
Info
Description
Mapping to mux.
What's Next
Performing early mux mapping.
MUX_MAPPING-110
Severity
Info
Description
Mux mapping information.
What's Next
Mux mapping information message.
67
MUXOPTO
MUXOPTO-1
Severity
Info
Description
Swapping done for bmux layers of a multidimensional array read implementation.
MUXOPTO-2
Severity
Info
Description
Bmux for a multi-index array read split into multiple layers of bmuxes.
68
NAME_SCOPE
NAME_SCOPE-101
Severity
Warning
Description
Cannot find the object.
What's Next
Check whether the object name and the rtl_scope are correctly specified.
NAME_SCOPE-102
Severity
Warning
Description
Cannot find the rtl scope.
What's Next
Check whether the object name for which rtl scope is to be searched is correctly specified.
69
PA
PA Error Messages
PA Information Messages
PA Warning Messages
PA Error Messages
PA-9
PA-12
PA-9
Severity
Error
Description
Could not perform a meaningful RTL power analysis.
What's Next
Make sure that you have a library that contains the above specified cell or cells to create power
models for unmapped gates in the netlist. A library cell is considered not usable if it has a 'dont_use'
or a 'dont_touch' attribute set to 'true' in the .lib files. In this case, use 'set_attribute preserve false
<libcell>' and 'set_attribute avoid false <libcell>' to make the cell usable.
PA-12
Severity
Error
Description
Cannot disable instance.
What's Next
Only leaf instances which are instances of clock gating integrated cells can be disabled.
PA Information Messages
PA-6
PA-7
PA-8
PA-10
PA-18
PA-21
PA-30
PA-32
PA-6
Severity
Info
Description
Removed switching activities from nets driven by clock source.
What's Next
Removed switching activities (user-asserted or computed) from all nets driven by this clock source,
because the switching activities of a clock net are derived from the new (or latest) clock definition.
PA-7
Severity
Info
Description
Resetting power analysis results.
What's Next
All computed switching activities are removed.
PA-8
Severity
Info
Description
Set the toggle rate for the clock net to '0/ns'.
What's Next
The toggle rate is the number of toggles within a clock period. When the clock period is very large,
the toggle rate is rounded to '0/ns'. To achieve better accuracy for power analysis, define the clock
with a meaningful value for the period.
PA-10
Severity
Info
Description
Ignored clock redefinition for power analysis.
What's Next
A clock redefinition is ignored for power analysis when its associated clock net has user-asserted
switching activity information. To apply the redefined clock for power analysis, remove the user-
asserted switching activity information from the clock net, redefine the clock and then perform power
analysis.
PA-18
Severity
Info
Description
Skipped building RTL power model for nonexistent instance.
What's Next
Skipped modeling the hierarchical instance as it is dangle and has been removed during netlist
clean-up.
PA-21
Severity
Info
Description
Ignoring this scope as it is outside the hierarchy which can be monitored.
What's Next
If '-module' option is used in 'read_vcd', you can monitor scopes only which lie under the specified
hierarchy.
PA-30
Severity
Info
Description
A power loop has been found.
What's Next
The power loop has been disabled for power analysis. This has no QoR impact.
PA-32
Severity
Info
Description
Resetting power analysis results as attribute power_engine is changed.
What's Next
All computed switching activities are removed.
PA Warning Messages
PA-3
PA-4
PA-5
PA-11
PA-13
PA-14
PA-15
PA-16
PA-17
PA-19
PA-20
PA-31
PA-3
Severity
Warning
Description
Unexpected clock period of '0' found. May be seen when the power engine is the one from Genus,
that is when the attribute power_engine is equal to legacy (default), and at least one clock has a
period of 0.
What's Next
When there is no specific annotation for the clock net (using VCD, TCF or SAIF file, or by setting the
lp_asserted_toggle_rate) the tool takes 2/clock_period for the clock signal toggle rate. The clock
period is taken from the constraints provided by the designer, through a SDC file or directly through
defining the clock using the create_clock or create_generated_clock command. Here one clock is
defined with '0' as the period, that will result in an infinite toggle rate, which is not realistic. Rather
the tool will use a clock period of 1000 ps to compute the toggle rate. To achieve better accuracy for
power analysis, define the clock with a meaningful value for the period, so that the tool will not use
the 1000 ps none zero arbitrary value to compute the power.
PA-4
Severity
Warning
Description
Set 'nanos_per_period_scale' to 1.
What's Next
The value must be an integer greater than or equal to 1.
PA-5
Severity
Warning
Description
Cannot set probability or toggle rate on a clock net.
What's Next
The switching activities for a clock net are derived from the clock definition.
PA-11
Severity
Warning
Description
Asserted probability and/or toggle rate is not present on the enable signal of a power domain.
What's Next
The power domain will be assumed to be always-on. If the power domain is not always on, set the
appropriate asserted probability on the enable pin.
PA-13
Severity
Warning
Description
Could not perform a meaningful RTL clock power estimation.
What's Next
No clocks are defined in the design. Clock power cannot be estimated if the clock frequency is not
known. Make sure at least one clock is defined in the design.
PA-14
Severity
Warning
Description
RTL power modeling is less accurate without the '-clean_up_netlist' option.
What's Next
Use option '-clean_up_netlist' in command 'build_rtl_power_models' to remove unreachable logic
for more accurate RTL power modeling.
PA-15
Severity
Warning
Description
Failed in building detailed power models.
What's Next
Failed in building detailed power models for accurate RTL power analysis.
PA-16
Severity
Warning
Description
Could not build detailed power models.
What's Next
For accurate RTL power estimation, set attribute 'lp_power_analysis_effort' to 'medium' or 'high',
then use command 'build_rtl_power_models' to build detailed power models.
PA-17
Severity
Warning
Description
Did not find power models when running power analysis on a generic netlist.
What's Next
The power analysis results on a generic netlist are more accurate when detailed power models are
used. Use command 'build_rtl_power_models' to build detailed power models.
PA-19
Severity
Warning
Description
Performing RTL power analysis without power models.
What's Next
For more accurate results, perform RTL power analysis using detailed power models after 'syn_gen'
step followed by the 'build_rtl_power_models' command.
PA-20
Severity
Warning
Description
Skipping build_rtl_power_models command.
What's Next
To build detailed power models you must synthesize the design to generic logic before using the
'build_rtl_power_models' command.
PA-31
Severity
Warning
Description
No impact of attribute 'lp_worst_case_mem_int_pow' on power of this design.
What's Next
This attribute has impact on internal power of memory instance with memory() group defined in
corresponding cell definition.
70
PBS
PBS-1
Severity
Info
Description
Enabling Partition Based Synthesis.
PBS-2
Severity
Warning
Description
Genus synthesis should be run with a minimum of 8 threads. This will impact run time.
PBS-3
Severity
Warning
Description
Partition Based Synthesis (PBS) is turned off. Expect very long runtime.
PBS-4
Severity
Warning
Description
The attribute cannot be set to value less than 0.
PBS-5
Severity
Warning
Description
Unable to proceed with dynamic power optimization flow.
What's Next
The attribute incremental_power_engine is not set to joules.
71
PHYS
PHYS-148
PHYS-149
PHYS-151
PHYS-177
PHYS-198
PHYS-200
PHYS-201
PHYS-202
PHYS-204
PHYS-205
PHYS-206
PHYS-213
PHYS-220
PHYS-221
PHYS-222
PHYS-224
PHYS-236
PHYS-250
PHYS-251
PHYS-263
PHYS-270
PHYS-271
PHYS-272
PHYS-273
PHYS-274
PHYS-275
PHYS-276
PHYS-296
PHYS-352
PHYS-354
PHYS-380
PHYS-381
PHYS-382
PHYS-384
PHYS-385
PHYS-386
PHYS-387
PHYS-388
PHYS-389
PHYS-390
PHYS-391
PHYS-392
PHYS-393
PHYS-394
PHYS-395
PHYS-396
PHYS-397
PHYS-409
PHYS-411
PHYS-412
PHYS-415
PHYS-416
PHYS-417
PHYS-418
PHYS-426
PHYS-427
PHYS-428
PHYS-430
PHYS-436
PHYS-441
PHYS-442
PHYS-445
PHYS-446
PHYS-447
PHYS-602
PHYS-603
PHYS-604
PHYS-605
PHYS-607
PHYS-700
PHYS-1010
PHYS-1015
PHYS-1017
PHYS-1018
PHYS-1021
PHYS-1023
PHYS-1024
PHYS-1026
PHYS-1100
PHYS-1101
PHYS-1102
PHYS-1213
PHYS-1214
PHYS-1215
PHYS-6187
PHYS-6189
PHYS-16185
PHYS-16186
PHYS-10
Severity
Error
Description
No capacitance or resistance specified.
What's Next
Check the LEF technology files.
PHYS-50
Severity
Error
Description
Floorplan not found.
What's Next
A floorplan is required for this command or operation. Load the floorplan using 'read_def'.
PHYS-51
Severity
Error
Description
Design utilization is not feasible.
What's Next
The size of the design is impossibly small or is greater than what can fit into the specified floorplan.
Increase the floorplan size to accommodate the design.
PHYS-54
Severity
Error
Description
Design not placed.
What's Next
A placed design is required for this command or operation.
PHYS-55
Severity
Error
Description
Timing and physical libraries are not consistent.
What's Next
One or more cells from the timing library is not defined in the physical library and is not marked
'avoid'.
PHYS-60
Severity
Error
Description
Required data not found.
What's Next
A piece of required data (file or constraint) is missing. The data is required in order for proper
operation. Rerun the command after supplying the data.
PHYS-62
Severity
Error
Description
Mapped design not found.
What's Next
A mapped design is required for this command or operation. Use the 'syn_map' command to map
the design.
PHYS-65
Severity
Error
Description
Padding value cannot be negative.
What's Next
Provide positive integer value for the padding.
PHYS-66
Severity
Error
Description
Padding value should be integer number, not floating or negative.
What's Next
Provide integer padding value to the libcell specified.
PHYS-69
Severity
Error
Description
Command place_design failed.
What's Next
Command place_design failed during floorplan generation.
PHYS-100
Severity
Error
Description
LEF File Interface.
What's Next
Check the LEF file and rerun the command.
PHYS-102
Severity
Error
Description
The design core box must lie within the die box.
What's Next
Wrong coordinates were specified for the core box.
PHYS-110
Severity
Error
Description
The LEF parser encountered an error.
What's Next
Check the syntax of the LEF file and rerun the command.
PHYS-116
Severity
Error
Description
Bus bits are missing in LEF file.
What's Next
Check LEF file for correctness.
PHYS-117
Severity
Error
Description
Wrong number of coordinates were specified.
What's Next
Coordinates are specified as: {llx lly urx ury}.
PHYS-130
Severity
Error
Description
DEF writer API internal error.
What's Next
The DEF writer has detected an error with the supplied data.
PHYS-134
Severity
Error
Description
Pin names are not consistent in lib and LEF files.
What's Next
Reload the files after fixing the inconsistency between LEF and logical pins.
PHYS-148
Severity
Error
Description
Undefined pin layer detected.
What's Next
A layer must be defined in the LEF technology LAYER section before it can be referenced from a
macro section. Review the LEF files specified in the lef_library attribute to see if the layer is not
defined or is defined after the macro definition which refers to the layer in its pin section.
PHYS-149
Severity
Error
Description
No LEF files have been read.
What's Next
Set the 'lef_library' attribute before doing 'read_def'.
PHYS-151
Severity
Error
Description
DEF parser encountered an error.
What's Next
Check the syntax of the DEF file and rerun the command.
PHYS-177
Severity
Error
Description
Parent instance for hierarchical DEF not found.
What's Next
Check the design name in the hierarchical DEF.
PHYS-198
Severity
Error
Description
Undefined property referenced.
What's Next
Update the DEF file and rerun the command.
PHYS-200
Severity
Error
Description
Unable to open the SPEF file.
What's Next
Could not read SPEF file.
PHYS-201
Severity
Error
Description
Design in SPEF file is not available.
What's Next
A design must first be read in with 'read_hdl' command and elaborated with 'elaborate' command.
PHYS-202
Severity
Error
Description
Not a valid SPEF file.
What's Next
Could not read SPEF file, invalid SPEF.
PHYS-204
Severity
Error
Description
Empty SPEF file.
What's Next
SPEF file size is 0 bytes.
PHYS-205
Severity
Error
Description
Unexpected end of file.
What's Next
SPEF file is incomplete.
PHYS-206
Severity
Error
Description
Option -capacitance_comparison not specified.
What's Next
Option -capacitance_comparison must be used whenever option -cap_ratio_threshold is used for
read_spef command.
PHYS-213
Severity
Error
Description
Library cell instantiation does not match the floorplan.
What's Next
The inconsistency between the design and the floorplan must be resolved. Set the attribute
read_def_libcell_mismatch_error to '0' to disable this error.
PHYS-220
Severity
Error
Description
Invalid port direction.
What's Next
The port connected to the net has a direction other than in/out/inout.
PHYS-221
Severity
Error
Description
Unknown load/driver connected to the net.
What's Next
The load or driver connected to the net is neither a combinational libcell, a sequential libcell, a port
nor a constant.
PHYS-222
Severity
Error
Description
Unable to get a corresponding libcell for the combinational or sequential instance connected to the
net.
What's Next
Failed on get_attribute libcell on the combinational or sequential instance connected to the net.
PHYS-224
Severity
Error
Description
No DIEAREA statement defined.
What's Next
The tool requires a die area specification.
PHYS-236
Severity
Error
Description
LEF/DEF unit precision mismatch.
What's Next
The DEF DB unit precision is greater than the LEF DB unit precision.
PHYS-250
Severity
Error
Description
This is the unrecognized capacitance unit.
What's Next
Make sure to use PF or FF and scale in SPEF file.
PHYS-251
Severity
Error
Description
This is the unrecognized resistance unit.
What's Next
Make sure to use OHM or KOHM and scale in SPEF file.
PHYS-263
Severity
Error
Description
Multiple RC corners are present and option -rc_corner not given.
What's Next
Rerun read_spef and specify a corner with the -rc_corner option.
PHYS-270
Severity
Error
Description
Inconsistency detected between LIB and LEF files.
What's Next
Missing appropriate definition of buffer in LEF file.
PHYS-271
Severity
Error
Description
Incorrect capacitance units.
What's Next
The acceptable values are fF, pF.
PHYS-272
Severity
Error
Description
Incorrect resistance units.
What's Next
The acceptable values are kohm, ohm.
PHYS-273
Severity
Error
Description
In cluster mode, run write_spef -power | -ilm.
What's Next
In cluster mode, add option -power | -ilm.
PHYS-274
Severity
Error
Description
The options -power and -ilm are mutually exclusive.
What's Next
For write_spef command, options -power and -ilm cannot be used at the same time.
PHYS-275
Severity
Error
Description
No power switch cells in library.
What's Next
Make sure there are library cells with 'power_switch_cell' attribute set to true.
PHYS-276
Severity
Error
Description
No floorplan cells in library.
What's Next
Make sure there are library cells with 'fplan_cell' attribute set to true.
PHYS-296
Severity
Error
Description
The def nets in the db may be corrupted.
What's Next
Regenerate the db to rectify the problem.
PHYS-352
Severity
Error
Description
Rectilinear floorplan shapes are not supported.
What's Next
Non-rectangular floorplan shapes are only supported by DEF version 5.6 or later.
PHYS-354
Severity
Error
Description
DEF character limit exceeded.
What's Next
A character count limit specified by the DEF standard has been exceeded. Use a shorter name for
the object and reissue the command.
PHYS-380
Severity
Error
Description
Encounter DB Directory not found.
What's Next
Could not find the specified encounter database directory.
PHYS-381
Severity
Error
Description
Provide valid worst delay_corner.
What's Next
A valid worst delay_corner from the view definition file needs to be provided in case a view-def file
is present.
PHYS-382
Severity
Error
Description
Error in view definition file.
What's Next
Error in parsing view definition file.
PHYS-384
Severity
Error
Description
Failed in setting libraries from view definition file.
What's Next
Failed to set the libraries associated with the worst_corner from view definition file.
PHYS-385
Severity
Error
Description
Failed in setting cap-table from view definition file.
What's Next
Failed to set the cap-table associated with the worst_corner from view definition file.
PHYS-386
Severity
Error
Description
Failed in handling the config file.
What's Next
Failed to successfully load the config file into the tool.
PHYS-387
Severity
Error
Description
Failed in handling the encounter mode file.
What's Next
Failed to correctly handle the enc_mode_file.
PHYS-388
Severity
Error
Description
Failed in handling the avoided libcells present in netlist.
What's Next
Failed to set avoid false on all the libcells that are present in netlist despite being avoided originally.
PHYS-389
Severity
Error
Description
Failed in handling the clock-pins.
What's Next
Could not successfully preserve all the clock-related pins in netlist.
PHYS-390
Severity
Error
Description
Failed to preserve all the sdc-gates.
What's Next
Could not successfully preserve all the gates that appear in the sdc.
PHYS-391
Severity
Error
Description
Could not find library-set for specified delay-corner.
What's Next
Could not find the library set for the specified delay-corner in the view definition file.
PHYS-392
Severity
Error
Description
Could not find rc_corner for specified delay-corner.
What's Next
Could not find the corresponding rc_corner for the specified delay-corner in the view definition file.
PHYS-393
Severity
Error
Description
Could not find specified delay-corner.
What's Next
Could not find the specified delay-corner in the view definition file.
PHYS-394
Severity
Error
Description
Could not find corresponding cap-table for rc_corner in view definition file.
What's Next
Could not find the corresponding cap-table for the rc_corner the view definition file.
PHYS-395
Severity
Error
Description
Could not find file.
What's Next
File could not be found.
PHYS-396
Severity
Error
Description
Config file does not exist.
What's Next
Could not find the config file in encounter database.
PHYS-397
Severity
Error
Description
Error loading config file.
What's Next
Could not load the config file into the tool.
PHYS-409
Severity
Error
Description
Error in handling DEF file.
What's Next
Could not read the specified DEF file.
PHYS-411
Severity
Error
Description
Could not find DEF file in encounter database.
What's Next
Could not locate the DEF file in the encounter database. Kindly provide one.
PHYS-412
Severity
Error
Description
User specified DEF file does not exist.
What's Next
Could not locate the DEF file specified by the user.
PHYS-415
Severity
Error
Description
Could not find timing_derate value.
What's Next
The timing derate value was not present in the view definition file.
PHYS-416
Severity
Error
Description
Could not set timing derate.
What's Next
Encountered an error in setting timing derate.
PHYS-417
Severity
Error
Description
Encountered an error while processing the view definition file for timing derate information.
What's Next
Could not process the timing derate information in the view definition file correctly.
PHYS-418
Severity
Error
Description
Encountered an error while processing the view definition file for analysis views.
What's Next
The above error occurred while processing the view definition file.
PHYS-426
Severity
Error
Description
The option initialize cannot be coupled with any other switch.
What's Next
Initialize must be used alone.
PHYS-427
Severity
Error
Description
The option highlight has to be used with min_distance.
What's Next
The option min_distance must be used only along with the highlight option.
PHYS-428
Severity
Error
Description
The command has to be run with the '-initialize' switch first.
What's Next
Before highlighting movement the '-initialize' switch has to be used.
PHYS-430
Severity
Error
Description
Wrong options used for restore design.
What's Next
Re-run using correct options.
PHYS-436
Severity
Error
Description
Could not read the Encounter mode file.
What's Next
The mode file from Encounter could not be read correctly because of the above reason.
PHYS-441
Severity
Error
Description
The CPF file was not found in the cpfdb file.
What's Next
The CPF file was not found in the cpfdb file use the -cpf switch to provide a valid cpf file.
PHYS-442
Severity
Error
Description
Failed on reading cpf file.
What's Next
The cpf file provided was in-valid, provide a legal cpf file.
PHYS-445
Severity
Error
Description
Could not find corresponding QRC tech file for rc_corner in view definition file.
What's Next
Could not find the corresponding QRC tech file for the rc_corner the view definition file.
PHYS-446
Severity
Error
Description
Failed in setting QRC Tech File from view definition file.
What's Next
Failed to set the QRC Tech File associated with the worst_corner from view definition file.
PHYS-447
Severity
Error
Description
Failed in handling the globals file.
What's Next
Failed to successfully load the globals file into the tool.
PHYS-602
Severity
Error
Description
Incorrect file or syntax while mapping lef layer and tech layer.
What's Next
Syntax error in layer mapping file.
PHYS-603
Severity
Error
Description
Incorrect QRC tech layer found in layer mapping file.
What's Next
Unknown QRC layer found.
PHYS-604
Severity
Error
Description
No LEF files specified.
What's Next
Read LEF files before setting the 'extract_rc_lef_tech_file_map' attribute.
PHYS-605
Severity
Error
Description
QRC tech files are already read.
What's Next
Read QRC tech files after setting the 'extract_rc_lef_tech_file_map' attribute.
PHYS-607
Severity
Error
Description
Physical cannot be run in wireload model mode.
What's Next
Must run with PLE interconnect mode with -physical option.
PHYS-700
Severity
Error
Description
No remote servers were specified.
What's Next
Specify remote servers to be used using the attribute 'super_thread_servers'.
PHYS-1010
Severity
Error
Description
Error detected in implementing this command.
What's Next
An internal command has failed. Look in the log file for more details.
PHYS-1015
Severity
Error
Description
Use of this 'opt_spatial_effort' setting requires 'probabilistic_extraction' to be active.
What's Next
Use of this 'opt_spatial_effort' requires 'probabilistic_extraction' to be active and use of only QRC
tech file (no cap table file allowed).
PHYS-1017
Severity
Error
Description
There are conflicting or missing settings for use of this opt_spatial_effort. Process is stopping.
What's Next
Review preceding messages in log file.
PHYS-1018
Severity
Error
Description
There are conflicting or missing settings for use of this opt_spatial_effort. Process is continuing but
is at risk.
What's Next
Review preceding messages in log file.
PHYS-1021
Severity
Error
Description
Selected capability requires a limited-access or beta feature.
What's Next
Contact Cadence support to understand this feature.
PHYS-1023
Severity
Error
Description
The selected flow setting(s) has been removed.
What's Next
Contact Cadence support to understand current flows.
PHYS-1024
Severity
Error
Description
Found floorplan errors and cannot run iSpatial flow.
What's Next
Fix the floorplan issue first to run iSpatial flow.
PHYS-1026
Severity
Error
Description
Found floorplan errors and predict_floorplan can fix it.
What's Next
Fix the floorplan issue first to run iSpatial flow.
PHYS-1100
Severity
Error
Description
Option is not supported in Genus.
What's Next
Use another option from command -help.
PHYS-1101
Severity
Error
Description
Some required arguments are missing from the command.
What's Next
Try command -help.
PHYS-1102
Severity
Error
Description
An extra argument in provided in the command.
What's Next
Try command name -help.
PHYS-1213
Severity
Error
Description
Exception occurred while generating capacitance data from technology file.
What's Next
Third party function call failure.
PHYS-1214
Severity
Error
Description
Error occurred while invoking kits. You are running 32 bit executable.
What's Next
Third party function call failure.
PHYS-1215
Severity
Error
Description
No LEF data found while reading QRC Tech file.
What's Next
Specify the LEF library files first.
PHYS-6187
Severity
Error
Description
Lef files are read when design exists.
What's Next
Set the 'lef_library' attribute before doing 'elab'.
PHYS-6189
Severity
Error
Description
Error occurred while generating resistance and capacitance tables.
What's Next
Check cap table file or technology file.
PHYS-16185
Severity
Error
Description
The technology file name given is incorrect.
What's Next
Check technology file.
PHYS-16186
Severity
Error
Description
Faulty issue occurred while adding 3-D pattern.
What's Next
It is a program internal error.
PHYS-180
PHYS-181
PHYS-182
PHYS-183
PHYS-184
PHYS-185
PHYS-186
PHYS-188
PHYS-194
PHYS-215
PHYS-216
PHYS-218
PHYS-223
PHYS-230
PHYS-235
PHYS-244
PHYS-277
PHYS-278
PHYS-298
PHYS-310
PHYS-320
PHYS-358
PHYS-362
PHYS-364
PHYS-383
PHYS-398
PHYS-399
PHYS-400
PHYS-401
PHYS-402
PHYS-403
PHYS-404
PHYS-405
PHYS-406
PHYS-407
PHYS-408
PHYS-410
PHYS-414
PHYS-419
PHYS-420
PHYS-421
PHYS-422
PHYS-423
PHYS-424
PHYS-425
PHYS-431
PHYS-432
PHYS-434
PHYS-435
PHYS-437
PHYS-438
PHYS-439
PHYS-440
PHYS-444
PHYS-448
PHYS-752
PHYS-800
PHYS-1014
PHYS-1020
PHYS-52
Severity
Info
Description
Physical information has been annotated.
What's Next
The physical information for the design has been prepared and annotated.
PHYS-53
Severity
Info
Description
Existing placement will be used.
What's Next
The current instance placement will be used for QoS prediction.
PHYS-63
Severity
Info
Description
Replay file created.
What's Next
The replay file contains a list of subcommands run by Innovus interface commands.
PHYS-90
Severity
Info
Description
Generating design database.
What's Next
The database contains all the files required to restore the design in the specified application.
PHYS-127
Severity
Info
Description
Macro with non-zero origin.
PHYS-129
Severity
Info
Description
Via with no resistance will have a value of '0.0' assigned for resistance value.
What's Next
If this is the expected behavior, this message can be ignored.
PHYS-145
Severity
Info
Description
What's Next
Although the pin is considered a power or ground pin in LEF, to be converted to pgpin, the direction
of the pin must be consistent in both lib and LEF libraries.
PHYS-146
Severity
Info
Description
Pin complexity prevents conversion to pgpin.
What's Next
Although the pin is considered a power or ground pin in LEF, it cannot be converted to pgpin
because it either has timing arcs, is a bus or bundle member, is a retention pin, or has a function
defined for an output pin in the lib.
PHYS-153
Severity
Info
Description
Creating toplevel port.
PHYS-154
Severity
Info
Description
Creating physical pin.
PHYS-160
Severity
Info
Description
Creating derived placement blockages for pre-routes.
PHYS-173
Severity
Info
Description
Reading hierarchical DEF for subdesign.
PHYS-174
Severity
Info
Description
Creating fence for hierarchical DEF.
PHYS-180
Severity
Info
Description
Placement blockage created for hierarchical DEF.
PHYS-181
Severity
Info
Description
Full preserve set on instance.
What's Next
This message has a default max print count of '10', which can be changed by setting the 'max_print'
attribute.
PHYS-182
Severity
Info
Description
Cover component present.
PHYS-183
Severity
Info
Description
Routing blockage created for hierarchical DEF.
PHYS-184
Severity
Info
Description
Incremental mode found existing component.
PHYS-185
Severity
Info
Description
Incremental mode found existing pin.
PHYS-186
Severity
Info
Description
Full preserve set on net.
What's Next
This message has a default max print count of '10', which can be changed by setting the 'max_print'
attribute.
PHYS-188
Severity
Info
Description
Preserve with sizing allowed set on instance.
PHYS-194
Severity
Info
Description
Generic component being placed.
PHYS-215
Severity
Info
Description
Power switch cell present.
PHYS-216
Severity
Info
Description
Skipping GCELLGRID statement.
PHYS-218
Severity
Info
Description
Connecting power switch cell nets.
PHYS-223
Severity
Info
Description
Placed component changed to fixed.
What's Next
Placed components with libcell not class CORE are changed to fixed.
PHYS-230
Severity
Info
Description
Creating physical power domain.
PHYS-235
Severity
Info
Description
Skipping writing out floorplan data.
PHYS-244
Severity
Info
Description
PHYS-277
Severity
Info
Description
Connecting floorplan cell nets.
PHYS-278
Severity
Info
Description
Floorplan cell present.
PHYS-298
Severity
Info
Description
A group has been updated.
What's Next
Certain numbers (include zero) of instances are added to or removed from a group.
PHYS-310
Severity
Info
Description
Library cell instantiation has been swapped.
PHYS-320
Severity
Info
Description
Updating instance location.
PHYS-358
Severity
Info
Description
What's Next
A port with no net connected has been found. The net name is assumed to be the same as the port
name.
PHYS-362
Severity
Info
Description
SPECIALNETS section skipped during write_def.
What's Next
SPECIALNETS section is skipped during write_def if input DEF is read using the -no_specialnets
option or the attribute phys_ignore_special_nets is set to true.
PHYS-364
Severity
Info
Description
NETS section skipped during write_def.
What's Next
NETS section is skipped during write_def if input DEF is read using the -no_nets option or the
attribute phys_ignore_nets is set to true.
PHYS-383
Severity
Info
Description
Loading library and cap-tables according to the worst_corner specified by the user.
What's Next
Loading library and cap-tables according to the worst_corner specified by the user as opposed to
loading library and cap-tables from config file.
PHYS-398
Severity
Info
Description
Restoration Complete.
What's Next
Finished Restoration.
PHYS-399
Severity
Info
Description
Found cap-table file.
What's Next
Found cap-table file in view definition.
PHYS-400
Severity
Info
Description
View definition file does not exist in encounter db.
What's Next
View definition does not exist in the encounter database.
PHYS-401
Severity
Info
Description
Mode file not present.
What's Next
Mode file is not present in encounter db, mode information will not be passed on to FE.
PHYS-402
Severity
Info
Description
Loaded config file.
What's Next
Successfully loaded config file into the tool.
PHYS-403
Severity
Info
Description
Checking for avoided libcells in netlist.
What's Next
Checking for avoided libcells in netlist.
PHYS-404
Severity
Info
Description
No avoided libcells present in netlist.
What's Next
There are no libcells in the netlist which have avoid=true.
PHYS-405
Severity
Info
Description
Avoided libcells present in netlist.
What's Next
There are libcells in the netlist which have avoid=true.
PHYS-406
Severity
Info
Description
Skipping virtual clock.
What's Next
Skipping virtual clock for preserving clock-source pin.
PHYS-407
Severity
Info
Description
Done preserving clock network/pins.
What's Next
Finished preserving all the clock-pins, except virtual clocks.
PHYS-408
Severity
Info
Description
Done preserving sdc-gates.
What's Next
Finished preserving all the gates that appear in the sdc.
PHYS-410
Severity
Info
Description
Using user specified DEF file.
What's Next
The DEF file specified by the user will take precedence over the one present in the database.
PHYS-414
Severity
Info
Description
No timing_derate information found in view definition file for specified worst-corner.
What's Next
Derate information could not be found the worst-corner in the view definition file.
PHYS-419
Severity
Info
Description
Using constraint files specified in view definition file.
What's Next
The constraint files corresponding to the specified analysis view will be used.
PHYS-420
Severity
Info
Description
The original location attributes have been populated.
What's Next
The original location attributes have been populated.
PHYS-421
Severity
Info
Description
Location statistics.
What's Next
Printing instance location related statistics.
PHYS-422
Severity
Info
Description
Highlight statistics.
What's Next
Printing movement highlight statistics.
PHYS-423
Severity
Info
Description
No design loaded, cannot compute row height.
What's Next
Since there was no design loaded, row height could not be computed.
PHYS-424
Severity
Info
Description
Multiple designs loaded, cannot compute row height.
What's Next
Since there is more than one design loaded, row height could not be computed.
PHYS-425
Severity
Info
Description
Could not compute row height.
What's Next
Row height could not be computed from the row attribute.
PHYS-431
Severity
Info
Description
Using delay-corner corresponding to specified analysis mode.
What's Next
The delay-corner corresponding to specified analysis mode will be used.
PHYS-432
Severity
Info
Description
Timing derate sdc file found.
What's Next
The timing derate sdc file was found in the saved database.
PHYS-434
Severity
Info
Description
Timing derate sdc file not found.
What's Next
The timing derate sdc file was not found in the saved database.
PHYS-435
Severity
Info
Description
Operating condition was not set.
What's Next
The operating condition was not set because of the above reasons.
PHYS-437
Severity
Info
Description
Found operating conditions in view definition file.
What's Next
Found the above operating conditions corresponding to the specified corner.
PHYS-438
Severity
Info
Description
Could not find operating conditions in the view definition file.
What's Next
Could not find the operating conditions corresponding to the specified corner for the above reasons.
PHYS-439
Severity
Info
Description
Set the operating conditions from the view definition file.
What's Next
Operating conditions set according the view definition file.
PHYS-440
Severity
Info
Description
The design being restored is a CPF design.
What's Next
The design being restored is a CPF design.
PHYS-444
Severity
Info
Description
Found QRC tech file.
What's Next
Found QRC tech file in view definition.
PHYS-448
Severity
Info
Description
Could not find the Config file in Encounter database.
What's Next
Enabling the conf-less flow. Will look for the globals file in the database.
PHYS-752
Severity
Info
Description
Partition Based Synthesis execution skipped.
PHYS-800
Severity
Info
Description
Deriving default site from attribute 'floorplan_default_tech_site' in order to create generic library
cells.
PHYS-1014
Severity
Info
Description
Initializing net delay weight algorithm.
What's Next
Net delay weight is enabled based on user settings.
PHYS-1020
Severity
Info
Description
Remove assigns called in iSpatial flow.
What's Next
Remove assigns called in iSpatial flow since remove_assigns attribute is set.
PHYS-64
PHYS-67
PHYS-68
PHYS-91
PHYS-92
PHYS-93
PHYS-101
PHYS-103
PHYS-104
PHYS-105
PHYS-106
PHYS-107
PHYS-108
PHYS-109
PHYS-111
PHYS-112
PHYS-113
PHYS-114
PHYS-115
PHYS-118
PHYS-119
PHYS-120
PHYS-121
PHYS-122
PHYS-123
PHYS-124
PHYS-125
PHYS-126
PHYS-128
PHYS-131
PHYS-132
PHYS-133
PHYS-135
PHYS-136
PHYS-140
PHYS-141
PHYS-142
PHYS-143
PHYS-147
PHYS-150
PHYS-152
PHYS-155
PHYS-156
PHYS-157
PHYS-158
PHYS-159
PHYS-168
PHYS-169
PHYS-170
PHYS-171
PHYS-172
PHYS-175
PHYS-176
PHYS-178
PHYS-179
PHYS-187
PHYS-189
PHYS-190
PHYS-191
PHYS-192
PHYS-193
PHYS-195
PHYS-196
PHYS-197
PHYS-199
PHYS-203
PHYS-207
PHYS-208
PHYS-209
PHYS-210
PHYS-211
PHYS-212
PHYS-214
PHYS-217
PHYS-219
PHYS-225
PHYS-226
PHYS-227
PHYS-228
PHYS-229
PHYS-231
PHYS-232
PHYS-240
PHYS-241
PHYS-242
PHYS-243
PHYS-245
PHYS-246
PHYS-252
PHYS-253
PHYS-254
PHYS-255
PHYS-256
PHYS-257
PHYS-258
PHYS-259
PHYS-260
PHYS-261
PHYS-262
PHYS-264
PHYS-265
PHYS-266
PHYS-267
PHYS-279
PHYS-280
PHYS-297
PHYS-299
PHYS-300
PHYS-301
PHYS-302
PHYS-305
PHYS-311
PHYS-330
PHYS-350
PHYS-351
PHYS-353
PHYS-356
PHYS-359
PHYS-360
PHYS-361
PHYS-370
PHYS-413
PHYS-429
PHYS-433
PHYS-443
PHYS-500
PHYS-501
PHYS-502
PHYS-510
PHYS-599
PHYS-600
PHYS-601
PHYS-606
PHYS-900
PHYS-1000
PHYS-1001
PHYS-1002
PHYS-1003
PHYS-1009
PHYS-1011
PHYS-1012
PHYS-1013
PHYS-1016
PHYS-1019
PHYS-1022
PHYS-1025
PHYS-1211
PHYS-1212
PHYS-1306
PHYS-1405
PHYS-1406
PHYS-2029
PHYS-2040
PHYS-2089
PHYS-2228
PHYS-2257
PHYS-2258
PHYS-2328
PHYS-2381
PHYS-2400
PHYS-2401
PHYS-2402
PHYS-2421
PHYS-2425
PHYS-2426
PHYS-6185
PHYS-6186
PHYS-11211
PHYS-11224
PHYS-15029
PHYS-12
Severity
Warning
Description
The variant range of wire parameters is too large. An example of wire parameters are, a WIDTH for
layer, PITCH for layer, MINSPACING for layers, etc.
What's Next
Check the consistency of the parameters, and see if you can ignore this message or you're using
different LEF file with wrong parameters.
PHYS-13
Severity
Warning
Description
The value of the wire parameter is too big.
What's Next
Check the consistency of the specified wire parameter.
PHYS-14
Severity
Warning
Description
The value of the wire parameter is too small.
What's Next
Check the consistency of the specified wire parameter.
PHYS-15
Severity
Warning
Description
Missing wire parameter.
What's Next
Check the wire parameter in LEF technology files.
PHYS-16
Severity
Warning
Description
Scaled capacitance per unit length is too large.
What's Next
You can use ple_max_cap_per_unit_len to increase maximum capacitance per unit length.
PHYS-17
Severity
Warning
Description
Scaled resistance per unit length is too large.
What's Next
You can use ple_max_res_per_unit_len to increase maximum resistance per unit length.
PHYS-18
Severity
Warning
Description
No placement site information in LEF file(s).
What's Next
Placement site information is used to set the 'site_size' attribute used by PLE.
PHYS-20
Severity
Warning
Description
None of the loaded LEF files have MACRO statements.
What's Next
The LEF file containing the cell specific information was not loaded. The LEF MACRO construct is
used to set the physical data on cells in the timing library. It is likely that only the technology LEF file
was loaded. Load all the associated LEF files.
PHYS-22
Severity
Warning
Description
Area per unit length is too large.
What's Next
Use the ple_max_area_per_unit_len attribute to increase the allowed limit of maximum area per
unit length.
PHYS-23
Severity
Warning
Description
Site size is too large.
What's Next
Use the max_site_size attribute to increase the allowed limit of maximum site size.
PHYS-24
Severity
Warning
Description
LEF has more layers than cap table.
What's Next
Check LEF and cap table file. Set attribute 'lef_cap_consistency_check_enable' false to skip the
check.
PHYS-25
Severity
Warning
Description
Minimum width of layer in LEF does not match minimum width of layer in cap table.
What's Next
Compare the layer MinWidth of the cap_table_file with the MinWidth (WIDTH) of the corresponding
layer in LEF. Set the attribute 'shrink_factor' to adjust the MinWidth layer value in the captable file
PHYS-26
Severity
Warning
Description
No nominal temperature specified in cap table file.
What's Next
Nominal temperature is used for calculating the temperature dependent resistance.
PHYS-27
Severity
Warning
Description
Cap table has more layers than LEF.
PHYS-28
Severity
Warning
Description
Only one file is allowed for the 'cap_table_file' attribute. In case multiple files are specified, only the
first is picked, and the other files are ignored.
PHYS-29
Severity
Warning
Description
File specified in 'cap_table_file' attribute has been ignored by the tool.
PHYS-30
Severity
Warning
Description
Only one file is allowed for the technology file attribute. In case multiple files are specified, only the
first is picked, and the other files are ignored.
PHYS-56
Severity
Warning
Description
Option '-physical' is going to be obsoleted.
What's Next
The reported area is computed using LEF cell area. Without '-physical' option, 'report area'
command reports area computed using LEF cell area if the physical library has been loaded,
otherwise, it reports area computed using LIB cell area.
PHYS-57
Severity
Warning
Description
Cells with no LEF definition.
What's Next
Some cells in design have no definition in LEF.
PHYS-58
Severity
Warning
Description
Option ignored.
What's Next
The specified option will be treated as indicated above.
PHYS-59
Severity
Warning
Description
Problem(s) encountered when restoring attributes.
What's Next
One or more problems were encountered during the attribute restoration process. See the log file for
detailed information on which attributes were skipped and for what reason.
PHYS-61
Severity
Warning
Description
Recommended data is not found.
What's Next
The recommended data is not given and the tool uses the default value. Although the data is not
mandatory, it is highly recommended to provide it in order to achieve the best result. Rerun the
command after supplying the data.
PHYS-64
Severity
Warning
Description
Could not write setup script.
What's Next
The setup script was not written for the above reason.
PHYS-67
Severity
Warning
Description
Could not reload constraint.
What's Next
Physical flow could not reload a saved constraint. This generally happens when the netlist has
changed due to optimization in the backend tool.
PHYS-68
Severity
Warning
Description
Could not find object in constraint.
What's Next
An object in constraint file could not be found. The tool will try to load the rest of the constraint.
PHYS-91
Severity
Warning
Description
Program version used to generate the design database is different than the current version.
What's Next
The different program versions could potentially lead to inconsistent results.
PHYS-92
Severity
Warning
Description
Design state before and after restore is inconsistent.
What's Next
The design state (slack or area) has changed as a result of the design export and restore process.
PHYS-93
Severity
Warning
Description
The design is not fully mapped.
What's Next
The original design intent derived from the RTL may no longer be available upon restoration.
PHYS-101
Severity
Warning
Description
LEF File Interface.
PHYS-103
Severity
Warning
Description
Marking library cell 'avoid'.
What's Next
To prevent the library cell from being set to 'avoid', set attribute 'lib_lef_consistency_check_enable'
to 'false'.
PHYS-104
Severity
Warning
Description
Cannot find physical definition.
What's Next
Set attribute 'avoid' to true on this cell or set attribute 'lib_lef_consistency_check_enable' to 'true'.
PHYS-105
Severity
Warning
Description
Area mismatch for macro (between timing and physical library).
PHYS-106
Severity
Warning
Description
Site already defined before, duplicated site will be ignored.
PHYS-107
Severity
Warning
Description
Duplicate macro definition.
PHYS-108
Severity
Warning
Description
This layer has been read already before, duplicated layer will be ignored.
PHYS-109
Severity
Warning
Description
Routing layers are defined in previous LEF file already.
PHYS-111
Severity
Warning
Description
There are no vertical or horizontal layers.
PHYS-112
Severity
Warning
Description
Physical area of cell is zero.
What's Next
Set attribute 'avoid' to true on this cell or set attribute 'lib_lef_consistency_check_enable' to 'true'.
PHYS-113
Severity
Warning
Description
PHYS-114
Severity
Warning
Description
Routing layers need to be defined in the first LEF file.
PHYS-115
Severity
Warning
Description
Bus bits are not ordered in LEF file.
What's Next
Check LEF file for correctness.
PHYS-118
Severity
Warning
Description
Region already set on instance.
PHYS-119
Severity
Warning
Description
Region already set on parent instance.
PHYS-120
Severity
Warning
Description
MASTERSLICE layer found after ROUTING or CUT layer.
What's Next
Masterslice layers are typically polysilicon layers. You must define layers in process order from
bottom to top. Correct the layer order in the LEF file.
PHYS-121
Severity
Warning
Description
DEF file has not been loaded yet.
What's Next
Load DEF file first.
PHYS-122
Severity
Warning
Description
The proper ple mode is required for this command.
What's Next
Using attribute ple_mode to change.
PHYS-123
Severity
Warning
Description
A non-orthogonal polygon segment is found.
What's Next
Currently only orthogonal polygon shapes are supported.
PHYS-124
Severity
Warning
Description
Pin has no direction specified. Defaulting to 'input'.
PHYS-125
Severity
Warning
Description
Cannot add power pin to a library cell that is currently instantiated in a netlist.
PHYS-126
Severity
Warning
Description
Cannot add ground pin to a library cell that is currently instantiated in a netlist.
PHYS-128
Severity
Warning
Description
Library cell pin 'use' attribute is inconsistent between lib and LEF.
What's Next
Overriding lib value with LEF value. To use .lib as golden do: '::legacy::set_attribute
use_power_ground_pin_from_lef false'.
PHYS-131
Severity
Warning
Description
The utilization of design exceeds the threshold defined by attribute utilization_threshold and
Incremental Optimization step will be limited.
What's Next
Incremental Optimization may be limited due to high utilization in design.
PHYS-132
Severity
Warning
Description
The proper placement status is required for this command option.
PHYS-133
Severity
Warning
Description
Found additional logical pin for cell in LEF library.
What's Next
When the tool finds a logical pin for a cell in the LEF library that is not present in the logical library,
the tool adds this pin to the libcell definition in the session. To prevent this pin addition, set the
'lef_add_logical_pins' root attribute to 'false'.
PHYS-135
Severity
Warning
Description
Pin names are not consistent in lib and LEF files.
PHYS-136
Severity
Warning
Description
Library consistency check terminated.
PHYS-140
Severity
Warning
Description
There is SAMEMASK attribute in one or more rules on a layer without a MASK statement.
What's Next
To avoid this warning message, add the MASK statement to the layer using LEF version 5.8.
PHYS-141
Severity
Warning
Description
Netlist has library cells with no physical information in LEF. This may lead to incorrect area
calculation.
What's Next
To prevent this, add physical information for this library cell to LEF, or set 'use_area_from_lef'
attribute to false.
PHYS-142
Severity
Warning
Description
Pin with no geometry. Location offsets set to zero.
PHYS-143
Severity
Warning
Description
Macro resized due to mismatch between width/height and overlap boundary.
PHYS-147
Severity
Warning
Description
Pin type in .lib and LEF files is not consistent.
What's Next
The tool cannot override the value of the pin 'USE' attribute in the LEF library.
PHYS-150
Severity
Warning
Description
Pin does not exist in the netlist.
PHYS-152
Severity
Warning
Description
Component is outside the die.
PHYS-155
Severity
Warning
Description
PHYS-156
Severity
Warning
Description
Toplevel port found in netlist but not in the DEF.
PHYS-157
Severity
Warning
Description
A row site named 'unit' not defined in LEF was found.
PHYS-158
Severity
Warning
Description
Layer does not exist in the LEF.
PHYS-159
Severity
Warning
Description
A row site is being replaced.
PHYS-168
Severity
Warning
Description
Overlapping region detected.
PHYS-169
Severity
Warning
Description
Replacing existing row definition.
PHYS-170
Severity
Warning
Description
Skipping existing row definition.
PHYS-171
Severity
Warning
Description
Component not present in netlist.
What's Next
The instance in the DEF COMPONENTS section does not exist in the netlist. If the instance is
expected to be pre-placed, check the instance name, otherwise the tool could place it to
unexpected location.
PHYS-172
Severity
Warning
Description
Read hierarchical DEF to resolve the component.
PHYS-175
Severity
Warning
Description
Unmapped component cannot be placed.
PHYS-176
Severity
Warning
Description
Components in hierarchical DEF must be fixed or unplaced.
What's Next
This message has a default max print count of '10', which can be changed by setting the 'max_print'
attribute.
PHYS-178
Severity
Warning
Description
Metal fill present.
PHYS-179
Severity
Warning
Description
Scan chain present.
What's Next
The DEF file contains scan chain information.
PHYS-187
Severity
Warning
Description
PHYS-189
Severity
Warning
Description
Cover bump macro present.
What's Next
This message has a default max print count of '10', which can be changed by setting the 'max_print'
attribute.
PHYS-190
Severity
Warning
Description
Pin layer does not match LEF data.
What's Next
Pin layers must be consistent with the LEF defined data.
PHYS-191
Severity
Warning
Description
Row site does not match LEF data.
What's Next
The rows in the DEF file are defined using a site name that does not exist in the LEF data. This
could cause placement problems if the rows are being used to define the placement area. In other
words, if the rows are used to derive implicit blockages instead of using blockage statements. Make
sure the LEF and DEF go together.
PHYS-192
Severity
Warning
Description
Track layer does not match LEF data.
What's Next
DEF track layers must be consistent with the LEF defined data.
PHYS-193
Severity
Warning
Description
Via layer does not match LEF data.
PHYS-195
Severity
Warning
Description
Group member not in netlist.
PHYS-196
Severity
Warning
Description
No defined netlist members in group.
PHYS-197
Severity
Warning
Description
Large instance in netlist with no placement.
PHYS-199
Severity
Warning
Description
Skipping component with filler macro.
PHYS-203
Severity
Warning
Description
Skipping component with core welltap macro.
PHYS-207
Severity
Warning
Description
Cannot find this net in netlist.
What's Next
Check this net name in the nets directory.
PHYS-208
Severity
Warning
Description
Cannot find this port in netlist.
What's Next
Check this port name in the port directory.
PHYS-209
Severity
Warning
Description
Cannot find this instance in netlist.
What's Next
Check this instance name in the instance directory.
PHYS-210
Severity
Warning
Description
Unrecognizable text found in SPEF file.
What's Next
Check the SPEF file for correct syntax.
PHYS-211
Severity
Warning
Description
Physical cell not created due to missing macro.
PHYS-212
Severity
Warning
Description
Bump cell not created due to missing macro.
PHYS-214
Severity
Warning
Description
Library cell not defined in physical library.
What's Next
Ensure that the proper LEF files are available and have been imported.
PHYS-217
Severity
Warning
Description
No GCELLGRID statements defined.
What's Next
Automatically creating default gcells.
PHYS-219
Severity
Warning
Description
Instance in netlist with no LEF data.
PHYS-225
Severity
Warning
Description
Incremental mode found existing group.
PHYS-226
Severity
Warning
Description
Coincident pins detected.
PHYS-227
Severity
Warning
Description
Incremental mode found existing region.
PHYS-228
Severity
Warning
Description
SPECIALNET connection not found.
PHYS-229
Severity
Warning
Description
Routing halo layer does not match LEF data.
PHYS-231
Severity
Warning
Description
Via does not exist in LEF or DEF data.
What's Next
Any Via used in a DEF file needs to be defined in either the LEF or DEF files. Most commonly, the
via definition is missing from the DEF file and it will have to be regenerated.
PHYS-232
Severity
Warning
Description
Unplaceable libcell found.
What's Next
Libcells with no defined row site cannot be placed.
PHYS-240
Severity
Warning
Description
Hierarchical instance contains unmapped logic.
PHYS-241
Severity
Warning
Description
Instance is unmapped.
What's Next
Make sure that all instances are mapped.
PHYS-242
Severity
Warning
Description
Blockage with invalid coordinates.
PHYS-243
Severity
Warning
Description
PHYS-245
Severity
Warning
Description
Skipping group associated with a bad region.
PHYS-246
Severity
Warning
Description
Clipping ILM fence to core boundary.
PHYS-252
Severity
Warning
Description
Cannot find this net name, or is driven by constant.
What's Next
Check this net name in the nets directory.
PHYS-253
Severity
Warning
Description
Cannot find this pin or port name.
What's Next
Check this pin name in the pin directories.
PHYS-254
Severity
Warning
Description
Cannot annotate physical data on this net.
PHYS-255
Severity
Warning
Description
Cannot find mapped name.
What's Next
Check map table in SPEF file.
PHYS-256
Severity
Warning
Description
Annotated physical data will be ignored for timing analysis.
PHYS-257
Severity
Warning
Description
What's Next
Currently, the driver to load physical delay can be annotated to leaf pins only.
PHYS-258
Severity
Warning
Description
Reduced net information will be ignored.
PHYS-259
Severity
Warning
Description
Not pre routed net will be ignored, when pre_routed_nets option specified.
PHYS-260
Severity
Warning
Description
Not ndr net will be ignored, when ndr_nets option specified.
PHYS-261
Severity
Warning
Description
Net without pre routed and ndr information will be ignored, when pre_routed_nets and ndr_nets
options specified.
PHYS-262
Severity
Warning
Description
Small lumped capacitance will be ignored.
PHYS-264
Severity
Warning
Description
RC corner given is not active.
PHYS-265
Severity
Warning
Description
Nets driven by power or ground pin(s) will be ignored.
PHYS-266
Severity
Warning
Description
Cannot find this net.
PHYS-267
Severity
Warning
Description
Cannot use initialize while hierarchical option is specified.
PHYS-279
Severity
Warning
Description
Physical cell not defined in library.
What's Next
Ensure that the proper library files are available and have been imported.
PHYS-280
Severity
Warning
Description
Duplicate via definition.
What's Next
Only one via definition allowed. Check LEF and DEF via definitions.
PHYS-297
Severity
Warning
Description
One instance cannot belong to two groups at the same time.
What's Next
Reset the instance's group membership before re-assigning to a new group.
PHYS-299
Severity
Warning
Description
Component with invalid coordinates.
PHYS-300
Severity
Warning
Description
Cannot set physical capacitance to a net without driver or driven by constant.
What's Next
Use a 'vls -a' to confirm that the net has no driver or constant.
PHYS-301
Severity
Warning
Description
Cannot set physical delay/resistance to hierarchical pin.
What's Next
Set physical delay/resistance to leaf load or driver or driver-load pair.
PHYS-302
Severity
Warning
Description
Cannot set physical delay/resistance to this leaf load.
What's Next
Set physical delay/resistance to leaf load or driver or driver-load pair.
PHYS-305
Severity
Warning
Description
Cannot set physical delay/resistance using set_attribute to driver pin.
What's Next
Set pin physical delay/resistance attribute to leaf load only.
PHYS-311
Severity
Warning
Description
Library cell instantiation cannot be swapped.
PHYS-330
Severity
Warning
Description
Name contains an asterisk. This is treated as wildcard match and can slow searches.
PHYS-350
Severity
Warning
Description
The version of the imported DEF is greater than the version specified for the exported DEF.
What's Next
The format version number specified in the DEF that has been imported into the current session is
newer than the version number that has been specified for the exported DEF. This may result in
illegal constructs in the exported DEF. The suggested methodology is to convert the DEF to the
proper version prior to importing.
PHYS-351
Severity
Warning
Description
The specified DEF version does not fall within the allowed range.
What's Next
The DEF format version number specified newer or older than the allowed version range. This may
result in illegal constructs in the exported DEF.
PHYS-353
Severity
Warning
Description
Incomplete blockage definition.
What's Next
A required parameter is missing from the blockage definition. The blockage will not be included in
the DEF.
PHYS-356
Severity
Warning
Description
What's Next
The port direction is unknown therefore 'inout' is assumed.
PHYS-359
Severity
Warning
Description
Port or instance placement status is not specified.
What's Next
The placement location is specified, however, the placement status is not. This could indicate a
problem with the floorplan. A value of 'placed' is assumed.
PHYS-360
Severity
Warning
Description
Port or instance placement orientation is not specified.
What's Next
The placement location is specified, however, the orientation is not. This indicates a potential
problem with the floorplan. A value of 'N' is assumed.
PHYS-361
Severity
Warning
Description
DEF construct not supported by the specified DEF version.
What's Next
The DEF construct is not supported by the specified version of the DEF standard. The construct will
be ignored.
PHYS-370
Severity
Warning
Description
Power domain boundary is outside core.
PHYS-413
Severity
Warning
Description
No view definition file present, the worst_corner switch is ignored.
What's Next
Since there is no view definition file present in the database, the worst_corner switch will be
ignored.
PHYS-429
Severity
Warning
Description
The GUI must be visible before 'def_move' highlighting.
What's Next
Use the 'gui_show' command to make the GUI visible.
PHYS-433
Severity
Warning
Description
Some of the derate commands could not be applied.
What's Next
Some of the derate commands in the timing derate SDC file could not be applied.
PHYS-443
Severity
Warning
Description
A fully Placed design has been imported. Timing computation will not consider the cell placement.
What's Next
Current mode is 'PLE', and NOT 'placement'. Run 'syn_opt -physical' to account for placement in
delay calculation.
PHYS-500
Severity
Warning
Description
Skipping Morphing, current utilization is too high (>90%).
What's Next
Morphing is not performed since current utilization of the design is > 90%. For very high utilization
designs morphing can lead to timing degradation.
PHYS-501
Severity
Warning
Description
Skipping Morphing, more than 30% of the grid-cells in the design are congested.
What's Next
Morphing is not performed since more than 30% of the grid-cells in the design are congested. This
could mean design is globally congested. Morphing is not a good methodology to fix global
congestion issues.
PHYS-502
Severity
Warning
Description
Inconsistency detected in the units specified among the timing libraries being used.
What's Next
Timing or Capacitance units are inconsistent among the timing libraries being used. Innovus will
use default system time/capacitance unit of 1ns/1pF respectively. Use the 'set_library_unit'
command in postload script to set a specific time/capacitance unit.
PHYS-510
Severity
Warning
Description
Creating hybrid rows.
What's Next
To disable hybrid row creation reset the design attribute 'floorplan_default_row_pattern'.
PHYS-599
Severity
Warning
Description
Data from existing technology file cannot be overwritten by 'cap_table_file'.
What's Next
Reset technology file attribute to empty string first.
PHYS-600
Severity
Warning
Description
Inconsistent data.
What's Next
Input data used to create PLE correlation file is different from data used in this session. This might
lead to invalid results. Check design data.
PHYS-601
Severity
Warning
Description
Data from existing 'cap_table_file' is overwritten by technology file.
What's Next
Technology file has higher precedence over 'cap_table_file'.
PHYS-606
Severity
Warning
Description
Sampled nets too few to be able to proceed. Generating PLE model for small number of routable
nets is not supported.
What's Next
Generating PLE model for small number of routable nets is not supported.
PHYS-900
Severity
Warning
Description
Multiple library domains without CPF or 1801 found. Disabling optDesign and
invs_preexport_script loading in Innovus.
What's Next
Innovus optimization does not support multiple library domains without CPF or 1801. Hence all
optimization is disabled in Innovus along with invs_preexport_script as this can cause unresolved
instances in Genus.
PHYS-1000
Severity
Warning
Description
Number of threads requested for Innovus are more than available licenses allow.
What's Next
Only 4 threads are available using the basic physical synthesis license. More licenses need to be
checked out to enable requested threads in Innovus.
PHYS-1001
Severity
Warning
Description
Design source is not RTL. Innovus license will be checked out.
What's Next
Genus Physical requires the design to start from RTL. For designs starting from mapped netlist a full
Innovus license will be required.
PHYS-1002
Severity
Warning
Description
Output directory already exists.
What's Next
The directory to be used to store output data already exists. The data will be overwritten with new
generated data.
PHYS-1003
Severity
Warning
Description
Do not use hierarchical names for design_lib. Instead define the dirname path in cds.lib like
"DEFINE <dirname> <path to dir>".
What's Next
Do not use hierarchical names for design_lib. To write out the db in a different directory define the
dirname path in cds.lib like "DEFINE <dirname> <path to dir>".
PHYS-1009
Severity
Warning
Description
The option "-netlist" is redundant with option "-innovus".
What's Next
The netlist is written as a part of "-innovus" option. "-netlist" is redundant option if "-innovus" is used
.
PHYS-1011
Severity
Warning
Description
Attribute design_process_node is not set for this design.
What's Next
When attribute design_process_node is not set to an appropriate integer value >=5 and <=250, then
Innovus will assume its own default value for the design process node. See the Innovus
setDesignMode -process <> command for more information about what this setting does.
PHYS-1012
Severity
Warning
Description
Incompatible option -db_dir with attribute write_snapshot_skip_write_db set to true.
What's Next
When the attribute write_snapshot_skip_db is true, db is not written out. The option -db_directory is
not be honored when the attribute write_snapshot_skip_write_db is true. Either set
write_snapshot_skip_write_db to false or remove the switch -db_directory.
PHYS-1013
Severity
Warning
Description
Incompatible option -split_db with attribute write_snapshot_skip_write_db set to true.
What's Next
When the attribute write_snapshot_skip_db is true, db is not written out. The option -split_db is not
honored when the attribute write_snapshot_skip_write_db is true. Either set
write_snapshot_skip_write_db to false or remove the switch -split_db.
PHYS-1016
Severity
Warning
Description
Removing most path_adjusts from Genus in preparation to going to Innovus.
What's Next
Removing path_adjusts that are not derived directly from SDC constraints from Genus in
preparation to going to Innovus.
PHYS-1019
Severity
Warning
Description
There are settings for use of this opt_spatial_effort that should be reviewed as they are likely
inappropriate.
What's Next
Review associated messages in log file.
PHYS-1022
Severity
Warning
Description
The selected flow setting(s) will be removed in a future release.
What's Next
Contact Cadence support to understand current flows.
PHYS-1025
Severity
Warning
Description
Path-groups have been reset before iSpatial.
What's Next
Recreation of path-groups is left to the user after iSpatial for reporting.
PHYS-1211
Severity
Warning
Description
Exception occurred while invoking kits.
PHYS-1212
Severity
Warning
Description
PHYS-1306
Severity
Warning
Description
Cannot set non-default rule type or route type using set_attribute to non-driver pin.
What's Next
Set non-default rule type or route type attribute to driver pin only.
PHYS-1405
Severity
Warning
Description
Problem setting probabilistic extracted capacitance due to no route data.
What's Next
Check routable nets exist first.
PHYS-1406
Severity
Warning
Description
Design has no layer tracks defined.
What's Next
Creating temporary layer tracks for extraction map only.
PHYS-2029
Severity
Warning
Description
There is rectangle not on Manufacturing Grid.
PHYS-2040
Severity
Warning
Description
What's Next
The sites must be defined before they can be referenced from a macro. Review the LEF files
specified in the lef_library attribute to see if the site does not exist or is specified after the one that
defines the macro.
PHYS-2089
Severity
Warning
Description
There is a zero-sized rectangle on a PIN object in this DEF file.
What's Next
This shape is ignored and lost. You should find out how this shape got into the DEF file and fix it
before continuing.
PHYS-2228
Severity
Warning
Description
Ignoring 'FE_SOFT_FIXED' property.
PHYS-2257
Severity
Warning
Description
Net specified in pin is undefined in the netlist.
PHYS-2258
Severity
Warning
Description
Dummy net created for the pin but the result can be unpredictable.
PHYS-2328
Severity
Warning
Description
Cannot find via referenced in NONDEFAULTRULE.
What's Next
Make sure there is an appropriate via definition before reading DEF.
PHYS-2381
Severity
Warning
Description
Multiple ports are found on a pin without MUSTJOINALLPORTS in the pin property in the LEF file.
What's Next
This means that only one port would be connected, which may not be the expected behavior.
Should consider adding MUSTJOINALLPORTS property.
PHYS-2400
Severity
Warning
Description
Inconsistent DEF file.
What's Next
There are inconsistencies between LEF/DEF properties.
PHYS-2401
Severity
Warning
Description
Inconsistent DEF file.
What's Next
There are inconsistencies between LEF/DEF properties.
PHYS-2402
Severity
Warning
Description
Inconsistent DEF file.
What's Next
There are inconsistencies between LEF/DEF properties.
PHYS-2421
Severity
Warning
Description
Inconsistent LEF file.
What's Next
Mutually exclusive properties defined for a macro.
PHYS-2425
Severity
Warning
Description
Inconsistent LEF file.
What's Next
Insufficient library properties defined.
PHYS-2426
Severity
Warning
Description
Inconsistent LEF file.
What's Next
Mutually exclusive properties defined for a macro.
PHYS-6185
Severity
Warning
Description
The technology file name given is incorrect.
PHYS-6186
Severity
Warning
Description
PHYS-11211
Severity
Warning
Description
Exception occurred while invoking kits.
PHYS-11224
Severity
Warning
Description
Faulty issue occurred while generating layer ids for technology file.
PHYS-15029
Severity
Warning
Description
Incorrect file or syntax while mapping lef layer and tech layer.
72
PI
PI Error Messages
PI Information Messages
PI Warning Messages
PI Error Messages
PI-1
PI-2
PI-100
PI-1
Severity
Error
Description
Could not find an object.
What's Next
Fix the power intent file and read power intent again.
PI-2
Severity
Error
Description
Cannot read power intent.
What's Next
Read power intent file after removing design or in a fresh session.
PI-100
Severity
Error
Description
Detected error in power intent file.
What's Next
Fix the error and read the power intent file again.
PI Information Messages
PI-406
PI-610
PI-611
PI-612
PI-614
PI-701
PI-702
PI-901
PI-406
Severity
Info
Description
Replaced library cell of a buffer or inverter.
What's Next
Tool replaced the library cell of a buffer or inverter as the current cell is not appropriate with respect
to power intent.
PI-610
Severity
Info
Description
Operating voltage successfully applied.
PI-611
Severity
Info
Description
Multiple library domains match with the given voltage.
PI-612
Severity
Info
Description
Macro supply pins are not connected.
What's Next
Since macro supply pins are not connected, tool will either leave it unresolved or pick cell by only
matching name.
PI-614
Severity
Info
Description
Resolving macro instance based on voltages.
What's Next
Tool has selected a library cell based on specified voltages.
PI-701
Severity
Info
Description
Replaced library cell of a buffer or inverter.
What's Next
Tool replaced library cell the instance as the current cell is not appropriate with respect to power
intent.
PI-702
Severity
Info
Description
What's Next
Tool removed the instance as it is not appropriate with respect to power intent and there is no
suitable library cell to replace with.
PI-901
Severity
Info
Description
Created an internal power domain.
What's Next
Tool created an internal power domain to represent supplies which are not associated as primary
supply for any existing power domains.
PI Warning Messages
PI-101
PI-102
PI-300
PI-401
PI-402
PI-403
PI-404
PI-405
PI-407
PI-410
PI-411
PI-412
PI-450
PI-460
PI-461
PI-498
PI-499
PI-500
PI-613
PI-615
PI-101
Severity
Warning
Description
Power Intent flow is enabled however 'commit_power_intent' is not executed before synthesis.
What's Next
Execute 'commit_power_intent' before running 'syn_gen'.
PI-102
Severity
Warning
Description
Found wrong command order in power Intent flow.
What's Next
If you execute the 'commit_power_intent' command after the 'syn_gen' commands, some design
objects or power domain crossings might be optimized and this could result in a different isolation in
implementation and formal verification. To avoid unwanted optimizations, execute the
'commit_power_intent' command before the 'syn_gen' command.
PI-300
Severity
Warning
Description
Cannot ungroup instance due to power intent constraints.
What's Next
Power intent specification disallows ungrouping of this instance.
PI-401
Severity
Warning
Description
Possibly inconsistent power intent.
What's Next
Check the power intent file. The power intent may be incorrect.
PI-402
Severity
Warning
Description
Could not find an object.
What's Next
Ensure that the object name is correct.
PI-403
Severity
Warning
Description
An object has inherited a power domain from which it was excluded in power intent file.
What's Next
The object was marked to be excluded from a power domain but it was not assigned a proper
power domain explicitly. The object has inherited the same power domain from power intent
semantics. There may be a problem in power intent specification.
PI-404
Severity
Warning
Description
An port has anonymous a power domain in power intent file.
What's Next
The specified port has anonymous power domain in power intent file. The attribute reports the
power domain which is inherited by this object from its parent. For this port 'commit_power_intent'
may not consider the reported power domain during crossing analysis.
PI-405
Severity
Warning
Description
Detected a supply network inconsistency.
What's Next
Change the library or power intent. If it is the expected behavior, ignore this warning.
PI-407
Severity
Warning
Description
A pin related to backup power does not have explicit supply in power intent.
What's Next
It is recommended that pins related to backup power have their supply clearly defined in input
power intent to avoid ambiguities in flow. Tool will not write out its supply in output power intent or
physical netlist.
PI-410
Severity
Warning
Description
No library domain matching the given voltage.
PI-411
Severity
Warning
Description
No supply with specified name in power intent.
What's Next
Set supply name to a value that matches primary power supply of a power domain.
PI-412
Severity
Warning
Description
What's Next
Check the libraries and set_operating_voltage commands. If needed update libraries or re-issue
set_operating_voltage command appropriately.
PI-450
Severity
Warning
Description
Old power intent database.
What's Next
Power intent may not be restored properly. Consider reading original design and power intent in a
new session.
PI-460
Severity
Warning
Description
Detected potential problem in partitioned power intent.
What's Next
Manual editing of written out power intent may be needed. Its possible that this block is not suitable
for partitioning.
PI-461
Severity
Warning
Description
The file specified does not exist or is not readable.
What's Next
Ensure that the file specified exists and readable before using file generated with
write_power_intent or update the value of attribute appropriately before write_power_intent.
PI-498
Severity
Warning
Description
Unexpected buffers detected.
What's Next
The specified pins should not be buffered for a proper power intent implementation. The resulting
netlist may cause issues in downstream flow.
PI-499
Severity
Warning
Description
Possible issue in power intent of derived instance.
What's Next
Derived power intent may be incorrect or incomplete. Consider deriving parent/child of this
instance. It is possible that input power intent cannot be partitioned.
PI-500
Severity
Warning
Description
Cannot apply power intent on the design.
What's Next
There was a problem applying power intent on the design. The power intent may be incorrect.
PI-613
Severity
Warning
Description
No suitable library cell found to match the instance.
What's Next
Ensure that library has suitable library cells.
PI-615
Severity
Warning
Description
Converting macro to a blackbox.
What's Next
Ensure that suitable library cell is available.
73
PLC
PLC-1
Severity
Info
Description
Placement Information.
PLC-2
Severity
Warning
Description
Placement Warning.
PLC-3
Severity
Warning
Description
Placement Error.
74
PMBIST
PMBIST-118
PMBIST-119
PMBIST-120
PMBIST-121
PMBIST-122
PMBIST-123
PMBIST-124
PMBIST-125
PMBIST-126
PMBIST-127
PMBIST-128
PMBIST-129
PMBIST-130
PMBIST-131
PMBIST-132
PMBIST-133
PMBIST-134
PMBIST-135
PMBIST-136
PMBIST-137
PMBIST-713
PMBIST-731
PMBIST-737
PMBIST-739
PMBIST-749
PMBIST-750
PMBIST-751
PMBIST-752
PMBIST-790
PMBIST-791
PMBIST-792
PMBIST-793
PMBIST-794
PMBIST-795
PMBIST-797
PMBIST-914
PMBIST-915
PMBIST-935
PMBIST-937
PMBIST-938
PMBIST-954
PMBIST-955
PMBIST-956
PMBIST-3005
PMBIST-3006
PMBIST-3011
PMBIST-3013
PMBIST-3015
PMBIST-3018
PMBIST-3019
PMBIST-3020
PMBIST-3023
PMBIST-3027
PMBIST-3032
PMBIST-3033
PMBIST-3034
PMBIST-3042
PMBIST-3048
PMBIST-3049
PMBIST-3050
PMBIST-3051
PMBIST-3052
PMBIST-3053
PMBIST-3056
PMBIST-3059
PMBIST-3060
PMBIST-3061
PMBIST-3062
PMBIST-3064
PMBIST-3066
PMBIST-3067
PMBIST-3068
PMBIST-3070
PMBIST-3071
PMBIST-3074
PMBIST-3082
PMBIST-3083
PMBIST-3084
PMBIST-3085
PMBIST-3087
PMBIST-3089
PMBIST-3090
PMBIST-3094
PMBIST-3096
PMBIST-3098
PMBIST-3100
PMBIST-3101
PMBIST-3102
PMBIST-3103
PMBIST-3104
PMBIST-3105
PMBIST-3106
PMBIST-3107
PMBIST-3110
PMBIST-4000
PMBIST-4001
PMBIST-4002
PMBIST-4003
PMBIST-4004
PMBIST-4005
PMBIST-4006
PMBIST-4007
PMBIST-4008
PMBIST-4009
PMBIST-4010
PMBIST-4011
PMBIST-4012
PMBIST-4013
PMBIST-4014
PMBIST-4015
PMBIST-4016
PMBIST-4017
PMBIST-4018
PMBIST-4019
PMBIST-4020
PMBIST-4021
PMBIST-4022
PMBIST-4023
PMBIST-4024
PMBIST-4025
PMBIST-4026
PMBIST-4027
PMBIST-4028
PMBIST-4029
PMBIST-4030
PMBIST-4031
PMBIST-4032
PMBIST-4033
PMBIST-4034
PMBIST-4035
PMBIST-4036
PMBIST-4037
PMBIST-4038
PMBIST-4039
PMBIST-4040
PMBIST-4041
PMBIST-4042
PMBIST-4043
PMBIST-4044
PMBIST-4045
PMBIST-4046
PMBIST-4047
PMBIST-4048
PMBIST-4049
PMBIST-4050
PMBIST-4051
PMBIST-4052
PMBIST-4053
PMBIST-4054
PMBIST-4055
PMBIST-4056
PMBIST-4057
PMBIST-4058
PMBIST-4059
PMBIST-4060
PMBIST-4061
PMBIST-4062
PMBIST-4063
PMBIST-4064
PMBIST-4065
PMBIST-4066
PMBIST-4067
PMBIST-4068
PMBIST-4069
PMBIST-4070
PMBIST-4071
PMBIST-4072
PMBIST-4073
PMBIST-4074
PMBIST-4075
PMBIST-4076
PMBIST-4077
PMBIST-4078
PMBIST-4079
PMBIST-4080
PMBIST-4081
PMBIST-4082
PMBIST-4083
PMBIST-4084
PMBIST-4085
PMBIST-4086
PMBIST-4087
PMBIST-4088
PMBIST-4089
PMBIST-4090
PMBIST-4091
PMBIST-4092
PMBIST-4093
PMBIST-4094
PMBIST-4095
PMBIST-4096
PMBIST-4097
PMBIST-4098
PMBIST-4099
PMBIST-4100
PMBIST-4101
PMBIST-4102
PMBIST-4103
PMBIST-4104
PMBIST-4105
PMBIST-4106
PMBIST-4107
PMBIST-4108
PMBIST-4109
PMBIST-5000
PMBIST-5001
PMBIST-5002
PMBIST-5003
PMBIST-5004
PMBIST-5005
PMBIST-5006
PMBIST-5007
PMBIST-5008
PMBIST-5009
PMBIST-5010
PMBIST-5011
PMBIST-5102
PMBIST-5103
PMBIST-5104
PMBIST-5105
PMBIST-5106
PMBIST-5107
PMBIST-5108
PMBIST-5109
PMBIST-5110
PMBIST-5111
PMBIST-5112
PMBIST-5113
PMBIST-5114
PMBIST-5115
PMBIST-5116
PMBIST-5117
PMBIST-5119
PMBIST-5120
PMBIST-5121
PMBIST-5122
PMBIST-5123
PMBIST-5124
PMBIST-5125
PMBIST-56
Severity
Error
Description
No target groups found in the configuration file.
What's Next
Ensure that at-least one target group exists in the configuration file and re-run.
PMBIST-69
Severity
Error
Description
The number of clock pins is inconsistent.
What's Next
The number of clock pins associated with bist enable pin of memory cell is inconsistent. Check the
.lib file to ensure there are two clocks related to bist enable pin only if memory supports test
wrapped clock port. Otherwise functional clock should be the only related clock to bist enable pin.
PMBIST-71
Severity
Error
Description
Cannot find the clock pin related to pin/bus.
What's Next
Check .lib file for related clock pin attribute for referenced pin/bus. Cannot insert BIST to any
instances of memory cell.
PMBIST-94
Severity
Error
Description
Memory cell is not a supported memory structure.
What's Next
MBIST is not inserted for this cell. Ensure all target memory cells are valid memory structures.
PMBIST-95
Severity
Error
Description
Unable to find the number of ports on the memory cell.
What's Next
MBIST is not inserted for this cell. Ensure each port has a distinct address bus and a clock pin.
PMBIST-98
Severity
Error
Description
Cannot determine type and or functionality of pin.
What's Next
Either the 'memory_read/memory_write' section is missing for this pin/bus in the liberty file or
'port_alias/port_action' statement is missing in the memory view file. MBIST is not inserted for this
cell. Either correct the liberty file or use the 'wrapper' statement in the memory view file and fully
specify the memory ports and re-run.
PMBIST-99
Severity
Error
Description
MBIST is not inserted for this cell.
What's Next
MBIST insertion requires the clock to have active high polarity to synchronize the memory cell.
Memory cell uses a clock with active low polarity so MBIST is not inserted for this cell. Ensure the
memory cell has an active high clock.
PMBIST-100
Severity
Error
Description
Could not open input file.
What's Next
The specified file could not be found when the application attempted to open it for input. Ensure the
path and file name are correct.
PMBIST-102
Severity
Error
Description
Compressed files are not supported in RTL flow.
What's Next
Make sure that the compressed files are not specified in RTL flow. Correct the issue and re-run.
PMBIST-104
Severity
Error
Description
Memory view file module address partition specification error.
What's Next
Address partition specification must contain 'row' bits. Ensure that the 'row' bits are specified and re-
run.
PMBIST-105
Severity
Error
Description
Memory view file module address partition specification error.
What's Next
Address partition specification must contain more than one 'row' bits. Ensure that the valid 'row' bits
are specified and re-run.
PMBIST-112
Severity
Error
Description
Input file error.
What's Next
Correct the problem identified by the line and column.
PMBIST-113
Severity
Error
Description
Input file error.
What's Next
Correct the problem identified by the line and columns.
PMBIST-114
Severity
Error
Description
Memory allocation errors.
What's Next
Input file parsing detected memory allocation errors. Check the system for the load and available
memory.
PMBIST-115
Severity
Error
Description
Input file contains group(s) other than algorithm/algorithm_constraint/testplan definition.
What's Next
Ensure that only test conditions exist while reading test conditions specific file.
PMBIST-116
Severity
Error
Description
Memory view file module group specification re-specifies memory module.
What's Next
Verify all the memory related information is either provided in a single module group or the wrapper
specification is correctly specified and re-run.
PMBIST-117
Severity
Error
Description
Memory module group ignored.
What's Next
Configuration file module group specification contains only re-specified memory modules. It is
ignored. Verify all the memory related information is provided in a single module group.
PMBIST-118
Severity
Error
Description
Memory view file module address partition specification error.
What's Next
Address partition specification contains a data-bit value which is outside the range of the word
width. Ensure that the data bit value specified in the address partition section are with-in the range
and re-run.
PMBIST-119
Severity
Error
Description
Memory view file module address partition specification error.
What's Next
Address partition specification contains a bank value which is outside the range of the banks.
Ensure that the bank value specified in the address partition section are with-in the range and re-
run.
PMBIST-120
Severity
Error
Description
Memory view file module address partition specification error.
What's Next
Address partition specification contains an order keyword with non-power of two address values.
Ensure the order size is a power of two.
PMBIST-121
Severity
Error
Description
Memory view file module address partition specification error.
What's Next
Address partition specification contains an order keyword with invalid address values.
PMBIST-122
Severity
Error
Description
Memory view file module address partition specification error.
What's Next
Address partition specification contains an order keyword with invalid number of address values.
Ensure the order size is proper and re-run.
PMBIST-123
Severity
Error
Description
Memory view file module address partition specification error.
What's Next
Invalid logical address range specified. Ensure that the range is non-overlapping across 'rows' and
'columns' and lies with-in the valid address range of the memory cell.
PMBIST-124
Severity
Error
Description
Cannot determine the size of the address bus for the memory cell.
What's Next
Ensure that the 'address_width' attribute is specified in the 'memory' section of this memory cell in
the liberty file and re-run.
PMBIST-125
Severity
Error
Description
Programmable MBIST direct access function exists.
What's Next
Specified programmable MBIST direct access function already exists. To update this function, you
must first remove this programmable direct access function and then redefine it.
PMBIST-126
Severity
Error
Description
Missing direct access specific function for the block.
What's Next
Specified MBIST direct access function is missing for the specified block. Block must have the
superset of the direct access functions required at the current level.
PMBIST-127
Severity
Error
Description
Conflicting clock requirements for the direct access specific function on the block.
What's Next
Specified MBIST direct access function clock of the specified block differs than that of the current
MBIST direct access function clock. Clock requirements for the direct access functions on the
blocks being merged must be same as that of direct access functions required at the current level.
PMBIST-128
Severity
Error
Description
Consistency check failed.
What's Next
Correct the above mentioned issue and re-run.
PMBIST-129
Severity
Error
Description
Memory view file contains group(s) other than module definition.
What's Next
Ensure that only module definitions exist with 'read_memory_view'.
PMBIST-130
Severity
Error
Description
Memory module or wrapper or macro interface already exists.
What's Next
Specified memory module or wrapper or macro interface already exists. To update this
module/wrapper/macro interface, you must either first remove this module/wrapper/macro interface
and then redefine it or specify the correct wrapper/macro interface in the memory view file.
PMBIST-131
Severity
Error
Description
Duplicate entry found in the memory view file.
What's Next
Specified entry exists multiple times. Remove the duplicate definitions and re-run.
PMBIST-132
Severity
Error
Description
The specified data-bit is missing in the memory view file.
What's Next
Make sure that all the data bits are specified in the memory view file and re-run.
PMBIST-133
Severity
Error
Description
Input file module redundancy specification error.
What's Next
Correct the error mentioned above and re-run.
PMBIST-134
Severity
Error
Description
Specification for 'address_partition' is missing from the memory view file.
What's Next
Make sure that the 'address_partition' is properly specified in the 'module' section and re-run.
PMBIST-135
Severity
Error
Description
Input file contains group(s) other than algorithm definition.
What's Next
Ensure that only algorithm definitions exist while reading algorithm specific file.
PMBIST-136
Severity
Error
Description
The specified range is incorrect.
What's Next
Specified range is outside of the valid range. Remove the invalid range and re-run.
PMBIST-137
Severity
Error
Description
Input file contains group(s) other than repair/algorithm/testplan definition.
What's Next
Ensure that only the repair group and test conditions exist while reading repair specific file.
PMBIST-713
Severity
Error
Description
Dynamic memory allocation failed.
What's Next
Ensure sufficient memory is available on the system and re-run.
PMBIST-731
Severity
Error
Description
No memory view file provided.
What's Next
Provide a valid memory view file.
PMBIST-737
Severity
Error
Description
Cannot create a temporary file for internal processing.
What's Next
Check the available disk space and the proper permissions for the directory structure.
PMBIST-739
Severity
Error
Description
Cannot determine the current working directory.
What's Next
Ensure proper environment settings.
PMBIST-749
Severity
Error
Description
Errors found in the input file.
What's Next
Correct the input file and re-run.
PMBIST-750
Severity
Error
Description
Duplicate definition found.
What's Next
Correct the problem identified by the line and columns.
PMBIST-751
Severity
Error
Description
Invalid character found.
What's Next
Correct the problem identified by the line number.
PMBIST-752
Severity
Error
Description
Number of items in logical_array.config line does not match number of items in logical_array.header
definition.
What's Next
Verify the data in the identified line is correct.
PMBIST-790
Severity
Error
Description
No clock specified for the target group.
What's Next
Correct the configuration file and specify the mandatory keyword 'clock'.
PMBIST-791
Severity
Error
Description
Missing JTAG instruction from vdir structure.
What's Next
Define the JTAG instruction using 'define_dft jtag_instruction' command and re-run.
PMBIST-792
Severity
Error
Description
Common clock source at the chip level for blocks having different clock period at the block level.
What's Next
The interface files being merged have different clock period at the block level. But the source clock
at the chip level is same. Make sure that correct set of interface files have been specified. Correct
this problem and re-run.
PMBIST-793
Severity
Error
Description
Missing MBIST specific ports on the block.
What's Next
Cannot merge the specified block because this does not have PMBIST specific ports. Either the
provided interface files are not proper or the 'insert_dft pmbist' command was not run without both
the options '-connect_to_jtag' and '-dont_create_mbist_ports' on this block.
PMBIST-794
Severity
Error
Description
Could not check the block for validity.
What's Next
Missing MBIST specific ports on the block.
PMBIST-795
Severity
Error
Description
MBIST clock has no hookup pin.
What's Next
Option '-internal_clock_source' requires a hookup pin. Either provide a hookup pin or remove option
'-internal_clock_source' and re-run.
PMBIST-797
Severity
Error
Description
MBIST clock has no associated name.
What's Next
In case of internal clock, MBIST clock must have an associated name. Either provide a name or
remove option '-internal_clock_source' and re-run.
PMBIST-914
Severity
Error
Description
Cannot determine the associated clock and address pin.
What's Next
Cannot determine the associated clock and address pin related to read bus of memory cell. No
instances of this memory cell have BIST inserted. Verify both the address and read bus, for the port,
use the same related clock attribute and re-run.
PMBIST-915
Severity
Error
Description
Number of write ports is more than number of clock pins.
What's Next
Either the each memory write port should have a unique clock associated with it or use the
'wrapper' statement in the memory view file and fully specify the memory ports in the 'port_action' or
'port_alias' section of the memory view file and re-run.
PMBIST-935
Severity
Error
Description
Test wrapped and functional read bus not related to same clock pin.
What's Next
Ensure each port of a test wrapped memory cell has a unique clock pin and that functional read bus
and test wrapped read bus are related to same clock pin.
PMBIST-937
Severity
Error
Description
Cannot determine the size of the address bus for the memory cell.
What's Next
No instances of this memory cell have BIST inserted. Ensure that the 'address_width' attribute is
specified in the 'memory' section of this memory cell in the liberty file and re-run.
PMBIST-938
Severity
Error
Description
Cannot determine the size of the data bus for the memory cell.
What's Next
No instances of this memory cell have BIST inserted. Ensure that the 'word_width' attribute is
specified in the 'memory' section of this memory cell in the liberty file and re-run.
PMBIST-954
Severity
Error
Description
Cannot determine the output enable pin structure.
What's Next
Contact Cadence Customer Support for assistance.
PMBIST-955
Severity
Error
Description
Netlist does not match the provided interface files.
What's Next
Provide correct interface files and re-run.
PMBIST-956
Severity
Error
Description
Bus indices do not match with bus size.
What's Next
MBIST is not inserted for this cell. Verify that the correct indices are specified in the liberty file and
re-run.
PMBIST-3005
Severity
Error
Description
Cannot create directory for storing generated output.
What's Next
Check to ensure the file permissions allow creation of directories and that there is available disk
space. Fix the environment and re-run.
PMBIST-3006
Severity
Error
Description
Non-empty directory specified.
What's Next
Older files may interfere with current processing. Either specify the empty directory or provide '-
overwrite' option to ensure clean interface for downstream commands. Fix the environment and re-
run.
PMBIST-3011
Severity
Error
Description
Invalid test mode signal.
What's Next
The specified test-signal is either not of correct type or is not controllable to its proper state at the
source or at the hook-up pin in the given DFT configuration mode.
PMBIST-3013
Severity
Error
Description
Cannot close the program's temporary internal file.
What's Next
Ensure file permissions and available disk quota.
PMBIST-3015
Severity
Error
Description
Different clock period specified for the same clock port.
What's Next
Mbist clocks with the same port can have different values for 'hookup_period' but cannot have
different value for 'period'. Correct the mbist clock definition(s) and re-run.
PMBIST-3018
Severity
Error
Description
Cannot insert a BIST engine for the memory instance.
What's Next
BIST engine is in a multiply used module. In such cases BIST engine location should be either in
the same or in the parent hierarchical block of the referenced memory instance location.
PMBIST-3019
Severity
Error
Description
Cannot create a temporary file for internal processing.
What's Next
Check the available disk space and the proper permissions for the directory structure.
PMBIST-3020
Severity
Error
Description
Cannot insert a BIST engine for the memory instance.
What's Next
BIST engine is inside a logical memory wrapper module. BIST engine location cannot be inside the
memory wrapper. It should be either in the same or in the parent hierarchical block of the referenced
memory instance location.
PMBIST-3023
Severity
Error
Description
Conflicting BIST requirements for memory instances.
What's Next
Module containing memory instance of memory cell is used multiple times and has conflicting BIST
requirements. Uniquely instantiate the netlist or specify consistent BIST requirements.
PMBIST-3027
Severity
Error
Description
Specified BIST engine/fuse control unit location is not found in the netlist.
What's Next
Specify a valid BIST engine/fuse control unit location in the configuration file and re-run.
PMBIST-3032
Severity
Error
Description
Unable to find chip select pin.
What's Next
The memory cell does not have a chip select pin associated with a port. Correct the issue
mentioned above and re-run.
PMBIST-3033
Severity
Error
Description
Instance of a multiply used module is specified as 'location' for BIST engine/fuse control unit inside
the configuration file.
What's Next
Correct the issue mentioned above and re-run.
PMBIST-3034
Severity
Error
Description
Instance of a multiply used module is specified as 'location' for algorithm memory unit.
What's Next
Correct the issue mentioned above and re-run.
PMBIST-3042
Severity
Error
Description
An unexpected condition occurred in the program.
What's Next
Re-run the command with '-debug' option. Report this error to customer support and provide the
logfile generated with '-debug' option.
PMBIST-3048
Severity
Error
Description
The specified file either does not exist or is a directory.
What's Next
Make sure that the file name is correct and it exists.
PMBIST-3049
Severity
Error
Description
The specified directory does not exist.
What's Next
Make sure that the directory name is correct and it exists.
PMBIST-3050
Severity
Error
Description
Could not find 'JTAG_MODULE'.
What's Next
Either the 'JTAG_MODULE' does not exist, or the 'JTAG_MODULE' does exist but cannot be found.
You can use the 'find' command to search for the 'JTAG_MODULE' instance in the design.
PMBIST-3051
Severity
Error
Description
Could not find the required jtag instruction.
What's Next
Required jtag instruction is not present in the vdir structure. Make sure that the instruction has been
specified using 'define_dft jtag_instruction' and re-run.
PMBIST-3052
Severity
Error
Description
Conflicting options given to the command.
What's Next
Conflicting options are given to the command. Only one option can be specified from the options
listed above. Make sure that the correct options are given and re-run.
PMBIST-3053
Severity
Error
Description
Register associated with programmable MBIST/fuse control unit instruction is invalid.
What's Next
Ensure that each programmable instruction uses a unique/non-default register and re-run.
PMBIST-3056
Severity
Error
Description
Specified instance does not have a corresponding 'libcell' or 'subdesign' attribute.
What's Next
Ensure that either the correct liberty (.lib) file is provided and check for syntax errors pertaining to
the liberty file in the log or 'wrapper' is specified during 'read_memory_view'. Also verify the
instance hierarchy is completely specified, and re-run.
PMBIST-3059
Severity
Error
Description
Blocks with different instruction sets specified for merging at block level.
What's Next
Blocks with different instruction sets can only be merged at the chip level. This means that either the
JTAG macro must exist and '-connect_to_jtag' option is specified or '-dont_create_pmbist_ports'
option is specified and JTAG macro will be inserted after the current run of programmable
MBIST/repair.
PMBIST-3060
Severity
Error
Description
Interface files are missing for some or all of the algorithm memory units present in the netlist.
What's Next
Specify the interface files for the missing block(s) which contain the specified algorithm memory
units and re-run.
PMBIST-3061
Severity
Error
Description
Unable to apply attribute on the specified instance.
What's Next
Correct the issue mentioned above and re-run.
PMBIST-3062
Severity
Error
Description
Interface files are missing for some or all of the fuse control units present in the netlist.
What's Next
Specify the interface files for the missing block(s) which contain the specified fuse control units and
re-run.
PMBIST-3064
Severity
Error
Description
Redundant jtag instruction specified.
What's Next
Specified jtag instruction is not required. This may lead to failure of boundary scan verification.
Make sure that the specified instruction is required and re-run.
PMBIST-3066
Severity
Error
Description
Interface file does not exist.
What's Next
Verify that the provided interface file directory is correct and re-run.
PMBIST-3067
Severity
Error
Description
Instruction missing with attribute 'pmbist_instruction_set'.
What's Next
Specify the missing instruction while setting the attribute and re-run.
PMBIST-3068
Severity
Error
Description
Spurious instruction provided with attribute 'pmbist_instruction_set'.
What's Next
Remove the specified instruction while setting the attribute and re-run.
PMBIST-3070
Severity
Error
Description
Attributes required with TAP instruction are missing.
What's Next
Specify the required attributes with TAP instruction and re-run.
PMBIST-3071
Severity
Error
Description
Mbist clock domain mentioned in the configuration file could not be found.
What's Next
Specify the proper 'mbist_clock_domain' in the configuration file and re-run.
PMBIST-3074
Severity
Error
Description
Enable of the PAD associated with a port is not active.
What's Next
PAD cell enable is either unconnected or tied to a non-constant signal or tied to a constant value
with the value opposite to that of its active value. Correct the problem mentioned above and re-run.
PMBIST-3082
Severity
Error
Description
Memory instances associated with a BIST engine have different clocks.
What's Next
Make sure that either 'clock_mux' is specified in the configuration file for the specified memories or
all clock pins of the specified memories are driven from the same clock source and re-run.
PMBIST-3083
Severity
Error
Description
Source of the clock pin of the memory does not belong to the 'mbist_clock_domain' specified for this
memory.
What's Next
Make sure that either 'clock_mux' is specified in the configuration file for the specified memory or all
clock pins of the specified memory are driven from the source associated with its
'mbist_clock_domain'. Correct the issue mentioned above and re-run.
PMBIST-3084
Severity
Error
Description
Invalid port specified.
What's Next
Correct the above mentioned issue and re-run.
PMBIST-3085
Severity
Error
Description
Direct access requested but port not associated with direct access function.
What's Next
Direct access functions must specify ports during block level flow. Make sure that either the flow is
not block level or ports must exist and re-run.
PMBIST-3087
Severity
Error
Description
Conflicting '-direct_access_only' option requirements.
What's Next
Option '-direct_access_only' requested but cannot be satisfied. Make sure that 'mda_tdi' and
'mda_tck' functions have been defined using 'define_pmbist_direct_access'.
PMBIST-3089
Severity
Error
Description
All required JTAG ports not found on the design.
What's Next
Verify that all the required JTAG ports are present on the design and re-run.
PMBIST-3090
Severity
Error
Description
The configuration file contains multiple specifications of the memory/fuse instance in the 'target' or
'ignore' or 'repair' section.
What's Next
The instance may have been specified using module name as well as instance name. Ensure that
each instance is specified only once in the 'target' or 'ignore' or 'repair' section and re-run.
PMBIST-3094
Severity
Error
Description
Could not find any register associated with the jtag instruction.
What's Next
Register associated with the specified jtag instruction is not present in the vdir structure. Make sure
that the register has been specified with the command 'define_dft jtag_instruction' and re-run.
PMBIST-3096
Severity
Error
Description
More than one control signal associated with the same clock or port index.
What's Next
Either the each memory access port should have a unique clock associated with it or use the
'wrapper' statement in the memory view file and fully specify the memory ports in the 'port_action' or
'port_alias' section of the memory view file and re-run.
PMBIST-3098
Severity
Error
Description
Programmable MBIST/fuse control unit instruction is specified as 'private'.
What's Next
Programmable MBIST/fuse control unit instruction should not be specified as 'private' otherwise
downstream tools may fail. Make sure that the programmable MBIST/fuse control unit instructions
are not specified as 'private' and re-run.
PMBIST-3100
Severity
Error
Description
More than one memory/fuse cell found with the name specified in the 'module' or 'target' or 'ignore'
or 'repair' group.
What's Next
Ensure that the name specified in the 'module' or 'target' or 'ignore' or 'repair' group is correct and re-
run.
PMBIST-3101
Severity
Error
Description
Unable to find any memory cell with the name specified in the 'module' group.
What's Next
Either the name specified in the memory view file is not correct, or the 'memory' section is missing
from the liberty file. Either correct the liberty file or use the 'wrapper' statement in the memory view
file and fully specify the memory ports. In case PMBIST insertion is required to be performed without
memory liberty files, then set the attribute 'insert_pmbist_without_liberty_files' on the design and re-
run.
PMBIST-3102
Severity
Error
Description
Memory/fuse cell/instance, specified in the input file, is not present.
What's Next
Either the name specified in the input file is not correct, or all the liberty files are not provided.
Correct the problem mentioned above and re-run.
PMBIST-3103
Severity
Error
Description
No instance of the memory cell is present in the design for BIST purpose.
What's Next
Unused memories cannot be specified in the 'target' and 'ignore' groups. Either remove this entry
from the input file or make sure that the name specified in the file is correct. Correct the issue and
re-run.
PMBIST-3104
Severity
Error
Description
Memory module/instance, specified in the 'module' or 'target' or 'ignore' group, is not a recognizable
memory cell.
What's Next
Either the 'memory' section is missing from the cell description in the liberty (.lib) file or the instance
hierarchy is not completely specified. Ensure that the correct liberty file is provided and check for
syntax errors pertaining to the liberty file in the log. Also verify that the instance hierarchy is
completely specified in the input file, and re-run.
PMBIST-3105
Severity
Error
Description
Pin specified in the 'port_action', 'port_alias' or 'redundancy' section of the memory view file is not
present on the memory cell or wrapper module.
What's Next
Either remove this entry from the 'port_action'/'port_alias'/'redundancy' section or make sure that the
name specified in the 'port_action'/'port_alias'/'redundancy' section is correct and re-run.
PMBIST-3106
Severity
Error
Description
Invalid value specified or missing required command option.
What's Next
Correct the problem mentioned above and re-run.
PMBIST-3107
Severity
Error
Description
Library domains do not match.
What's Next
Comparators, memory instances and associated BIST engine must belong to either same library
domain or library domains of same set. The library domains which belong to same set are specified
with the help of 'mbist_enable_shared_library_domain_set' attribute. Ensure that the domains are
specified correctly and re-run.
PMBIST-3110
Severity
Error
Description
Hookup pin of the 'mbist_clock' is not controllable from its source port.
What's Next
Hookup pin of the 'mbist_clock' must be controllable from its source port. In case it is not
controllable then boundary scan verification or simulation may fail. To debug this further, first run
'set_dft_configuration_mode -dft_configuration_mode <pmbist mode>' and then run
'report_dft_trace_back -through -mode 1 -print -continue' command with the above mentioned
hookup pin name. Make sure that either this pin is controllable in 'pmbist' configuration mode or
'dft_controllable' attribute is properly set so that it becomes controllable and re-run.
PMBIST-4000
Severity
Error
Description
The wrapper module specified in the memory view file is not present in the design.
What's Next
Make sure that the specified module exists in the design and re-run.
PMBIST-4001
Severity
Error
Description
Instances of the memory module specified in the memory view file are not inside the specified
wrapper module.
What's Next
Make sure that the proper wrapper module exists around all the memory instances and re-run.
PMBIST-4002
Severity
Error
Description
The wrapper module specified in the memory view file contains zero or more than one memory
instance.
What's Next
Make sure that the wrapper module contains exactly one memory instance and re-run.
PMBIST-4003
Severity
Error
Description
Unable to find the information of memory/fuse cells in the database.
What's Next
Make sure that the 'read_memory_view' command has been run before proceeding.
PMBIST-4004
Severity
Error
Description
Missing definition of the 'mbist_clock' in the configuration file.
What's Next
Make sure that the 'mbist_clock' is properly specified in the configuration file before proceeding.
PMBIST-4005
Severity
Error
Description
The hookup pin of the specified clock is inside of a multiply used module.
What's Next
Ensure that the clock hookup pin is not inside the multiply used module or uniquify the netlist and
re-run.
PMBIST-4006
Severity
Error
Description
The selected algorithms do not fit into the constraints specified for the algorithms.
What's Next
Ensure that either the correct set of algorithms is selected or modify the algorithm constraints and
re-run.
PMBIST-4007
Severity
Error
Description
The conflicting requirements specified for comparator.
What's Next
Ensure that either the correct set of requirements are specified for comparator or uniquify the design
and re-run.
PMBIST-4008
Severity
Error
Description
Cannot insert a comparator for the memory instance.
What's Next
Comparator is in a multiply used module. In such cases comparator location should be either in the
same or in the parent hierarchical block of the referenced memory instance location.
PMBIST-4009
Severity
Error
Description
Conflicting BIST requirements for comparator instances.
What's Next
Module containing comparator instances is used multiple times and has conflicting BIST
requirements. Uniquely instantiate the netlist or specify consistent BIST requirements.
PMBIST-4010
Severity
Error
Description
Duplicate entry found.
What's Next
Specified entry exists multiple times. Remove the duplicate definitions and re-run.
PMBIST-4011
Severity
Error
Description
No test conditions found in the input file.
What's Next
Specify the proper test conditions and re-run.
PMBIST-4012
Severity
Error
Description
Improper test conditions found in the input file.
What's Next
Specify the proper test conditions and re-run.
PMBIST-4013
Severity
Error
Description
Improper bit write enable mask binding specified.
What's Next
Specify the proper bit write enable mask binding and re-run.
PMBIST-4014
Severity
Error
Description
Bypass enable pin of the memory is not connected.
What's Next
Ensure that the bypass enable pin of memory is properly connected. This is required to ensure that
the memory data is not visible during ATPG. This is also required to ensure that the bypass enable
is disabled functionally. Correct the above mentioned problem and re-run.
PMBIST-4015
Severity
Error
Description
Control signal is not associated with any port of the memory.
What's Next
Either the each memory access port should have a unique clock associated with it or use the
'wrapper' statement in the memory view file and fully specify the memory ports in the 'port_action' or
'port_alias' section of the memory view file and re-run.
PMBIST-4016
Severity
Error
Description
Unable to find the information of interface files in the database.
What's Next
Make sure that the 'add_pmbist' or 'read_pmbist_interface_files' command has been run before
proceeding.
PMBIST-4017
Severity
Error
Description
Improper 'wrapper' specification.
What's Next
Correct the 'wrapper' specification and re-run.
PMBIST-4018
Severity
Error
Description
Improper usage of 'algorithm_constraints' section.
What's Next
Ensure that either the specified constraint is not provided in this section or at-least one testplan is
specified as programmable. Correct the above mentioned problem and re-run.
PMBIST-4019
Severity
Error
Description
Attributes required with JTAG Macro are missing.
What's Next
Specify the required attributes with JTAG Macro and re-run.
PMBIST-4020
Severity
Error
Description
ROM data load file not found.
What's Next
Unable to find ROM data load file for the specified ROM. Ensure that the name and contents of the
file are correct and re-run.
PMBIST-4021
Severity
Error
Description
Improper memory read access found in the input file.
What's Next
In case chip select was disabled during memory write then that write will not take place. Specify the
proper memory read accesses and re-run.
PMBIST-4022
Severity
Error
Description
Conflicting direct access requirements.
What's Next
Make sure that the programmable direct access specific functions ('mda_tdi', 'mda_tck', 'mda_done'
and 'mda_reset') or on-demand specific functions ('mda_run', 'mda_tck', 'mda_reset' and
'mda_run_done') have been defined using 'define_pmbist_direct_access' command. For on-
demand specific functions specific to repair, '-fcu' option needs to be specified with
'define_pmbist_direct_access' command. Moreover, if the on-demand support is required only for
hard repair then define the on-demand specific functions after PMBIST insertion and prior to hard
repair insertion. Correct the command usage and re-run.
PMBIST-4023
Severity
Error
Description
Conflicting direct access requirements.
What's Next
An mbist clock domain must be specified as a source while defining 'mda_tck' function only. For
other functions, use a pin or a port as a source. Correct the command usage and re-run.
PMBIST-4024
Severity
Error
Description
Interface files already exist.
What's Next
Verify that the provided interface file directory is correct and re-run.
PMBIST-4025
Severity
Error
Description
Pin/port is already associated with a direct access function.
What's Next
Direct access functions cannot share pin/port. Make sure that a pin/port is not shared among direct
access functions and re-run.
PMBIST-4026
Severity
Error
Description
Configuration file contains memory module instead of a wrapper module.
What's Next
Make sure that either the wrapper module is specified in the configuration file or 'wrapper' is not
specified for the specified memory module while doing 'read_memory_view' and re-run.
PMBIST-4027
Severity
Error
Description
Configuration file contains memory instance instead of a wrapper instance.
What's Next
Make sure that either the wrapper instance is specified in the configuration file or 'wrapper' is not
specified for the specified memory module while doing 'read_memory_view' and re-run.
PMBIST-4028
Severity
Error
Description
MBIST clock with JTAG clock flag is already defined.
What's Next
There should exist only one MBIST clock with JTAG clock flag defined on it. Either remove earlier
defined clock or do not specify '-is_jtag_tck' option. Correct the issue and re-run.
PMBIST-4029
Severity
Error
Description
MBIST clock hookup pin is driven from different drivers in JTAG and non-JTAG modes of operation.
What's Next
MBIST clock must be controllable and driven from the same source in all modes of operation.
Ensure that the 'dft_configuration_mode' is correct and re-run.
PMBIST-4030
Severity
Error
Description
The hookup period of an MBIST clock with JTAG clock flag is different than that of the JTAG clock
period.
What's Next
The hookup period of the MBIST clock with JTAG clock flag must be same as that of the JTAG
clock period. Either correct the MBIST clock definition or correct the 'dft_tap_tck_period' attribute
value and re-run.
PMBIST-4031
Severity
Error
Description
Different views of the same memory are specified in different target sections.
What's Next
Both the views of a memory module must be specified in the same target section. Correct the issue
mentioned above and re-run.
PMBIST-4032
Severity
Error
Description
Different views of the same memory have conflicting requirements.
What's Next
Both the views of a memory module must have the same BIST requirements. Correct the issue
mentioned above and re-run.
PMBIST-4033
Severity
Error
Description
The period and the hookup period of an MBIST clock with JTAG clock flag are different.
What's Next
The period of the MBIST clock with JTAG clock flag must be same as that of the hookup period.
Correct the MBIST clock definition and re-run.
PMBIST-4034
Severity
Error
Description
The period and the hookup period of the MBIST clock(s) of the domain specified with 'mda_tck'
function are different.
What's Next
The period of the MBIST clock(s) of the domain specified with 'mda_tck' function must be same as
that of their hookup period. Correct the MBIST clock definition and re-run.
PMBIST-4035
Severity
Error
Description
MBIST clock with JTAG clock flag is not defined.
What's Next
Could not find any MBIST clock with 'is_jtag_tck' attribute set on it. This attribute specifies that an
MBIST clock is same as that of JTAG TCK. For JTAG specific flow, there must exist one MBIST
clock with JTAG clock flag defined on it.
PMBIST-4036
Severity
Error
Description
Clock source is not defined as 'mbist_clock'.
What's Next
The specified clock pin must be driven from an 'mbist_clock' otherwise the downstream processing
may fail. Check the 'mbist_clock' definition or make sure that the clock connections are correct.
PMBIST-4037
Severity
Error
Description
Period of the clock at the hook-up pin does not match with the period of the clock pin of the block.
What's Next
The source clock at the current level has different period at the hook-up pin than that of the clock pin
of the block. Make sure that the clock connections are correct and re-run.
PMBIST-4038
Severity
Error
Description
Unable to find clock pin in the design.
What's Next
The hookup pin of the internal clock source could not be found in the design. Make sure the
interface files are correct and re-run.
PMBIST-4039
Severity
Error
Description
Clock driver is a port but an internal clock source was expected.
What's Next
An internal clock source was expected as a clock driver instead of a port for the specified pin.
Correct the design and re-run.
PMBIST-4040
Severity
Error
Description
Internal flag is not set on the mbist clock.
What's Next
Internal flag is not found on mbist clock in the current design. Make sure that an 'mbist_clock' with
an internal flag is defined and re-run.
PMBIST-4041
Severity
Error
Description
Period of the clock at the hook-up pin of current design does not match with the period of the clock
at the hookup pin of the block.
What's Next
The clock at the current level has different period at the hook-up pin than that of the hookup pin in
the block. Make sure that the clock connections are correct and re-run.
PMBIST-4042
Severity
Error
Description
Multiple drivers exist for a pin.
What's Next
This may happen in the presence of a black-box module without pin directions. Make sure that the
specified pin has only one driver and re-run.
PMBIST-4043
Severity
Error
Description
Command 'insert_dft pmbist' has not been run.
What's Next
Make sure that the 'insert_dft pmbist' command has been run before proceeding.
PMBIST-4044
Severity
Error
Description
Unable to locate an 'mbist_clock' with an internal flag.
What's Next
An internal 'mbist_clock' was defined at block level, therefore an internal 'mbist_clock' must exist at
current level. Correct the issue and re-run.
PMBIST-4045
Severity
Error
Description
MBIST clock with JTAG clock flag is specified as internal.
What's Next
JTAG clock cannot be internal. Either remove '-internal' flag or '-is_jtag_tck' flag from the MBIST
clock definition. Correct the issue and re-run.
PMBIST-4046
Severity
Error
Description
Internal hookup pin of the block is not traceable to an 'mbist_clock'.
What's Next
The specified clock pin must be driven from an 'mbist_clock' otherwise the downstream processing
may fail. Check the 'mbist_clock' definition or make sure that the clock connections are correct and
re-run.
PMBIST-4047
Severity
Error
Description
JTAG clock pin of the block is not traceable to an 'mbist_clock'.
What's Next
The specified JTAG clock pin must be driven from an 'mbist_clock' otherwise the downstream
processing may fail. Check the 'mbist_clock' definition or make sure that the clock connections are
correct and re-run.
PMBIST-4048
Severity
Error
Description
JTAG TCK pin of the block is traced to an mbist clock of a domain which does not contain any clock
with flag 'is_jtag_tck'.
What's Next
JTAG TCK pin of the block must be traced to an mbist clock of a domain which contains an mbist
clock with 'is_jtag_tck' flag. Ensure that either this attribute is specified on one of the mbist clock(s)
of the domain of the traced mbist clock or the clock connections are correct and re-run.
PMBIST-4049
Severity
Error
Description
MBIST direct access clock pin of the block is not traceable to an 'mbist_clock'.
What's Next
The specified MBIST direct access clock pin must be driven from an 'mbist_clock' otherwise the
downstream processing may fail. Check the 'mbist_clock' definition or make sure that the clock
connections are correct and re-run.
PMBIST-4050
Severity
Error
Description
MBIST direct access clock pin of the block is not traceable to an 'mbist_clock' of the domain
specified with 'mda_tck' function.
What's Next
MBIST direct access clock pin of the block is traced to an 'mbist_clock' which belongs to a different
mbist clock domain than the one specified with 'mda_tck' function. Make sure that the clock
connections and definitions are correct and re-run.
PMBIST-4051
Severity
Error
Description
Inconsistent phase requirements for MBIST direct access clock across blocks.
What's Next
Make sure that the clock connections are correct and re-run.
PMBIST-4052
Severity
Error
Description
Missing test signal with the required value for the 'pmbist_use' attribute.
What's Next
If the PMBIST logic is scanned, you need to identify which test signal is used to control the PMBIST
logic during ATPG. As minimum requirement, test_block_async_reset has to be defined to block the
async reset input to registers within the PMBIST logic. Other additional controls might be required
based on user definitions of the PMBIST logic. Multiple pmbist_use attribute values can be applied
to the same test signal. Make sure that a test signal has the required value for the 'pmbist_use'
attribute and re-run.
PMBIST-4053
Severity
Error
Description
The conflicting requirements specified for comparator.
What's Next
Ensure that the correct set of requirements are specified for comparator and re-run.
PMBIST-4054
Severity
Error
Description
Invalid clock period relationship found.
What's Next
The hook-up period of the JTAG and direct access clock must be greater than or equal to the clock
hook-up period of the slowest mbist clock. Make sure that the clock definitions are correct and re-
run.
PMBIST-4055
Severity
Error
Description
Data read pin of the memory is not connected.
What's Next
No load has been defined on read pin of the memory. Make sure that the connections are correct
and re-run.
PMBIST-4056
Severity
Error
Description
The ATPG specific data input pin is already being driven.
What's Next
The ATPG specific data input pin should not have a driver.
PMBIST-4057
Severity
Error
Description
Driver of the ATPG specific data input pin is invalid.
What's Next
In case of multiple views, the ATPG specific data input pin of the memory libcell view can only be
connected to the ATPG specific data input pin of the logical wrapper view. Make sure that the
connections are correct and re-run.
PMBIST-4058
Severity
Error
Description
Clock driver is a constant but an internal clock source was expected.
What's Next
An internal clock source was expected as a clock driver instead of some constant value for the
specified pin. Correct the design and re-run.
PMBIST-4059
Severity
Error
Description
MBIST clock with repair clock flag is already defined.
What's Next
There should exist only one MBIST clock with repair clock flag defined on it. Either remove earlier
defined clock or do not specify '-is_srclk' option. Correct the issue and re-run.
PMBIST-4060
Severity
Error
Description
Zero or more than one pin/port found corresponding to attribute value 'pmbist_hri_async_reset'.
What's Next
Make sure that the 'pmbist_hri_async_reset' attribute value either contains exactly one pin/port or
fully specify the path of pin/port and re-run.
PMBIST-4061
Severity
Error
Description
Hard repair interface requested but attribute 'pmbist_hri_async_reset' is not defined.
What's Next
Make sure that either the attribute 'pmbist_hri_async_reset' is defined or 'enable_hri' is not specified
in the configuration file and re-run.
PMBIST-4062
Severity
Error
Description
Both serial and parallel repair interface exist on the memory.
What's Next
Memory should have only one type of repair interface. Make sure that the repair interface is properly
specified in the memory view file and re-run.
PMBIST-4063
Severity
Error
Description
Incorrect solution group entry found.
What's Next
The memory instances of a solution group must be associated with the same data compare unit. In
case the comparator is inside a module which is instantiated multiple times then the
'solution_group' entry must contain only those memory instances which are in the same copy of the
module. Correct the issue mentioned above and re-run.
PMBIST-4064
Severity
Error
Description
Inconsistent 'map' expression specification found across spare resources.
What's Next
Either 'map' expression should be present for all spare resources or it should be missing for all
spare resources. Make sure that the repair interface is properly specified in the memory view file
and re-run.
PMBIST-4065
Severity
Error
Description
Memory/fuse instance missing in the 'target' or 'ignore' or 'repair' section in the configuration file.
What's Next
All the memory/fuse instances must be defined either in the 'target' or 'ignore' or 'repair' section in
the configuration file. Make sure that specified instance is defined in the 'target' or 'ignore' or 'repair'
section and re-run.
PMBIST-4066
Severity
Error
Description
Driver exists for the test specific pin of the memory.
What's Next
Test specific pin should not have any existing driver. Correct the issue and re-run.
PMBIST-4067
Severity
Error
Description
JTAG Macro pin does not have a valid driver.
What's Next
Ensure that the specified pin of the JTAG Macro has a valid driver and re-run.
PMBIST-4068
Severity
Error
Description
Output port is assigned an invalid value.
What's Next
Valid values for the output ports to be specified in the 'port_action' section are 'U' and 'X'. Correct
the issue mentioned above and re-run.
PMBIST-4069
Severity
Error
Description
Could not read interface files.
What's Next
Interface files can be read on a libcell or module that is instantiated in the design and at-least one
instance of the same is not already stitched.
PMBIST-4070
Severity
Error
Description
Incorrect assertion limit entry found.
What's Next
The signal name mentioned in the section is not properly specified with the 'port_alias' section.
Correct the issue mentioned above and re-run.
PMBIST-4071
Severity
Error
Description
Incorrect usage of the test signal found.
What's Next
The test signal associated with 'pmbist_use' attribute or 'lp_clock_gating_test_signal' attribute is
specified to be used with its active polarity during PMBIST. This test signal must have opposite
polarities during PMBIST and ATPG. Correct the issue mentioned above and re-run.
PMBIST-4072
Severity
Error
Description
All address input pins on memory instance are connected to constants.
What's Next
Ensure the memory instance is connected properly.
PMBIST-4073
Severity
Error
Description
Found more than 1 macro instance.
What's Next
Currently only 1 macro instance is supported at a time.
PMBIST-4074
Severity
Error
Description
Found 0 or more than 1 macro module.
What's Next
Currently only 1 macro module is supported at a time.
PMBIST-4075
Severity
Error
Description
Unable to locate MBIST interface.
What's Next
Ensure the macro instance exists, the 'design.name' and 'mbist_interface.instance_path' fields are
used from the MBIF file to locate the interface. For a gate level flow (where the 'dft_rtl_insertion'
attribute is set to false), if any of the following attributes are used, ensure the value for
'mbist_interface.instance_path' in the MBIF file matches the actual name in the Genus database:
hdl_generate_separator, hdl_generate_index_style, hdl_use_for_generate_prefix,
hdl_parameter_naming_style, hdl_record_naming_style and hdl_array_naming_style. In an RTL
flow the attributes must be at their default value in order to generate RTL Verilog which is IEEE1800
compliant.
PMBIST-4076
Severity
Error
Description
No physical arrays found for the logical array.
What's Next
Ensure the logical array is defined properly in the file and re-run read_memory_view.
PMBIST-4077
Severity
Error
Description
Missing required information from the MBIF file.
What's Next
Ensure all of the required information is present in the MBIF file.
PMBIST-4078
Severity
Error
Description
Unknown signal found while processing the mbist_interface.array_config_map in the MBIF file.
What's Next
Ensure the information has been specified properly in the MBIF file.
PMBIST-4079
Severity
Error
Description
The number of bits from mbist_interface.array_config_map section do not add up to number of bits
determined from mbist_interface.array_config statement.
What's Next
Ensure the information has been specified properly in the MBIF file.
PMBIST-4080
Severity
Error
Description
Could not find pin.
What's Next
Pin not found on given instance. Ensure that the instance and pin name are correct, and that the pin
exists.
PMBIST-4081
Severity
Error
Description
Duplicate pin found in list.
What's Next
Duplicate pin found, ensure that the pin list is specified correctly.
PMBIST-4082
Severity
Error
Description
Invalid entry found in the 'port_alias' section.
What's Next
Valid aliases for comma separated buses are 'a', 'ta', 'q', 'cra', 'rra', 'rb', 'tq', 'd', 'td','we(n)', 'twe(n)',
'wem(n)', 'twem(n)', 'ay', 'dy' and other aliases with 'y' suffix. Correct the issue and re-run.
PMBIST-4083
Severity
Error
Description
The 'mbist_interface.array_config_map' section is missing or empty.
What's Next
Variability exists in the 'logical_array.config' information for the field mentioned, this field must
remain constant.
PMBIST-4084
Severity
Error
Description
The 'design.mbist_controller_install_path' and 'mbist_interface.instance_path' fields in the MBIF file
are not the same length.
What's Next
These fields must be equal in length.
PMBIST-4085
Severity
Error
Description
Multiple interface instances found in the 'mbist_interface.instance_path' in the MBIF file with
different subdesigns.
What's Next
When multiple interface instances are specified, all must be instances of the same subdesign.
PMBIST-4086
Severity
Error
Description
Unsupported memory type.
What's Next
Currently only 1RW and 1R1W memory types are supported.
PMBIST-4087
Severity
Error
Description
Maximum interface instance loop reached.
What's Next
This should not happen, re-run with -debug and contact customer support.
PMBIST-4088
Severity
Error
Description
Found interface instance with no containing module.
What's Next
This is currently not supported.
PMBIST-4089
Severity
Error
Description
Input port is not connected.
What's Next
Input port, specified inside 'port_action' or 'port_access' section, is required to have a connection for
its loads but the port is not connected. Correct the issue mentioned above and re-run.
PMBIST-4090
Severity
Error
Description
Incorrect usage of the test signal found.
What's Next
The port/pin of the above mentioned test signal is specified to have the conflicting values during
PMBIST. The test signals should have opposite polarities during PMBIST and ATPG. Correct the
issue mentioned above and re-run.
PMBIST-4091
Severity
Error
Description
Non sequential found while tracing back from the interface output.
What's Next
Only constants or sequential elements (memories) are expected to be found during this tracing.
PMBIST-4092
Severity
Error
Description
Incorrect usage of programmable MBIST direct access function found.
What's Next
Option '-hookup_polarity' can only be specified when the option '-hookup_pin' is also specified with
the 'define_pmbist_direct_access' command. Correct the issue and re-run.
PMBIST-4093
Severity
Error
Description
Hookup pin of the MBIST direct access function is not controllable from its source port.
What's Next
Hookup pin of the MBIST direct access function specified with command
'define_pmbist_direct_access' must be controllable from its source port. To debug this further, first
run 'set_dft_configuration_mode -dft_configuration_mode <pmbist mode>' and then run
'report_dft_trace_back -through -mode 1 -print -continue' command with the above mentioned
hookup pin name. Make sure that either this pin is controllable in 'pmbist' configuration mode or
'dft_controllable' attribute is properly set so that it becomes controllable and re-run.
PMBIST-4094
Severity
Error
Description
Incorrect hookup polarity found with programmable MBIST direct access function.
What's Next
User defined hookup polarity with direct access function does not match with the polarity obtained
during trace back of hookup pin to the associated source port. Correct the issue and re-run.
PMBIST-4095
Severity
Error
Description
Output port associated with the MBIST direct access function is not driven from its hookup pin.
What's Next
Output port associated with the MBIST direct access function specified with command
'define_pmbist_direct_access' must be driven from its hookup pin. To debug this further, first run
'set_dft_configuration_mode -dft_configuration_mode <pmbist mode>' and then run
'report_dft_trace_back -through -mode 1 -print -continue' command with the above mentioned
output port name. Make sure that either this port is driven from its hookup pin in 'pmbist'
configuration mode or 'dft_controllable' attribute is properly set and re-run.
PMBIST-4096
Severity
Error
Description
Missing mandatory option(s) for MBIST direct access.
What's Next
Specifying a source port for block level flow, and specifying a source port and/or hookup pin for chip
level flow, with command 'define_pmbist_direct_access' is mandatory for MBIST direct access.
Make sure that the correct options are given and re-run.
PMBIST-4097
Severity
Error
Description
Incorrect usage of programmable MBIST direct access function found.
What's Next
Option '-hookup_pin' cannot be specified with command 'define_pmbist_direct_access' for MBIST
direct access function 'mda_tck'. Correct the issue and re-run.
PMBIST-4098
Severity
Error
Description
Incorrect usage of programmable MBIST direct access function found.
What's Next
Option '-hookup_pin' cannot be specified with command 'define_pmbist_direct_access' in block
level flow. Correct the issue and re-run.
PMBIST-4099
Severity
Error
Description
Conflicting source specifications found for the mbist clock domain at chip level.
What's Next
Source port of all the mbist clocks in a mbist clock domain should be identical at chip level. Correct
the issue and re-run.
PMBIST-4100
Severity
Error
Description
Address pin on the physical array did not trace back to a proper connection on the MBIST interface.
What's Next
Ensure the logical array is defined properly in the file and re-run read_memory_view.
PMBIST-4101
Severity
Error
Description
Modus failed while trying to build the model and testmode used for tracing.
What's Next
Check the logs in the testresults/logs directory under the Modus work directory for errors.
PMBIST-4102
Severity
Error
Description
Traced low order address pin on memory to address pin on interface output that is not bit 0. This is
currently not supported by Genus.
What's Next
Ensure low order address bits on the interface are not used for array select, and that there are
proper connections to the memory mentioned.
PMBIST-4103
Severity
Error
Description
Width of memory address bus does not match the width on the interface input address bus.
What's Next
Ensure there are proper connections to the memory address bus mentioned.
PMBIST-4104
Severity
Error
Description
Undefined cell found during Modus build_model command.
What's Next
The undefined cell is a technology dependent cell found in the input design source. This cell needs
to be defined to the Modus build_model command in order for tracing to work properly. Locate the
file where this cell is located and provide the file to the read_memory_view command using the -
modus_techlibs option. If more than one file is required, use a comma separated list to specify them.
PMBIST-4105
Severity
Error
Description
MBIST clocks not defined.
What's Next
MBIST clocks need to be defined prior to processing an MBIF file. Define the MBIST clocks prior to
processing the MBIF file.
PMBIST-4106
Severity
Error
Description
The MBIST acknowledge signal is not asserted after simulating the mode init sequence.
What's Next
After simulation of the mode init sequence in Modus, the MBIST acknowledge signal should be
asserted. Ensure all static control signals are defined properly in the MBIF file being processed.
PMBIST-4107
Severity
Error
Description
Unsupported attribute found set during macro view generation using an MBIF file.
What's Next
The attribute being set is not supported. Remove the setting of the attribute and re-run.
PMBIST-4108
Severity
Error
Description
No valid pins found while tracing back from the interface output.
What's Next
Only constants or sequential elements (memories) are expected to be found during this tracing.
PMBIST-4109
Severity
Error
Description
Execution of the Modus script failed, the output file generated by the script was not found.
What's Next
Ensure there is enough space to create the script, and a Modus license is available. Re-run
read_pmbist_memory_view for the specified logical array.
PMBIST-5000
Severity
Error
Description
Conflicting 'hookup_period' specifications found for the mbist clock domain.
What's Next
The 'hookup_period' of all the mbist clocks in a mbist clock domain should be identical. Correct the
issue and re-run.
PMBIST-5001
Severity
Error
Description
Conflicting internal clock specifications found for the mbist clock domain.
What's Next
Either all the mbist clocks of a mbist clock domain should be defined as internal clocks or no mbist
clock of that domain should be defined as an internal clock. Correct the issue and re-run.
PMBIST-5002
Severity
Error
Description
Conflicting hookup polarity specifications found for the mbist clock domain.
What's Next
All the mbist clocks of a mbist clock domain should have an identical hookup polarity. Correct the
issue and re-run.
PMBIST-5003
Severity
Error
Description
Conflicting hookup pin requirements found inside multiply used module.
What's Next
Either define the above mentioned pins as hookup pins inside all the instances of the multiply-used
module using the same mbist clock domain or do not define the hookup pin inside the multiply-used
module at all. Correct the issue and re-run.
PMBIST-5004
Severity
Error
Description
Clock polarity at the hookup pin does not match with the polarity at the memory clock pin.
What's Next
For user defined mbist clock domains, the hookup pin and the memory clock pin should have an
identical clock polarity. Correct the issue and re-run.
PMBIST-5005
Severity
Error
Description
Incorrect usage of the test signal found.
What's Next
The port/pin of the above mentioned test signal is specified as 'test-access-method' specific pin
inside 'port_access' section. This kind of test signal should specify 'async_set_reset' as its 'function'
value. Correct the issue mentioned above and re-run.
PMBIST-5006
Severity
Error
Description
Conflicting requirements found for the user defined mbist clock domain.
What's Next
Either specify the mbist clock domain name using the option '-clock_domain' while defining all or
none of the clocks of a domain. Correct the issue and re-run.
PMBIST-5007
Severity
Error
Description
Conflicting 'period' specifications found for the mbist clock domain.
What's Next
The 'period' of all the mbist clocks in a mbist clock domain should be identical. Correct the issue
and re-run.
PMBIST-5008
Severity
Error
Description
Conflicting mbist clock domain requirements at block and chip level.
What's Next
All the clock pins of a domain at block level must belong to the same mbist clock domain at chip
level. Correct the issue and re-run.
PMBIST-5009
Severity
Error
Description
Incorrect usage of the test signal found.
What's Next
The same test signal is associated for incompatible values of the 'pmbist_use' attribute. The
different test signals must be associated with incompatible values of the 'pmbist_use' attribute.
Correct the issue mentioned above and re-run.
PMBIST-5010
Severity
Error
Description
Invalid entry found in the 'port_alias' section.
What's Next
Specify appropriate input and output pins/buses for the 'in' and 'out' type of aliases. Correct the
issue and re-run.
PMBIST-5011
Severity
Error
Description
Incorrect solution group entry found.
What's Next
The memory instances which can be accessed in parallel must be part of the same solution group if
'enable_group_analysis' is specified. Correct the issue mentioned above and re-run.
PMBIST-5102
Severity
Error
Description
Wrapper specification could not be found while inserting PMBIST without liberty files.
What's Next
PMBIST insertion is requested without providing the liberty files. Use the 'wrapper' statement for the
above mentioned memory cell in the memory view file and fully specify the memory ports. Correct
the issue and re-run.
PMBIST-5103
Severity
Error
Description
Ports of the black-box module for the memory cell are not fully specified while inserting PMBIST
without liberty files.
What's Next
PMBIST insertion is requested without providing the liberty files. Specify a black-box module
definition for the above mentioned memory cell in the netlist and fully specify the memory ports.
Correct the issue and re-run.
PMBIST-5104
Severity
Error
Description
Relative path specified for a port_access entry for a discrete memory.
What's Next
The entries in the port_access section for the discrete memories cannot contain the relative paths to
the pins/buses. Correct the issue and re-run.
PMBIST-5105
Severity
Error
Description
Inout port is assigned an invalid value.
What's Next
The only valid value for the inout ports to be specified in the 'port_action' section is 'X'. Correct the
issue mentioned above and re-run.
PMBIST-5106
Severity
Error
Description
Unable to find proper clock gating integrated cells.
What's Next
Either the clock gating integrated cells do not exist in the libraries or attribute
'clock_gating_integrated_cell' on the lib_cell is not of type 'latch_posedge_precontrol' or the 'avoid'
attribute is set to 'true' on the cells. Make sure that the proper clock gating integrated cell exists or
set attribute 'lp_insert_discrete_clock_gating_logic' to 'true' and re-run.
PMBIST-5107
Severity
Error
Description
Hard repair interface requested without insertion of PMBIST logic.
What's Next
The PMBIST logic insertion is required prior to inserting hard repair interface or the fuse control unit.
Make sure that the 'insert_dft pmbist' command has been run before proceeding. Correct the issue
mentioned above and re-run.
PMBIST-5108
Severity
Error
Description
Fuse control unit insertion requested at block level.
What's Next
Fuse control unit can only be inserted at chip level. This means that either the JTAG macro must
exist and '-connect_to_jtag' option is specified or '-dont_create_hri_ports' option is specified and
JTAG macro will be inserted after the current run of programmable hard repair.
PMBIST-5109
Severity
Error
Description
Instruction missing with attribute 'fcu_instruction_set'.
What's Next
Specify the missing instruction while setting the attribute and re-run.
PMBIST-5110
Severity
Error
Description
Spurious instruction provided with attribute 'fcu_instruction_set'.
What's Next
Remove the specified instruction while setting the attribute and re-run.
PMBIST-5111
Severity
Error
Description
Conflicting insertion requirements between hard repair interface and PMBIST logic.
What's Next
Conflicting options are given to the command. Both the commands must insert logic at consistent
level (block vs. chip). Make sure that the correct options are given and re-run.
PMBIST-5112
Severity
Error
Description
Incorrect usage of the repair specific MBIST clock.
What's Next
There should exist an MBIST clock with repair flag defined on it (defined using '-is_srclk' option) .
The repair specific clock pin of a block must be driven from repair specific clock at higher level.
Correct the issue and re-run.
PMBIST-5113
Severity
Error
Description
MBIST inserted block instance is already stitched.
What's Next
Ensure that the block instance is not already stitched and re-run.
PMBIST-5114
Severity
Error
Description
The input bus is partly driven.
What's Next
The input bus should be either fully driven or fully unconnected. It should not have drivers for some
of its pins. Correct the issue and re-run.
PMBIST-5115
Severity
Error
Description
The input functional bus has no drivers.
What's Next
The functional bus must have existing drivers for all its bits. Correct the issue and re-run.
PMBIST-5116
Severity
Error
Description
Same hierarchical clock pin is used for multiple memory/macro instances along with the
'clock_mux' option.
What's Next
Make sure that either the 'clock_mux' is not specified in the configuration file for the specified
memory/macro or same hierarchical clock pin is not used for multiple memory/macro instances.
Correct the issue and re-run.
PMBIST-5117
Severity
Error
Description
Port range or direction does not match.
What's Next
The range or the direction of the specified port does not match the expected range or direction.
Correct the issue and re-run.
PMBIST-5119
Severity
Error
Description
Missing required information for on-demand MBIST.
What's Next
Ensure that all the required information is provided and re-run.
PMBIST-5120
Severity
Error
Description
Direct access function specification does not match the provided vector file.
What's Next
Provide correct specification and re-run.
PMBIST-5121
Severity
Error
Description
Conflicting access method requirements.
What's Next
Make sure that 'mda_run', 'mda_reset', 'mda_tck' and 'mda_run_done' functions have been defined
using 'define_pmbist_direct_access' command and re-run. Functions 'mda_ovfl' and/or 'mda_fail'
must also be defined if 'mda_run_ovfl' and/or 'mda_run_fail' are requested.
PMBIST-5122
Severity
Error
Description
Missing required information for on-demand MBIST.
What's Next
Make sure that the expected capabilities are enabled at the time of 'add_pmbist' or
'add_hard_repair' using 'define_pmbist_direct_access' command to access them later. Correct the
issue and re-run.
PMBIST-5123
Severity
Error
Description
Data read bus specified to be sent to control-observation unit.
What's Next
Data read bus should not be sent to control-observation unit.
PMBIST-5124
Severity
Error
Description
Invalid entry found in the provided vector file.
What's Next
The vector entries with common 'pgm' values with-in a pattern class must be controlled by a
common 'run mask' value. Provide correct specification and re-run.
PMBIST-5125
Severity
Error
Description
Wrapper specification could not be found while 'port_test' section is specified in configuration file.
What's Next
Use the 'wrapper' statement for the above mentioned memory cell in the memory view file if
'port_test' specifications are specified in memory view file. Correct the issue and re-run.
PMBIST-12
PMBIST-13
PMBIST-14
PMBIST-15
PMBIST-16
PMBIST-17
PMBIST-18
PMBIST-19
PMBIST-20
PMBIST-21
PMBIST-22
PMBIST-23
PMBIST-24
PMBIST-25
PMBIST-26
PMBIST-27
PMBIST-28
PMBIST-29
PMBIST-30
PMBIST-31
PMBIST-32
PMBIST-33
PMBIST-34
PMBIST-35
PMBIST-36
PMBIST-37
PMBIST-38
PMBIST-39
PMBIST-40
PMBIST-41
PMBIST-42
PMBIST-43
PMBIST-44
PMBIST-45
PMBIST-46
PMBIST-47
PMBIST-48
PMBIST-49
PMBIST-50
PMBIST-51
PMBIST-52
PMBIST-53
PMBIST-54
PMBIST-55
PMBIST-57
PMBIST-58
PMBIST-59
PMBIST-61
PMBIST-62
PMBIST-64
PMBIST-65
PMBIST-66
PMBIST-93
PMBIST-96
PMBIST-12
Severity
Info
Description
Deleted interface files.
What's Next
Deleted all interface files in the specified directory.
PMBIST-13
Severity
Info
Description
Started programmable MBIST access method insertion.
PMBIST-14
Severity
Info
Description
Programmable MBIST access method inserted successfully.
PMBIST-15
Severity
Info
Description
Memory physical layout generated successfully.
PMBIST-16
Severity
Info
Description
Synthesis/Timing completed successfully for module.
PMBIST-17
Severity
Info
Description
Synthesis ran successfully for module.
PMBIST-18
Severity
Info
Description
Directory was not found, creating directory.
What's Next
Creating the specified directory for storing the generated output.
PMBIST-19
Severity
Info
Description
Synthesis started for module.
PMBIST-20
Severity
Info
Description
Embedded test macro targeted to run at specified period.
PMBIST-21
Severity
Info
Description
Memory Target and programmable MBIST Engine Summary.
PMBIST-22
Severity
Info
Description
Fault coverage summary.
PMBIST-23
Severity
Info
Description
Started programmable MBIST insertion.
PMBIST-24
Severity
Info
Description
Programmable MBIST inserted successfully.
PMBIST-25
Severity
Info
Description
Interface files read successfully.
PMBIST-26
Severity
Info
Description
Interface files written successfully.
PMBIST-27
Severity
Info
Description
Generation of the configuration file template completed.
What's Next
Check for any issues reported by preceding messages to ensure the file's format and contents are
as intended.
PMBIST-28
Severity
Info
Description
Generation of the view file template completed.
What's Next
Check for any issues reported by preceding messages to ensure the file's format and contents are
as intended.
PMBIST-29
Severity
Info
Description
All the traversals will be done in a specific 'dft_configuration_mode'.
What's Next
Verify that the attributes of this mode are correct.
PMBIST-30
Severity
Info
Description
No test signals present. All the traversals will be done in the functional mode.
What's Next
Verify that the mode is correct.
PMBIST-31
Severity
Info
Description
Memory cell/Wrapper pin usage status.
PMBIST-32
Severity
Info
Description
PMBIST Logic Summary Table.
PMBIST-33
Severity
Info
Description
PMBIST area comparison table.
PMBIST-34
Severity
Info
Description
No clock gating macros found in the design.
What's Next
Make sure that the clock gating logic exist in the design and re-run.
PMBIST-35
Severity
Info
Description
Clock gating macros are replaced with clock gating integrated cell.
PMBIST-36
Severity
Info
Description
Repair Logic Summary Table.
PMBIST-37
Severity
Info
Description
Repair area comparison table.
PMBIST-38
Severity
Info
Description
No negative active flops found in the design.
What's Next
Make sure that the negative active flops exist in the design and re-run.
PMBIST-39
Severity
Info
Description
Negative active flops are replaced with proper library cell.
PMBIST-40
Severity
Info
Description
No synchronizer flops found in the design.
What's Next
Make sure that the synchronizer flops exist in the design and re-run.
PMBIST-41
Severity
Info
Description
Summary table for 'read_memory_view'.
PMBIST-42
Severity
Info
Description
Summary table for 'algorithm constraints'.
PMBIST-43
Severity
Info
Description
Freeing existing interface files in memory.
PMBIST-44
Severity
Info
Description
Analyzing logical array.
PMBIST-45
Severity
Info
Description
Summary table for 'read_memory_view -mbif'.
PMBIST-46
Severity
Info
Description
Summary table for 'read_memory_view' for fuse cells.
PMBIST-47
Severity
Info
Description
Started programmable hard repair insertion.
PMBIST-48
Severity
Info
Description
Hard Repair inserted successfully.
PMBIST-49
Severity
Info
Description
Summary table for 'add_hard_repair'.
PMBIST-50
Severity
Info
Description
Summary table for repair register unit status.
PMBIST-51
Severity
Info
Description
Summary table for repair channel status.
PMBIST-52
Severity
Info
Description
Summary table of PMBIST enabled feature set.
PMBIST-53
Severity
Info
Description
Redundant interface files specified.
PMBIST-54
Severity
Info
Description
Created temporary directory.
PMBIST-55
Severity
Info
Description
The format of the input netlist file is assumed.
What's Next
Ensure the assumed HDL format is as expected.
PMBIST-57
Severity
Info
Description
Black-box instance found.
What's Next
This instance will be ignored from the analysis.
PMBIST-58
Severity
Info
Description
File with the same name already used. Generated file will have different name.
PMBIST-59
Severity
Info
Description
Gathering required information for the memory.
PMBIST-61
Severity
Info
Description
Write enable pin will be treated as bit write enable mask for BIST purpose.
What's Next
In case bit write enable mask pin is not present on a memory then the write enable pin is treated as
bit write enable mask for BIST purpose. No action is required.
PMBIST-62
Severity
Info
Description
Synchronizer flops are replaced with proper library cell.
PMBIST-64
Severity
Info
Description
Access Method Logic Summary Table.
PMBIST-65
Severity
Info
Description
Access method area comparison table.
PMBIST-66
Severity
Info
Description
Summary table for hierarchical pin pairs on clock path (require pmbist_dft_controllable attribute).
PMBIST-93
Severity
Info
Description
Pin of a memory cell is tied to logic.
What's Next
Ensure the pin is tied to a required value.
PMBIST-96
Severity
Info
Description
This is an informational message.
PMBIST-63
PMBIST-67
PMBIST-68
PMBIST-70
PMBIST-72
PMBIST-81
PMBIST-87
PMBIST-97
PMBIST-101
PMBIST-103
PMBIST-900
PMBIST-902
PMBIST-930
PMBIST-931
PMBIST-933
PMBIST-936
PMBIST-939
PMBIST-1014
PMBIST-1015
PMBIST-1022
PMBIST-1023
PMBIST-1024
PMBIST-1025
PMBIST-1026
PMBIST-1027
PMBIST-1037
PMBIST-1038
PMBIST-1039
PMBIST-1040
PMBIST-1044
PMBIST-1045
PMBIST-1046
PMBIST-1047
PMBIST-1056
PMBIST-1057
PMBIST-1058
PMBIST-1059
PMBIST-1060
PMBIST-1061
PMBIST-1062
PMBIST-1063
PMBIST-1064
PMBIST-1065
PMBIST-1066
PMBIST-1067
PMBIST-1068
PMBIST-1069
PMBIST-1070
PMBIST-1071
PMBIST-1072
PMBIST-1073
PMBIST-1074
PMBIST-1075
PMBIST-1076
PMBIST-1077
PMBIST-1078
PMBIST-1079
PMBIST-1080
PMBIST-1081
PMBIST-1082
PMBIST-1083
PMBIST-1084
PMBIST-1085
PMBIST-1086
PMBIST-1087
PMBIST-5118
PMBIST-60
Severity
Warning
Description
No corresponding write enable pin found.
What's Next
No corresponding write enable pin found for a bit write enable mask on a memory cell. Ensure a
write enable exists for each corresponding memory port with a bit write enable mask.
PMBIST-63
Severity
Warning
Description
User provided directory has been changed because of '..' presence in source file(s).
PMBIST-67
Severity
Warning
Description
Memory cell is redefined in liberty file.
What's Next
The previous cell definition found in liberty file is ignored. Ensure either only one definition is
provided for each memory cell or the desired definition is provided last.
PMBIST-68
Severity
Warning
Description
Invalid clock period specified for a clock.
What's Next
The specified clock will be ignored from multi-mode-multi-corner analysis. In case this is required to
be included, correct its period and re-run.
PMBIST-70
Severity
Warning
Description
Multiple clock pins are associated with bist enable pin.
What's Next
Clock pins are not evenly divided among test wrapped and non test wrapped clocks. Check .lib file
to ensure that functional and test clocks are related to specified bist enable pin.
PMBIST-72
Severity
Warning
Description
What's Next
Make sure that clock pin is connected to the top level port and re-run.
PMBIST-81
Severity
Warning
Description
Unable to determine all the BIST related details.
What's Next
MBIST is not inserted for any instances of this cell. Verify all the previous messages related to the
memory cell are resolved.
PMBIST-87
Severity
Warning
Description
Liberty file does not have an associated clock statement.
What's Next
Pin of memory cell is set to clock either by the user or based on the default naming convention, but
the liberty file does not have an associated clock statement. The pin is treated as a clock. Verify the
referenced pin is supposed to be a clock pin. If so, add the clock statement to the pin definition in
the corresponding .lib file.
PMBIST-97
Severity
Warning
Description
Cannot determine the initial and final bus indices.
What's Next
MBIST is not inserted for this cell. Verify the indices are specified in the liberty file.
PMBIST-101
Severity
Warning
Description
Exactly 1 top level design is required.
What's Next
There are none or multiple designs present and design is not specified. Either specify the design or
make sure there is only one top level design.
PMBIST-103
Severity
Warning
Description
Instance specified in the MBIST specific interface files is deleted.
What's Next
The above mentioned instance is deleted. Interface files may have incorrect instance specified.
Either modify the interface files to point to the proper instance or disable synthesis and re-run.
PMBIST-900
Severity
Warning
Description
MBIST insertion supports read or write data bus.
What's Next
The direction or functionality of data bus memory cell is not compatible. Cannot BIST instances of
memory cell.
PMBIST-902
Severity
Warning
Description
Cannot determine the associated address bus.
What's Next
Cannot determine the associated test wrapped address bus for system write bus of memory cell. No
instances of this memory cell have BIST inserted. Ensure the test wrapped address bus exists and
is related to the same clock, in the .lib file, as the system address bus and re-run.
PMBIST-930
Severity
Warning
Description
No test wrapped address bus found.
What's Next
No test wrapped address bus found that corresponds to clock and system address bus of memory
cell. No instances of this memory cell have BIST inserted. Ensure a test wrapped address bus
exists for each system address bus in the test wrapped memory and re-run.
PMBIST-931
Severity
Warning
Description
No test wrapped output enable pin found.
What's Next
No test wrapped output enable pin found that corresponds to system output enable pin for the port
of memory cell clocked by pin. No instances of this memory cell have BIST inserted. Ensure a test
wrapped output enable pin exists for each system output enable pin on a test wrapped memory and
re-run.
PMBIST-933
Severity
Warning
Description
Library file appears to be .srule format.
What's Next
The required format is .lib format. Replace the file with a .lib format and type and re-run.
PMBIST-936
Severity
Warning
Description
Cannot determine the associated address bus.
What's Next
Cannot determine the associated address bus for the data bus of memory cell. No instances of this
memory cell have BIST inserted. Ensure that the 'address' attribute is specified in the
'memory_read/memory_write' section of this bus in the liberty file and re-run.
PMBIST-939
Severity
Warning
Description
Consistency checks cannot be performed on the specified set of interface files.
What's Next
Make sure that the right set of interface files are provided.
PMBIST-1014
Severity
Warning
Description
Potential problem with memory view file module address partition specification detected.
What's Next
Address partition specification contains an order keyword with all possible address values. This
may lead to longer synthesis run-time and extra hardware. Specify the minimum set of repeating
order values and re-run.
PMBIST-1015
Severity
Warning
Description
Timing optimization failed to achieve zero negative slack.
What's Next
Could not eliminate negative slack for target period. Specify at most the minimum period as a target
period in the configuration file and re-run.
PMBIST-1022
Severity
Warning
Description
Found 'JTAG_MODULE' but '-connect_to_jtag' option is missing.
What's Next
Option 'connect_to_jtag' is missing. No attempt will be made to connect to TAP interface.
PMBIST-1023
Severity
Warning
Description
Clock gating macros cannot be mapped to clock gating integrated cells.
What's Next
Either the attribute 'clock_gating_integrated_cell' on the lib_cell is not of type
'latch_posedge_precontrol' or the library domain of the lib_cell does not match the library domain of
the clock gating macro. Make sure that the proper clock gating integrated cell exists and re-run.
PMBIST-1024
Severity
Warning
Description
Negative active flops cannot be mapped to proper library cells.
What's Next
The attribute 'pmbist_ffn_cell' is not specified on the module or design. Make sure that the attribute
is set properly and re-run.
PMBIST-1025
Severity
Warning
Description
Memory cell not specified in the configuration file.
What's Next
Specified memory cell is being used in the design but is not mentioned in the configuration file.
Make sure this is intended.
PMBIST-1026
Severity
Warning
Description
Unable to find the information of memory cells in the database.
What's Next
Specified memory cell is being used in the design but is not read using 'read_memory_view'
command. Make sure this is intended.
PMBIST-1027
Severity
Warning
Description
Synchronizer flops cannot be mapped to proper library cells.
What's Next
The attribute 'pmbist_ffsync_cell' is not specified on the module or design. Make sure that the
attribute is set properly and re-run.
PMBIST-1037
Severity
Warning
Description
Could not find any memory cell in the design for BIST.
What's Next
Ensure that the design is correct, or all the liberty files are provided or the design has some non-
bisted memories and re-run.
PMBIST-1038
Severity
Warning
Description
Clock source identified on memory devices is not defined as 'mbist_clock'. Target groups
associated with the memory devices will not have a proper clock definition in the generated
PMBIST configuration file.
What's Next
Check if the source clock is properly defined with the define_mbist_clock command or make sure
the clock pins on the memory devices are traceable to a defined mbist clock. Make sure the test
mode signals are defined correctly or the dft_controllable attribute is set where necessary. After
adapting the configuration, regenerate the PMBIST configuration file or modify the clock sources
associated to the respective target groups accordingly.
PMBIST-1039
Severity
Warning
Description
Unable to locate 'mbist_clock'.
What's Next
Make sure that the 'mbist_clock' is defined prior to running 'insert_dft pmbist' and re-run.
PMBIST-1040
Severity
Warning
Description
Different clock sources drive different clock ports of the memory.
What's Next
Multiplexer will be added in the path of the clock. If this is not intentional then correct the issue and
re-run.
PMBIST-1044
Severity
Warning
Description
Pin specified with attribute 'pmbist_hri_async_reset'.
What's Next
Reset attribute 'pmbist_hri_async_reset' should specify ports. Make sure that either the port is
specified or user supplied mode initialization sequence is required for the downstream commands.
PMBIST-1045
Severity
Warning
Description
Direct access requested but port not associated with direct access function.
What's Next
Direct access functions should specify ports. Make sure that either the port is specified or user
supplied mode initialization sequence is required for the downstream commands.
PMBIST-1046
Severity
Warning
Description
Unable to determine JTAG TCK period. Default value will be used.
What's Next
Could not find any MBIST clock with 'is_jtag_tck' attribute set on it. This attribute specifies that an
MBIST clock is same as that of JTAG TCK. Default period will be used for JTAG TCK.
PMBIST-1047
Severity
Warning
Description
Clock gating macros cannot be mapped to user defined clock gating integrated cells.
What's Next
User defined clock gating integrated cell is not of type 'latch_posedge_precontrol'.
PMBIST-1056
Severity
Warning
Description
Configuration file contains 'module' section. This will be ignored.
What's Next
All the memory cells, specified using 'module' section, must be read using 'read_memory_view'
command. The current command will ignore these sections.
PMBIST-1057
Severity
Warning
Description
The period and the hookup period of the MBIST clock(s) of the domain specified with 'mda_tck'
function are different.
What's Next
The period of the MBIST clock(s) of the domain specified with 'mda_tck' function must be same as
that of their hookup period. Correct the MBIST clock definition and re-run.
PMBIST-1058
Severity
Warning
Description
Clock source is not defined as 'mbist_clock'.
What's Next
The port driver of the specified clock pin must be defined as 'mbist_clock'. Check the 'mbist_clock'
definition or make sure that the clock connections are correct.
PMBIST-1059
Severity
Warning
Description
Consistency check for the clock polarity cannot be performed for a blackbox instance.
What's Next
Ensure that the polarity of the clock specified in the interface files is correct after doing
write_pmbist_interface_files.
PMBIST-1060
Severity
Warning
Description
Option 'logic_test' specified for ROMs.
What's Next
Option 'logic_test' requested for ROMs. Only observation logic and no shadow logic is inserted for
ROMs. Use ROM data load file to test read port during ATPG.
PMBIST-1061
Severity
Warning
Description
No instance of the memory cell is present in the design for BIST purpose.
What's Next
No instance of the memory cell is present in the design. This memory cell will be ignored.
PMBIST-1062
Severity
Warning
Description
Target section requests 'redundancy_analysis' while some memories do not have redundancy
capabilities.
What's Next
Make sure that the specified memories do not have redundancy capabilities otherwise correct the
view file for these memories and re-run 'read_memory_view' command.
PMBIST-1063
Severity
Warning
Description
Connected a constant to the bypass data enable pin of the memory to make it inactive during pmbist
mode of operation.
What's Next
Bypass data enable pin of the memory is connected to a constant to make it inactive during pmbist
operation. Make sure that the 'logic_test' requirements are correct and re-run.
PMBIST-1064
Severity
Warning
Description
Conflicting timing mode requirements.
What's Next
The JTAG specific timing mode attribute 'dft_pmbist_jtag_timing_mode_name' will be ignored in the
direct access only flow. The constraints will be created using direct access specific timing mode
attribute 'dft_pmbist_mda_timing_mode_name'. Ensure the correct specification of the timing mode.
PMBIST-1065
Severity
Warning
Description
Conflicting timing mode requirements.
What's Next
The direct access specific timing mode attribute 'dft_pmbist_mda_timing_mode_name' will be
ignored in the JTAG only flow. The constraints will be created using JTAG specific timing mode
attribute 'dft_pmbist_jtag_timing_mode_name'. Ensure the correct specification of the timing mode.
PMBIST-1066
Severity
Warning
Description
Conflicting timing mode requirements.
What's Next
Same value is specified with attributes 'dft_pmbist_mda_timing_mode_name' and
'dft_pmbist_jtag_timing_mode_name'. Ensure that the value is correct.
PMBIST-1067
Severity
Warning
Description
Timing mode already exists.
What's Next
Specified timing mode already exists. No new timing mode will be created. This may lead to
conflicting timing constraints. Ensure that the mode name is correct.
PMBIST-1068
Severity
Warning
Description
Could not find pin.
What's Next
Pin not found on given instance. Ensure that the instance and pin name are correct, and that the pin
exists.
PMBIST-1069
Severity
Warning
Description
Memory found as active via chip select analysis not found when tracing back from the interface
output.
What's Next
This can happen when bit write enable is necessary to locate the memory, the memory should be
found while analyzing a different logical array.
PMBIST-1070
Severity
Warning
Description
Shared 'jtag_reset' and 'mda_reset' found.
What's Next
The 'jtag_reset' and 'mda_reset' are shared. If the hookup pins were specified while defining
functions 'jtag_reset' and 'mda_reset', then the controllability of the hookup pins from the respective
sources cannot be assured. Make sure this is intended.
PMBIST-1071
Severity
Warning
Description
Shared usage of the direct access pin found.
What's Next
The source/hookup-pin or the specified MBIST direct access function is shared with a test signal.
The controllability of the hookup pins from the respective sources cannot be assured. Make sure
this is intended.
PMBIST-1072
Severity
Warning
Description
Consistency check failed.
What's Next
Ensure that the supplied information is correct.
PMBIST-1073
Severity
Warning
Description
Cannot insert clock gates.
What's Next
Attribute 'lp_insert_clock_gating' is set to 'false'. No clock gates will be inserted in the PMBIST
logic. Set this attribute to 'true' and re-run.
PMBIST-1074
Severity
Warning
Description
Cannot control clock gates.
What's Next
Design level attribute 'lp_clock_gating_test_signal' is not set. Clock gates will not be controllable.
Set this design level attribute and re-run.
PMBIST-1075
Severity
Warning
Description
Encountered unusual case while tracing interface output back to detect connected memories.
What's Next
While simulating a read operation in modus, tracing back from the interface output stopped at a flop.
After one additional clock pulse, a different flop was traced back to. Continuing trace on the d pin of
the flop to locate a memory. Ensure the macro view contents are correct for the memories for this
array decode.
PMBIST-1076
Severity
Warning
Description
Encountered unusual case while tracing interface output back to detect connected memories.
What's Next
While simulating a read operation in modus, tracing back from the interface output stopped at a flop.
After one additional clock pulse, could not trace back to a flop. Continuing trace on the d pin of the
last flop found in first trace back to locate a memory. Ensure the macro view contents are correct for
the memories for this array decode.
PMBIST-1077
Severity
Warning
Description
Burn-in patterns will not be generated.
What's Next
All the clocks driving MBIST logic are not free running clocks. To generate burn-in patterns, ensure
that all the clocks are free running clocks that is the clocks are defined with option '-
internal_clock_source' and re-run.
PMBIST-1078
Severity
Warning
Description
Sub-optimal logic will be generated.
What's Next
Option '-dont_map' is not recommended as it leads to generation of sub-optimal logic. Synthesis
step may not be able to optimize the logic later on. In some cases, synthesis may not be able to
close timing. Avoid using this option and re-run.
PMBIST-1079
Severity
Warning
Description
Duplicate logical array found in the MBIF file, this logical array will be ignored.
What's Next
If multiple logical arrays are defined with the same mbistarray value, only the first one will be
processed.
PMBIST-1080
Severity
Warning
Description
Conflicting values specified between 'port_test' and 'logic_test' sections.
What's Next
The above mentioned signal is specified in 'port_test' section for sending it to control-observation
unit while 'logic_test' value is specified as 'bypass_int'. Value 'registered_bypass' should be used to
bypass data read bus with the output of control-observation unit.
PMBIST-1081
Severity
Warning
Description
Data write bus is not sent to control-observation unit.
What's Next
Data write bus is not observed as data bus is not sent to control-observation unit as per 'port_test'
specifications.
PMBIST-1082
Severity
Warning
Description
Input signal not sent to control-observation unit.
What's Next
Signals of type srsi, srsin, srso, srson, sre, sren, srst, srstn, rre, rren, cre, cren, srclk, clk, rra, cra, rb
are not sent to control-observation unit.
PMBIST-1083
Severity
Warning
Description
MBIST inserted block instance is already stitched.
What's Next
This block instance will be ignored. In case the block is not already stitched then ensure that the
above mentioned pin(s) on block instance are not connected and re-run.
PMBIST-1084
Severity
Warning
Description
Missing test signal with the 'test_ramsequential' value for the 'pmbist_use' attribute.
What's Next
The 'pmbist_use' attribute with the 'test_ramsequential' value should be present if either the RAM
sequential testing needs to be performed or multiplexers in the path of extended map registers
driving the memory pins need to be controlled during ATPG. Make sure that a test signal has the
required value for the 'pmbist_use' attribute and re-run.
PMBIST-1085
Severity
Warning
Description
Input signal not sent to control-observation unit.
What's Next
Signal with constant or no drivers are not sent to control-observation unit. These signals should not
be specified in 'port_test' specifications.
PMBIST-1086
Severity
Warning
Description
Incorrect usage of the test signal found.
What's Next
The same test signal is associated for incompatible values of the 'pmbist_use' attribute. The
different test signals must be associated with incompatible values of the 'pmbist_use' attribute.
Correct the issue mentioned above and re-run.
PMBIST-1087
Severity
Warning
Description
Missing technology node information.
What's Next
Technology node is not specified. Assuming technology node to be 90 nm for testplan generation.
PMBIST-5118
Severity
Warning
Description
Conflicting insertion requirements between hard_repair interface and PMBIST logic.
What's Next
Only channels will be created for hard_repair purpose. Ensure that the intention is to create only
channels at top level.
75
POPT
POPT-545
POPT-550
POPT-551
POPT-552
POPT-554
POPT-1
Severity
Error
Description
Failed to execute features not implemented yet.
What's Next
Change the transformation setup and rerun the command.
POPT-15
Severity
Error
Description
A clock-gating integrated cell name must be specified for this attribute.
What's Next
Use a valid clock-gating integrated cell name and then re-set the attribute.
POPT-16
Severity
Error
Description
Cannot find a clock-gating integrated cell with the given name.
What's Next
Use a valid clock-gating integrated cell name or load in the proper library and then re-set the
attribute.
POPT-37
Severity
Error
Description
Failed to set the test signal for clock-gating.
What's Next
The test signal can only be set either on the top design or on the clock-gating instances.
POPT-41
Severity
Error
Description
Failed to insert clock-gating logic in the netlist.
What's Next
Specify a clock-gating integrated cell which belongs to the same library domain as the design or
subdesign.
POPT-46
Severity
Error
Description
Failed to connect test-control logic for clock-gating.
What's Next
Make sure that the library has at least one usable inverter. If library domains are defined, make sure
that each of these library domains has a usable inverter.
POPT-59
Severity
Error
Description
Could not insert clock-gating logic in unmapped netlist.
What's Next
Unmap the design using the 'syn_gen' command and re-run the 'clock_gating insert_in_netlist'
command.
POPT-60
Severity
Error
Description
Could not insert clock-gating logic in mapped netlist.
What's Next
Map the design using the 'syn_map' command and re-run the 'clock_gating insert_in_netlist'
command.
POPT-70
Severity
Error
Description
The test signal is defined with -shared_in option.
What's Next
Specify a test signal which is not shared and then re-set attribute lp_clock_gating_test_signal.
POPT-76
Severity
Error
Description
Unable to reset the clock-gating test signal.
What's Next
The value of the 'lp_clock_gating_test_signal' attribute cannot be changed once the test signal has
been connected in the design.
POPT-78
Severity
Error
Description
Cannot find any usable integrated clock-gating cell.
What's Next
If the library has an integrated clock-gating cell, but this cell has either a 'dont_use' or a 'dont_touch'
attribute set to 'true', the cell is considered unusable. To make the cell usable, set the 'avoid'
attribute for the lib_cell to 'false' in Genus. If the library has no integrated clock-gating cell, you can
set the 'lp_insert_discrete_clock_gating_logic' root attribute to 'true' to allow Genus to create
discrete clock-gating logic for the insertion.
POPT-84
Severity
Error
Description
Could not insert shared clock-gating logic.
What's Next
Map the design using the 'synthesize -to_mapped' command and re-run the 'clock_gating share'
command.
POPT-93
Severity
Error
Description
The library does not have the minimum required usable libcells.
What's Next
Ensure that the library has the minimum required usable libcells and re-run the command.
POPT-203
Severity
Error
Description
Operand Isolation will not be performed.
POPT-516
Severity
Error
Description
More than one library cell matches the given wildcard pattern.
What's Next
Specify the full path of the library cell to be used, or refine the wildcard pattern so that it matches a
single library cell.
POPT-517
Severity
Error
Description
No library cells matches the given wildcard pattern.
What's Next
Give the full path to the library cell to be used, or a wildcard pattern that matches a library cell.
POPT-521
Severity
Error
Description
Cannot specify driver for power gating pin.
What's Next
You can only set the 'lp_srpg_pg_driver' instance attribute on leaf sequential instances like flops
and latches.
POPT-545
Severity
Error
Description
The cell being used is not a state retention cell.
What's Next
Only state retention library cells can be used for state retention mapping. Provide a cell with the
'power_gating_cell' attribute 'true' to use it for state retention synthesis.
POPT-550
Severity
Error
Description
Syntax error in input VCD file.
What's Next
Correct the error at indicated line number.
POPT-551
Severity
Error
Description
Either the scope specified is not found or it is empty.
What's Next
Ensure that the module provided with the switch -vcd_scope is present in the VCD file.
POPT-552
Severity
Error
Description
The output TCF file could not be generated.
What's Next
Check that proper permissions are set to write to the TCF file.
POPT-554
Severity
Error
Description
The scope to be monitored is not uniquified.
What's Next
If you pass '-module' option to 'read_vcd' make sure that it is uniquified and refers to only a single
instance in the hierarchy.
POPT-35
POPT-50
POPT-51
POPT-52
POPT-53
POPT-54
POPT-56
POPT-57
POPT-61
POPT-62
POPT-63
POPT-64
POPT-65
POPT-66
POPT-67
POPT-68
POPT-69
POPT-71
POPT-72
POPT-73
POPT-79
POPT-83
POPT-86
POPT-92
POPT-96
POPT-102
POPT-103
POPT-111
POPT-113
POPT-114
POPT-115
POPT-201
POPT-204
POPT-205
POPT-207
POPT-208
POPT-500
POPT-507
POPT-508
POPT-510
POPT-511
POPT-513
POPT-514
POPT-515
POPT-525
POPT-536
POPT-539
POPT-541
POPT-543
POPT-544
POPT-557
POPT-558
POPT-559
POPT-560
POPT-570
POPT-571
POPT-600
POPT-10
Severity
Info
Description
Cannot find requested type of clock-gating integrated cell.
POPT-11
Severity
Info
Description
Found user created clock-gating module.
POPT-12
Severity
Info
Description
Could not find any user created clock-gating module.
What's Next
Looking for Integrated clock-gating cell in library.
POPT-13
Severity
Info
Description
User defined clock-gating module is not complete.
What's Next
Make sure the module has all ports defined according to the manual.
POPT-17
Severity
Info
Description
The user specified clock-gating integrated cell will override the 'lp_clock_gating_control_point' and
'lp_clock_gating_style' settings.
POPT-22
Severity
Info
Description
Ignore the setting for automatically generating test control port for clock-gating because the user
specified test signal exists.
POPT-24
Severity
Info
Description
Test pin of clock-gating instance is already connected.
What's Next
The test pin will not be re-connected. Check for the correct pin name.
POPT-25
Severity
Info
Description
CG instance drives dft_dont_scan flops.
POPT-27
Severity
Info
Description
Ignore the flip-flop for clock gating because its synchronous pin is always enabled.
POPT-28
Severity
Info
Description
Ignore the flip-flop for clock gating because it has multiple synchronous inputs.
POPT-29
Severity
Info
Description
Driver of the scan enable pin does not match the scan signal defined by
lp_clock_gating_test_signal attribute. Treat the scan pin as a regular synchronous enable signal for
clock gating insertion purpose.
POPT-30
Severity
Info
Description
MUX is deleted after clock gating logic inserted.
POPT-34
Severity
Info
Description
Could not include pin in clock-gating enable logic.
What's Next
Make sure the instance or module in which the pin resides is not preserved.
POPT-35
Severity
Info
Description
Could not insert clock-gating for flip-flop.
What's Next
Make sure the logic which enables the feedback loop is not preserved.
POPT-50
Severity
Info
Description
Could not declone clock-gating instances.
What's Next
Reset the 'lp_clock_gating_max_flops' attribute to a bigger number and re-run the command.
POPT-51
Severity
Info
Description
Could not declone clock-gating instances.
What's Next
The design should have 2 or more clock-gating instances for decloning.
POPT-52
Severity
Info
Description
Clock-gating instance will not be considered for declone.
What's Next
Make sure the 'preserve' attribute is set to 'false' on the clock-gating instance.
POPT-53
Severity
Info
Description
Clock-gating instance cannot be considered for decloning.
What's Next
Make sure the logic gates inside the clock-gating module either have the correct library cell attribute
to be identified as clock-gating logic, or implement a correct clock-gating function.
POPT-54
Severity
Info
Description
Undriven scan enable pin.
POPT-56
Severity
Info
Description
Clock-gating instances are decloned.
What's Next
See the Genus Low Power manual for more information on Clock-gating decloning.
POPT-57
Severity
Info
Description
Clock-gating instance will not be considered for declone.
What's Next
Clock-gating instance pin in undriven.
POPT-61
Severity
Info
Description
Splitted the enable function of a clock-gating instance.
What's Next
The complex enable function of a clock-gating instance is decomposed into multiple smaller enable
function and the original clock-gating instance is converted into a set of multi-stage clock-gating
instances.
POPT-62
Severity
Info
Description
Merged the enable functions of two clock-gating instances.
What's Next
The enable function of a root level clock-gating instance is merged with the enable function of a leaf
level clock gating instance. The original multi-stage clock gating is converted into a regular clock
gating.
POPT-63
Severity
Info
Description
Clock-gating instance cannot be considered for splitting.
What's Next
Make sure the clock gating instance, the nets connected to the clock pin and enable pin of the clock
gating logic and the driving logic for the enable pin are not preserved.
POPT-64
Severity
Info
Description
Clock-gating instance cannot be considered for splitting.
POPT-65
Severity
Info
Description
Clock-gating instance cannot be considered for splitting.
What's Next
A clock-gating instance is splittable only if its enable logic is driven by a single output
combinational gate.
POPT-66
Severity
Info
Description
Clock-gating instance is not splittable.
What's Next
The enable logic of the clock gating instance cannot be decomposed.
POPT-67
Severity
Info
Description
Clock-gating instance cannot be considered for joining.
What's Next
Make sure the clock gating instance and the nets connected to the clock pin and enable pin of the
clock gating logic are not preserved.
POPT-68
Severity
Info
Description
Clock-gating instance cannot be considered for joining.
What's Next
A clock-gating instance with synchronous set logic cannot be considered for joining because there
is no way to create the new enable logic without change the logic inside the clock gating hierarchy.
A clock-gating whose enable pin is multiply driven is also ignored for joining.
POPT-69
Severity
Info
Description
Clock-gating instances cannot be considered for joining.
What's Next
Only those clock-gating instances, which have the same test pin drivers and
lp_clock_gating_test_signal settings, can be considered for joining.
POPT-71
Severity
Info
Description
The clock gating instance is violating the 'lp_clock_gating_min_flops' constraint.
What's Next
Either the 'lp_clock_gating_min_flops' constraint value was changed or the driven flops were
optimized. If the clock gating instance is not preserved it might be removed.
POPT-72
Severity
Info
Description
The clock gating instance enable is constant.
What's Next
If the clock gating instance is not preserved it might be removed.
POPT-73
Severity
Info
Description
The clock gating instance clock is constant.
What's Next
If the clock gating instance is not preserved it might be removed.
POPT-79
Severity
Info
Description
Clock-gating instance is marked to skip test connection.
POPT-83
Severity
Info
Description
What's Next
Shared clock-gating logic is inserted for enable function shared by clock-gating logic in the design.
POPT-86
Severity
Info
Description
Clock-gating instance cannot be considered for multi-level gating.
What's Next
Make sure the logic gates inside the clock-gating module either have the correct library cell attribute
to be identified as clock-gating logic, or implement a correct clock-gating function.
POPT-92
Severity
Info
Description
A potential clock gating enable was not considered due to the presence of timing exceptions.
What's Next
Clock gating timing exception awareness can be disabled with the
'lp_clock_gating_exceptions_aware' attribute.
POPT-96
Severity
Info
Description
One or more cost groups were automatically created for clock gate enable paths.
What's Next
This feature can be disabled by setting the attribute lp_clock_gating_auto_cost_grouping false.
POPT-102
Severity
Info
Description
Unable to perform cloning for clock gating instance.
POPT-103
Severity
Info
Description
Skipping XOR clock-gating for flop(s).
POPT-111
Severity
Info
Description
Could not declone clock-gating instances.
What's Next
The design should have 2 or more clock-gating instances for rebalance.
POPT-113
Severity
Info
Description
What's Next
Make sure the 'preserve' attribute is set to 'false' on the clock-gating instance.
POPT-114
Severity
Info
Description
Clock-gating instance cannot be considered for rebalance.
What's Next
Make sure the logic gates inside the clock-gating module either have the correct library cell attribute
to be identified as clock-gating logic, or implement a correct clock-gating function.
POPT-115
Severity
Info
Description
Module/Sub-Module cannot be considered for rebalance. No usable inverter gate found in the
libraries of Module/Sub-Module.
What's Next
Make sure we have usable inverter gate present in the library.
POPT-201
Severity
Info
Description
Signal width is too small.
What's Next
Signals of bitwidth lesser than 8 are not considered for Operand Isolation.
POPT-204
Severity
Info
Description
Nothing to do in Operand Isolation.
POPT-205
Severity
Info
Description
Candidates found for Operand Isolation.
POPT-207
Severity
Info
Description
Committing Operand Isolation instance.
POPT-208
Severity
Info
Description
Decommitting (deleting) operand isolation instance.
POPT-500
Severity
Info
Description
Make sure 'max_leakage_power' is set to enable leakage power optimization.
What's Next
Set 'max_leakage_power' before optimization.
POPT-507
Severity
Info
Description
Leakage power optimization was enabled, but single Vth class seems to be used as leakage
properties of the given libraries look too similar.
What's Next
The tool tries to classify the given libraries into different Vth classes. If the leakage properties of the
given libraries are too similar then the tool considers all libraries to be of the same Vth class.
POPT-508
Severity
Info
Description
High effort leakage power optimization will be less aggressive for clock gating integrated cells.
What's Next
Some libraries miss clock gating integrated cells with lower leakage power.
POPT-510
Severity
Info
Description
Could not connect a power gating pin of a state retention instance.
What's Next
Specify an appropriate driver in state retention rule in CPF file to make connections.
POPT-511
Severity
Info
Description
No usable cells in the libraries loaded has the 'power_gating_cell' attribute setting.
What's Next
Load a library which has 'power_gating_cell' attribute as 'true' on cells which are intended to be
used as state retention cells.
POPT-513
Severity
Info
Description
Could not find an always on buffer in the library to feed the driver for this instance.
What's Next
Load a proper library which contains always on buffer cells or set the 'is_always_on' attribute on
buffer cells for them to get selected.
POPT-514
Severity
Info
Description
Cannot find a matching state retention cell for the flip-flop.
What's Next
Provide a complete state retention library with a matching flip-flop for each regular flip-flop.
POPT-515
Severity
Info
Description
Preserve the instance of the power gating pin driver.
POPT-525
Severity
Info
Description
Cannot detect a single state retention cell which can be used for mapping.
What's Next
Provide a proper state retention library with cells usable as state retention cells.
POPT-536
Severity
Info
Description
Library pin phase mismatch detected while swapping to an state retention cell.
What's Next
Ensure that library cells with similar pin phases are used for swapping state retention flops.
POPT-539
Severity
Info
Description
Could not find an always on inverter in the library to feed the driver for this instance.
What's Next
Load a proper library which contains always on inverter cells or set the 'is_always_on' attribute on
inverter cells for them to get selected.
POPT-541
Severity
Info
Description
No state retention cell with a matching functional class as that of the flip-flop being replaced was
found.
What's Next
Both the normal and state retention cells should have a common functional class for the state
retention cell to be considered as a candidate for replacing the normal flip-flop.
POPT-543
Severity
Info
Description
The state retention library cell does not have an input pin corresponding to the normal library cell it
is trying to replace.
What's Next
The state retention cell which has an equal number of input pins as that of the normal flip-flop will
be used for replacement. Provide a state retention cell with equal number of input pins as that of the
normal flip-flop.
POPT-544
Severity
Info
Description
The state retention library cell does not have an output pin corresponding to the normal library cell it
is trying to replace.
What's Next
The state retention cell which has an equal number of output pins as that of the normal flip-flop will
be used for replacement. Provide a state retention cell with equal number of output pins as that of
the normal flip-flop.
POPT-557
Severity
Info
Description
The '-vcd_module' option has not been specified with the 'read_vcd' command.
What's Next
The first scope encountered in the VCD file has been selected for processing. This may result in
lesser coverage if the selected scope does not match up to the design hierarchy to be annotated. To
get better coverage, provide the VCD scope name with the '-vcd_module' option.
POPT-558
Severity
Info
Description
The object could not be found under the specified hierarchy.
What's Next
This happens if the hierarchy specified with the '-vcd_module' option lies more than one level below
the top level hierarchy or the hierarchy specified with the '-module' option. Adjust the specifications
for the '-vcd_module' and the '-module' options to avoid this scenario.
POPT-559
Severity
Info
Description
Multiple objects were found under the specified hierarchy.
What's Next
This happens if the hierarchy specified with the '-vcd_module' option is not unique under the top
level hierarchy or the hierarchy specified with the '-module' option. Adjust the specifications for the '-
vcd_module' and the '-module' options to avoid this scenario.
POPT-560
Severity
Info
Description
The name of the generated SST2 database will have the VCD filename as its prefix as the '-
write_sst2' option has not been specified.
What's Next
You have specified either the '-activity_profile' or the '-dynamic' option. To automatically load the
SST2 database in the waveform viewer, you need to provide the '-simvision' option. To have your
own named SST2 database, you need to use the '-write_sst2' option.
POPT-570
Severity
Info
Description
Some sequential and/or tristate cells were added to the set of cells to be used for generic timing,
because they were missing in there.
What's Next
The cell set selected for generic timing misses sequential and/or tristate cells.
POPT-571
Severity
Info
Description
Clock gating integrated cells were added to the set of cells to be used for generic timing, because
they were missing in there.
What's Next
The cell set selected for generic timing misses clock gating integrated cells.
POPT-600
Severity
Info
Description
Could not dedicate subdesign of instance.
What's Next
Make sure the subdesign is not preserved.
POPT-80
POPT-81
POPT-82
POPT-85
POPT-90
POPT-91
POPT-94
POPT-95
POPT-97
POPT-98
POPT-99
POPT-104
POPT-105
POPT-106
POPT-112
POPT-121
POPT-150
POPT-151
POPT-152
POPT-209
POPT-501
POPT-502
POPT-503
POPT-504
POPT-505
POPT-506
POPT-512
POPT-519
POPT-522
POPT-526
POPT-527
POPT-528
POPT-529
POPT-530
POPT-532
POPT-534
POPT-535
POPT-538
POPT-553
POPT-555
POPT-556
POPT-561
POPT-999
POPT-14
Severity
Warning
Description
Cannot create control port automatically to improve clock-gating testability.
What's Next
Specify an existing test signal using 'set_attribute lp_clock_gating_test_signal <test signal name>'.
POPT-18
Severity
Warning
Description
Flip-flop to be excluded from clock gating is in a non-uniquified subdesign.
What's Next
Use edit_netlist dedicate_subdesign <instance> to uniquify the subdesign if you do not want this
attribute to be set on all instances.
POPT-19
Severity
Warning
Description
User defined clock-gating module is not complete.
What's Next
Check if all ports required are present.
POPT-20
Severity
Warning
Description
Failed to connect test-control logic for clock-gating.
What's Next
The test signal cannot be connected if the path to either the test driver or the clock-gating instance
contain an instance or subdesign that is marked preserved. To ensure the connection, set the
'preserve' attribute to 'false' on the subdesign or instance that was reported preserved, then run the
'add_clock_gates_test_connection' command.
POPT-21
Severity
Warning
Description
User defined test signal cannot be found.
What's Next
Make specify the correct test signal and then re-set attribute lp_clock_gating_test_signal.
POPT-23
Severity
Warning
Description
Failed to set the test signal for clock-gating.
What's Next
If multiple designs are loaded when you set the 'lp_clock_gating_test_signal' attribute, make sure
that the test signal belongs to the design you are setting the attribute on.
POPT-26
Severity
Warning
Description
Inserting hierarchical clock-gating.
What's Next
The addition of new ports at the subdesign boundaries might cause issues during formal
verification. If this is not acceptable, set the attribute 'lp_clock_gating_hierarchical' to 'false' to turn
off hierarchical clock-gating and restart synthesis.
POPT-31
Severity
Warning
Description
Failed to connect testability logic for clock-gating.
What's Next
The clock gating logic has no test logic inside.
POPT-32
Severity
Warning
Description
Asynchronous reset pin is missing from clock-gating instance.
What's Next
Ensure that clock-gating instances used have an asynchronous reset pin.
POPT-33
Severity
Warning
Description
Failed to connect test signal to clock-gating logic.
What's Next
Define the test signal using the 'define_dft test_mode' or the 'define_dft shift_enable' command. Set
the 'lp_clock_gating_test_signal' attribute on the design or clock-gating instance(s). Then rerun the
'add_clock_gates_test_connection' command.
POPT-36
Severity
Warning
Description
Failed to connect test-control logic for clock-gating.
What's Next
The test signal cannot be connected if the net connected to it is marked preserve. To ensure the
connection, set the 'preserve' attribute to 'false' on the net that was reported preserved, then run the
'add_clock_gates_test_connection' command.
POPT-38
Severity
Warning
Description
Failed to connect test-control logic for clock-gating.
What's Next
To ensure the connection, configure scan chains first, then run the
'add_clock_gates_test_connection' command.
POPT-39
Severity
Warning
Description
Failed to connect test-control logic for clock-gating.
What's Next
While deriving test-control logic from the shift enable signals of the flop loads connected in scan
chains, only inverters and buffers lying between the gated clock of the clock-gating instance and the
actual flop loads can be skipped.
POPT-40
Severity
Warning
Description
Setting clock-gating integrated cell on a non-uniquified subdesign, which might have been multiply
instantiated.
What's Next
Use the edit_netlist dedicate_subdesign <instance> to uniquify the subdesign if you do not want
this attribute to be set on all instances. .SH Example .nf Warning : Setting clock-gating integrated
cell on a non-uniquified subdesign. [POPT-40] : Subdesign 'mycsa_114' is multiply instantiated. :
Use edit_netlist dedicate_subdesign <instance> to uniquify the subdesign if you do not want this
attribute to be set on all instances. Warning : Setting clock-gating integrated cell on a non-uniquified
subdesign. [POPT-40] : Subdesign 'my_csa_127' is multiply instantiated. .fi
POPT-42
Severity
Warning
Description
Dropping exception on flop or one of its pins during clock-gating.
What's Next
This occurs when the clock-gating logic that is gating the flop is purely combinational.
POPT-43
Severity
Warning
Description
Cannot use the specified module for clock gating.
What's Next
Refer to the Clock Gating chapter in Low Power in Encounter RTL Compiler for a list of the required
pin names.
POPT-44
Severity
Warning
Description
Setting clock-gating module on a non-uniquified subdesign.
What's Next
Use edit_netlist dedicate_subdesign <instance> to uniquify the subdesign if you do not want this
attribute to be set on all instances.
POPT-45
Severity
Warning
Description
Cannot set 'lp_clock_gating_cell' attribute for design or subdesign.
What's Next
Specify a clock-gating integrated cell which belongs to the same library domain as the design or
subdesign. In case of a library domain mismatch, by default, the tool proceeds with clock-gating
insertion using a suitable clock-gating integrated cell.
POPT-47
Severity
Warning
Description
Requested clock gating cell no longer available.
What's Next
Reset the 'lp_clock_gating_cell' attribute to point to a clock gating cell of a library that is loaded.
POPT-48
Severity
Warning
Description
Changed the 'lp_clock_gating_cell' attribute value.
What's Next
The library to which the original requested clock-gating cell belonged, does no longer correspond to
the target library for the specified design or subdesign. This can happen if the target library for the
design or subdesign is changed after the 'lp_clock_gating_cell' attribute was set.
POPT-49
Severity
Warning
Description
Clock gating is inserted with the objective to maximize the number of registers gated because the
power analysis is in low effort mode.
What's Next
To insert clock gating with the objective to maximize the power savings do not only set the attribute
'lp_clock_gating_optimize_power' to true but also set the attribute 'lp_power_analysis_mode' to
either medium (default) or high.
POPT-55
Severity
Warning
Description
Disconnecting OBS port due to clock-gating declone.
What's Next
Make sure to run 'clock_gating insert_obs' to connect the OBS port of decloned clock_gating
instances.
POPT-58
Severity
Warning
Description
Skipping setting is_genus_clock_gate on an instance that is not a clock gating integrated cell.
What's Next
The attribute is_genus_clock_gate can only be set on clock gating integrated cells.
POPT-75
Severity
Warning
Description
Resetting the clock-gating test signal.
POPT-77
Severity
Warning
Description
Ignoring flop as srl pin of flop is undriven.
POPT-80
Severity
Warning
Description
Could not insert shared clock-gating logic.
What's Next
Insert clock-gating logic in the design and re-run the 'clock_gating share' command.
POPT-81
Severity
Warning
Description
Could not insert shared clock-gating logic.
What's Next
The design or hierarchical instance should have at least 2 or more clock-gating instances that share
an enable subfunction for 'clock_gating share' to insert shared clock-gating logic.
POPT-82
Severity
Warning
Description
Could not insert shared clock-gating logic.
What's Next
Make sure that the design or hierarchical instance in which you want to insert shared clock-gating
logic for clock-gating logic is not preserved.
POPT-85
Severity
Warning
Description
Could not consider clock-gating instance for 'clock_gating share'.
What's Next
Make sure that the enable logic cone of clock-gating instance is not preserved.
POPT-90
Severity
Warning
Description
Could not recognize instance as a clock gating instance.
What's Next
Instance should have the enable, ck_in, ck_out pins to be considered as a clock gating instance.
POPT-91
Severity
Warning
Description
Could not recognize instance as a clock gating instance.
What's Next
Instance should have only the enable, ck_in, ck_out, test, a_rst, s_rst, obs, scan_en pins to be
considered as a clock gating instance.
POPT-94
Severity
Warning
Description
A clock gate with a connected test port is undergoing incremental gating.
What's Next
The test signal will need to be re-connected to any new or altered clock gates. It will also not be re-
implemented as part of an ungated flop's enable logic.
POPT-95
Severity
Warning
Description
Many clock gates were excluded from incremental clock gating.
What's Next
This is most often due to preserved flops, clock nets, or clock gates. It can also be due to complex
flop cells.
POPT-97
Severity
Warning
Description
An automatic timing adjustment or grouping could not be applied to one or more clock gate enable
paths.
POPT-98
Severity
Warning
Description
New clock-gating instance is created.
What's Next
Make sure to run 'clock_gating insert_obs' to connect the OBS port of this new clock_gating
instances.
POPT-99
Severity
Warning
Description
Could not recognize instance as a clock gating instance.
What's Next
Clock gating hierarchy should contain only clock gating integrated cells.
POPT-104
Severity
Warning
Description
What's Next
Setting the 'lp_insert_clock_gating' attribute before elaboration will result in an optimal clock-gated
design.
POPT-105
Severity
Warning
Description
The 'lp_insert_clock_gating' attribute should be set before generic synthesis.
What's Next
Genus synthesis requires enabling clock gating prior to generic synthesis.
POPT-106
Severity
Warning
Description
Cannot open a file for writing.
What's Next
Make sure you have 'write' permission for the specified file.
POPT-112
Severity
Warning
Description
Disconnecting OBS port due to clock-gating rebalance.
What's Next
Make sure to run 'clock_gating insert_obs' to connect the OBS port of rebalanced clock_gating
instances.
POPT-121
Severity
Warning
Description
Cannot import clock-gating instance.
What's Next
Clock-gating cell is not usable. Refer to the previous message for the reason.
POPT-150
Severity
Warning
Description
Clock gating will not be aware of timing exceptions at flops.
What's Next
Clock gating will not be aware of timing exceptions at flops. This is not advised.
POPT-151
Severity
Warning
Description
Clock gating will not be latch based.
What's Next
Clock gating will not be latch based. This is not advised.
POPT-152
Severity
Warning
Description
For best results, set lp_insert_early_clock_gating before elaboration.
What's Next
For best results, set lp_insert_early_clock_gating before elaboration.
POPT-209
Severity
Warning
Description
Could not evaluate operand isolation instance for power savings.
What's Next
The operand isolation instance will be considered as committed. To evaluate it for power savings,
set 'preserve' to false on the instance.
POPT-501
Severity
Warning
Description
Ignoring dynamic power during power optimization.
What's Next
To also take dynamic power into account during power optimization, set the
'opt_leakage_to_dynamic_ratio' to a value between 0 and 1.
POPT-502
Severity
Warning
Description
Total power has skewed contributions from leakage and dynamic power.
What's Next
Dynamic power is typically calculated/specified for some 'active period'. For combination of leakage
and dynamic power opt_leakage_to_dynamic_ratio must specify the percentage of overall time for
which the design is not in the 'active period' but in 'idle mode', i.e. no dynamic power but only
leakage power is consumed. For a reasonable optimization across dynamic and leakage power,
leakage contribution is expected to be above 5% and below 95%. A contribution of less than 5%
will result in limited leakage optimization and contribution of more than 95% will result in limited
dynamic optimization. Adjust 'opt_leakage_to_dynamic_ratio' so that leakage contribution comes to
an intermediate value if you intend both optimizations to occur.
POPT-503
Severity
Warning
Description
Detected a zero cell power value.
What's Next
It might not make sense to set the 'opt_leakage_to_dynamic_ratio' if the dynamic or leakage (or
both) power value(s) of the library cells are zero. Check the library for the power values and disable
'max_leakage_power' or 'max_dynamic_power' (or both) attribute(s) if the respective power value(s)
of all cells are zero.
POPT-504
Severity
Warning
Description
High effort leakage power optimization will be less aggressive for sequential and/or tristate cells.
What's Next
Some libraries miss sequential and/or tristate cells with lower leakage power. To achieve a more
aggressive optimization, read in additional libraries that contain the missing cells.
POPT-505
Severity
Warning
Description
Attribute 'power_library' is not set.
What's Next
The attribute 'power_library' should be set for a library domain if leakage power optimization is
enabled. Often timing libraries do not have the correct PVT conditions for the worst leakage power;
hence the leakage power difference between the different Vth cell types is smaller than in the worst
case leakage PVT. It is therefore recommended to use different libraries for timing and power
optimization. See also "Low Power Implementation" guideline.
POPT-506
Severity
Warning
Description
Library domain used both as normal and as power_library.
What's Next
A library domain is used both for normal purposes, but also as power_library. This could be a
misconfiguration as normally power_libraries are used only for the purpose of adding better leakage
information on top of timing libraries. See also "Low Power Implementation" guideline.
POPT-512
Severity
Warning
Description
Cannot map a preserved flip-flop to its state retention equivalent.
What's Next
To map the flop to its state retention equivalent, set the 'preserve' attribute to 'false' on the instance
and then use the 'state_retention swap' command.
POPT-519
Severity
Warning
Description
What's Next
The state retention cell which can potentially replace a normal cell has been marked 'avoid'. To use
this state retention cell, remove the 'avoid' attribute setting on the cell with 'set_attr avoid false
<cell>'.
POPT-522
Severity
Warning
Description
Set polarity of power gating pin driver to 'active_high'.
What's Next
Valid polarity values are 'active_high' and 'active_low'.
POPT-526
Severity
Warning
Description
Cannot find corresponding driver for power gating pin specified.
What's Next
Provide a proper driver for this power pin to enable the power gating pin to be connected.
POPT-527
Severity
Warning
Description
No state retention cell with all matching power pins specified by the user found in the library.
What's Next
Provide correct power pin information corresponding to state retention cells in the library.
POPT-528
Severity
Warning
Description
Could not find a single driver to connect to the power gating pin for this instance.
What's Next
Specify a valid unique driver either at the top level or on the instance specifically to make
connections.
POPT-529
Severity
Warning
Description
Could not find an inverter in the library to feed the driver for this instance.
What's Next
Load a proper library which contains inverter cells.
POPT-530
Severity
Warning
Description
Found no flops to be swapped to state retention flops.
What's Next
Flops in the hierarchy are either already mapped to state retention cells, marked preserve or do not
have any state retention map attribute settings on them. Set the map attribute settings on the flops or
unpreserve them to map them to state retention flops.
POPT-532
Severity
Warning
Description
Could not find a buffer in the library to feed the driver for this instance.
What's Next
Load a proper library which contains buffer cells.
POPT-534
Severity
Warning
Description
The state retention cell that is being used to replace the original cell lies in a library domain different
from that of the original cell.
What's Next
Ensure that state retention cells from same library domain are used for proper state retention cell
replacement.
POPT-535
Severity
Warning
Description
A library cell from an incompatible library domain used for state retention attribute setting.
What's Next
Ensure that library cells from same library domain as that of the instance are used for proper
attribute settings.
POPT-538
Severity
Warning
Description
Could not connect to the power gating pins.
What's Next
Use the 'define_state_retention_cell' command in the CPF file to identify the power gating pin in the
reported cell that has the save or restore function.
POPT-553
Severity
Warning
Description
No valid scopes could be determined for monitoring.
What's Next
Specify valid scopes with the 'lp_dynamic_analysis_scope' attribute for analysis. Valid scopes
would include hierarchies under the scope specified with the '-vcd_instance' option to 'read_vcd' or
the whole hierarchy if '-vcd_instance' option was not specified.
POPT-555
Severity
Warning
Description
There is no information to display in this scope.
What's Next
Only those scopes which are present in the design hierarchy or have been parsed by the 'read_vcd'
command can be monitored with the 'lp_dynamic_analysis_scope' attribute.
POPT-556
Severity
Warning
Description
The start time and the end time are equal.
What's Next
The start and end times have not been specified by the user and the VCD file does not have the
initial value specifications. Without initial value specifications, the start time will be assumed to be
the same as the first value change specification or zero if no value change specification exists.
Specify the start and end times explicitly or correct the VCD file to include proper value change
specifications.
POPT-561
Severity
Warning
Description
Skipping unsynthesizable scope in VCD file.
POPT-999
Severity
Warning
Description
Obsoleted clock-gating root attributes.
What's Next
Use the same attributes on design in the future.
76
PROTO_FT
PROTO_FT-1
Severity
Warning
Description
Mapping was done using feasible target feature due to attribute proto_feasible_target.
What's Next
This option MUST NOT be used for production, but only for constraint debugging.
PROTO_FT-2
Severity
Warning
Description
The -feasible_target_only option with synthesize command is obsolete.
What's Next
Use proto_feasible_target attribute to enable the feasible target feature.
77
PROTO_HDL
PROTO_HDL-1
Severity
Warning
Description
Extra port found during instantiation is being added to the module.
PROTO_HDL-2
Severity
Warning
Description
Connecting complex ports in the instance with bit-blasted or field-blasted ports in the module.
PROTO_HDL-3
Severity
Warning
Description
Ignoring case mismatch for module instantiation in Verilog.
PROTO_HDL-4
Severity
Warning
Description
Ignoring case mismatch for port in module instantiation in Verilog.
PROTO_HDL-5
Severity
Warning
Description
78
PTAM
PTAM-317
PTAM-318
PTAM-319
PTAM-321
PTAM-322
PTAM-323
PTAM-324
PTAM-325
PTAM-326
PTAM-329
PTAM-330
PTAM-331
PTAM-332
PTAM-334
PTAM-338
PTAM-339
PTAM-340
PTAM-341
PTAM-342
PTAM-343
PTAM-344
PTAM-345
PTAM-346
PTAM-347
PTAM-348
PTAM-349
PTAM-300
Severity
Error
Description
Could not create file.
What's Next
Verify permissions are correct, and that there is enough disk space.
PTAM-301
Severity
Error
Description
Could not create output directory.
What's Next
Verify permissions are correct, and that there is enough disk space.
PTAM-302
Severity
Error
Description
Pin specification is invalid.
What's Next
Verify the pin name is correct.
PTAM-303
Severity
Error
Description
Could not find pin on the specified block.
What's Next
Verify that the block and pin names are correct.
PTAM-304
Severity
Error
Description
There must be exactly 1 net connected to pin specified.
What's Next
Verify that the pin is only connected to 1 object.
PTAM-305
Severity
Error
Description
There must be exactly 1 driver for the net.
What's Next
For the pin specified, the associated net must have exactly 1 driver. Verify that the net has only 1
driver.
PTAM-306
Severity
Error
Description
There must be exactly 1 receiver for the net.
What's Next
For the pin specified, the associated net must have exactly 1 receiver. Verify that the net has only 1
receiver.
PTAM-307
Severity
Error
Description
Unable to determine function information.
What's Next
Could not determine function information for the I/O cell specified. This could indicate some
information is missing from the liberty file.
PTAM-308
Severity
Error
Description
There must be exactly 1 port for the pin.
What's Next
Did not find exactly 1 port for the pin. Make sure the pin traces to exactly 1 port.
PTAM-309
Severity
Error
Description
There must be exactly 1 input pin for the module.
What's Next
For the module containing the output pin, there must be 1 input pin. Make sure there is 1 input pin
on the module.
PTAM-310
Severity
Error
Description
One or more objects specified to set_attribute are empty.
What's Next
Trying to set one or more of the attributes on the pin to an empty value.
PTAM-311
Severity
Error
Description
Exactly 1 top level design is required for add_ptam.
What's Next
Found more than 1 top level design, make sure there is only one top level design.
PTAM-312
Severity
Error
Description
Required pin not specified.
What's Next
A pin required was not specified on the command line. Specify the pin name on the command line.
PTAM-313
Severity
Error
Description
Pin not specified.
What's Next
A pin was not specified on the command line, and too many default pins were found in test_signals
directory.
PTAM-314
Severity
Error
Description
Containing module for shutoff signal is a black box.
What's Next
Only hierarchical instances are currently supported.
PTAM-315
Severity
Error
Description
Containing module for shutoff signal is a lib_cell or primitive.
What's Next
Only hierarchical instances are currently supported.
PTAM-316
Severity
Error
Description
Containing module for ice signal is a black box.
What's Next
Only hierarchical instances are currently supported.
PTAM-317
Severity
Error
Description
Containing module for ice signal is a lib_cell or primitive.
What's Next
Only hierarchical instances are currently supported.
PTAM-318
Severity
Error
Description
Containing module for the lp_srpg_pg driver signal is a black box.
What's Next
Only hierarchical instances are currently supported.
PTAM-319
Severity
Error
Description
Containing module for the lp_srpg_pg driver signal is a lib_cell or primitive.
What's Next
Only hierarchical instances are currently supported.
PTAM-321
Severity
Error
Description
Could not find a valid pin for port.
What's Next
If an I/O cell is present for the port, ensure the liberty file has been loaded for the cell.
PTAM-322
Severity
Error
Description
Could not find JTAG_MODULE.
What's Next
When the -connect_to_jtag option is specified, a JTAG_MODULE is required. Ensure a
JTAG_MODULE is present in the design.
PTAM-323
Severity
Error
Description
Could not check out an Encounter_Test_Architect license.
What's Next
Make sure a valid license is available.
PTAM-324
Severity
Error
Description
Could not free an Encounter_Test_Architect license.
What's Next
Make sure a valid license is available.
PTAM-325
Severity
Error
Description
Missing information about the PTAM instruction.
What's Next
Values required include: instruction name, associated register and opcode. Make sure this
information is available.
PTAM-326
Severity
Error
Description
Missing add_jtag_boundary_scan information.
What's Next
Could not locate the directory containing jtag_instruction information:
/designs/test/dft/boundary_scan/jtag_instructions. Ensure add_jtag_boundary_scan has been
executed.
PTAM-329
Severity
Error
Description
No enable pins found for power domain.
What's Next
There must be at least one valid enable pin for the power domain. Ensure the power domains are
fully described in the cpf.
PTAM-330
Severity
Error
Description
No shutoff signal found for any of the power domains.
What's Next
There must be at least one valid shutoff signal specified. Add the information for the shutoff signals
to the CPF file and reload the CPF file using the 'reload_cpf' command.
PTAM-331
Severity
Error
Description
Existing instruction values do not match command line values.
What's Next
One or more of the existing instruction values do not match the values specified on the command
line. Ensure the values specified are correct.
PTAM-332
Severity
Error
Description
Required options missing.
What's Next
Ensure all required options have been specified.
PTAM-334
Severity
Error
Description
Invalid polarity value specified.
What's Next
Valid values are: high, low. Specify a valid value.
PTAM-338
Severity
Error
Description
Black box found when tracing pin.
What's Next
While tracing a pin, a black box was encountered. Cannot trace through the black box.
PTAM-339
Severity
Error
Description
The tap instance specified is invalid.
What's Next
The tap instance must be at the same level of hierarchy or higher than any logic inserted by ptam.
Specify a valid tap instance.
PTAM-340
Severity
Error
Description
Missing add_jtag_boundary_scan information.
What's Next
Could not locate the directory containing jtag_ports information:
/designs/test/dft/boundary_scan/jtag_ports. Ensure add_jtag_boundary_scan has been executed.
PTAM-341
Severity
Error
Description
Could not determine test mode signal.
What's Next
Zero or more than one test mode signals present, make sure exactly one test mode signal has been
specified.
PTAM-342
Severity
Error
Description
Could not determine scan enable signal.
What's Next
Zero or more than one scan enable signals present, make sure exactly one scan enable signal has
been specified.
PTAM-343
Severity
Error
Description
There must be exactly 1 output pin for the module.
What's Next
For the module containing the input pin, there must be 1 output pin. Make sure there is 1 output pin
on the module.
PTAM-344
Severity
Error
Description
No lib_cell found for sequential element.
What's Next
The pins on sequential elements with the lp_srpg_pg attribute are analyzed to determine the
power_gating_cell attribute. A library cell could not be found for the sequential element mentioned.
Make sure the design has been mapped to a technology.
PTAM-345
Severity
Error
Description
No default power mode found.
What's Next
A default power mode is required in order to create the proper power mode transitions. Make sure a
default power mode has been defined.
PTAM-346
Severity
Error
Description
No driver found.
What's Next
Power domain shutoff signals, isolation control enable signals, and power gate enable signals must
be specified as pins driven by instance/pins or top-level ports. Ensure that the correct signal has
been specified in the CPF file and ensure that the specified pins are driven in the netlist.
PTAM-347
Severity
Error
Description
The power domains for isolation rule are a mixture of internally controlled and externally controlled.
This isolation rule is not supported.
What's Next
All the power domains for the isolation rule must be internally or externally controlled, not a
combination.
PTAM-348
Severity
Error
Description
A shift_enable test_signal was not found.
What's Next
A shift_enable test_signal was not specified on the command line, and no default pins were found
in the test_signals directory. This signal is required when retention flops are present in the design.
Specify a valid shift_enable test_signal on the command line or with the define_dft test_signal
command.
PTAM-349
Severity
Error
Description
Complex Equation in shutoff condition not supported.
What's Next
The format of the Complex Equation shutoff condition in the CPF is not currently supported.
PTAM-14
PTAM-15
PTAM-16
PTAM-17
PTAM-18
PTAM-19
PTAM-20
PTAM-21
PTAM-22
PTAM-23
PTAM-24
PTAM-25
PTAM-26
PTAM-27
PTAM-28
PTAM-29
PTAM-30
PTAM-31
PTAM-32
PTAM-33
PTAM-34
PTAM-35
PTAM-36
PTAM-37
PTAM-38
PTAM-39
PTAM-40
PTAM-41
PTAM-42
PTAM-43
PTAM-44
PTAM-45
PTAM-46
PTAM-47
PTAM-48
PTAM-49
PTAM-50
PTAM-51
PTAM-52
PTAM-53
PTAM-1
Severity
Info
Description
Performing setup for add_ptam.
What's Next
Creating temporary work area, and synthesizing modules that will be used internally during
insertion.
PTAM-2
Severity
Info
Description
Collecting isolation rule information.
PTAM-3
Severity
Info
Description
No isolation rules found.
PTAM-4
Severity
Info
Description
Collecting information about the lp_srpg_pg enable signals.
What's Next
The lp_srpg_pg_driver attributes on the sequential instances in the design are used to gather
information about the lp_srpg_pg enable signals.
PTAM-5
Severity
Info
Description
No sequential elements found in the design.
PTAM-6
Severity
Info
Description
Collecting information about all of the power domains.
PTAM-7
Severity
Info
Description
No power domains found.
PTAM-8
Severity
Info
Description
Shutoff signal not found for power domain.
PTAM-9
Severity
Info
Description
Power Mode / Power Domain Summary.
PTAM-10
Severity
Info
Description
Verifying the pin connections.
What's Next
Ensuring that pins specified on the command line are accessible for connections.
PTAM-11
Severity
Info
Description
Pin not specified.
What's Next
A pin was not specified on the command line, using default pin name.
PTAM-12
Severity
Info
Description
Marking required pins with general stitching code attributes.
PTAM-13
Severity
Info
Description
Identifying logical controls.
What's Next
Logical controls required to control each power_control signal are identified.
PTAM-14
Severity
Info
Description
Multiple enable signals found for power domain.
What's Next
Logic consisting of OR gates will be created for these enable signals.
PTAM-15
Severity
Info
Description
Inserting the test power control blocks.
What's Next
Inserting test power control blocks for the power switch enable signals.
PTAM-16
Severity
Info
Description
Mux inserted for power domain.
PTAM-17
Severity
Info
Description
PTAM-18
Severity
Info
Description
Applying general stitching code attributes for ptam_shift_logic_pse blocks.
PTAM-19
Severity
Info
Description
Inserting the test power control blocks.
What's Next
Inserting test power control blocks for the isolation control enable signals.
PTAM-20
Severity
Info
Description
Multiple enable signals found for isolation rule.
What's Next
Logic consisting of AND gates will be created for these enable signals.
PTAM-21
Severity
Info
Description
Block ptam_logic_pse_block inserted for isolation rule.
PTAM-22
Severity
Info
Description
Inserting the test power control blocks.
What's Next
Inserting test power control blocks for the lp_srpg_pg driver signals.
PTAM-23
Severity
Info
Description
Multiple enable signals found for lp_srpg_pg driver.
What's Next
Logic consisting of AND gates will be created for these enable signals.
PTAM-24
Severity
Info
Description
Block ptam_shift_logic_pc_block inserted for lp_srpg_pg driver.
PTAM-25
Severity
Info
Description
What's Next
Using write_atpg -cadence to generate the base assign file. Additional power test access method
flags are appended to this file.
PTAM-26
Severity
Info
Description
Creating assign file for power mode.
PTAM-27
Severity
Info
Description
Command add_ptam started.
PTAM-28
Severity
Info
Description
Creating sequence file for power mode.
PTAM-29
Severity
Info
Description
Power Domain / Shutoff Signal Summary.
PTAM-30
Severity
Info
Description
Isolation Rule Summary.
PTAM-31
Severity
Info
Description
Block lp_srpg_pg Summary.
PTAM-32
Severity
Info
Description
No I/O cell present for port.
What's Next
Connections will made directory to the port.
PTAM-33
Severity
Info
Description
What's Next
Connections will be made to the pad pin.
PTAM-34
Severity
Info
Description
Port found for pin.
What's Next
The specified pin was traced to the port. This port will be used in the generated sequence file.
PTAM-35
Severity
Info
Description
JTAG module found.
PTAM-36
Severity
Info
Description
Single enable signal found for power domain.
PTAM-37
Severity
Info
Description
Location for power mode shutoff signal found.
What's Next
The location for the power mode shutoff signal for the power domain has been determined.
PTAM-38
Severity
Info
Description
PTAM-39
Severity
Info
Description
Single power domain found for isolation rule.
PTAM-40
Severity
Info
Description
Location for lp_srpg_pg driver signal determined.
PTAM-41
Severity
Info
Description
Single power domain found for lp_srpg_pg driver.
PTAM-42
Severity
Info
Description
Directory created.
PTAM-43
Severity
Info
Description
Reading Verilog file.
PTAM-44
Severity
Info
Description
Elaborating entity.
PTAM-45
Severity
Info
Description
Synthesizing design.
PTAM-46
Severity
Info
Description
Executing general stitching code.
What's Next
The general stitching code will use the following general stitching code attributes to make the
connections for the various power control logic: gsc_pin_function, gsc_pin_type, gsc_pin_polarity.
PTAM-47
Severity
Info
Description
Tracing JTAG pin on JTAG module.
What's Next
Traced pin on the JTAG module back to a port.
PTAM-48
Severity
Info
Description
Control block summary.
What's Next
Position 0 is closest to final output.
PTAM-49
Severity
Info
Description
Insertion of power test access method logic complete.
PTAM-50
Severity
Info
Description
Preview of power test access method logic complete. No modifications have been made to the
netlist.
PTAM-51
Severity
Info
Description
Insertion of power test access method logic did not complete successfully.
PTAM-52
Severity
Info
Description
Updated the length of the instruction.
PTAM-53
Severity
Info
Description
No power modes found.
PTAM-117
PTAM-118
PTAM-119
PTAM-120
PTAM-121
PTAM-122
PTAM-123
PTAM-320
PTAM-100
Severity
Warning
Description
Pin not specified.
What's Next
A pin was not specified on the command line, and no default pins were found in test_signals
directory.
PTAM-101
Severity
Warning
Description
Power domain does not have a shutoff signal.
What's Next
The power domain for the isolation rule does not have a shutoff signal. This power domain will not
be included.
PTAM-102
Severity
Warning
Description
Isolation rule pin traced to a constant.
What's Next
The isolation rule has a pin which traced to a constant. The power domain for this pin will not be
included for this isolation rule.
PTAM-103
Severity
Warning
Description
What's Next
The power domain for this pin will not be included for this isolation rule.
PTAM-104
Severity
Warning
Description
Pin already has value for attribute.
What's Next
Setting an attribute on a pin which already has an existing value for the attribute.
PTAM-105
Severity
Warning
Description
Could not find the specified power mode in the CPF file.
What's Next
Either correct the power mode name specified with the '-power_mode' option and rerun the
'add_ptam' command or add the information for the specified power mode to the CPF file and reload
the CPF file using the 'reload_cpf' command.
PTAM-106
Severity
Warning
Description
Isolation rule pin has no net/src.
What's Next
The power domain for this pin will not be included for this isolation rule.
PTAM-107
Severity
Warning
Description
Found constant when tracing to locate a port.
What's Next
Make sure the constant connection is expected.
PTAM-108
Severity
Warning
Description
Invalid option specified.
What's Next
The option specified is not honored for this access type, it will be ignored.
PTAM-109
Severity
Warning
Description
Found tap instance, but -connect_to_jtag not specified.
What's Next
The tap will not be connected to. Specify the -connect_to_jtag option to connect to the tap instance.
PTAM-110
Severity
Warning
Description
Power domain for isolation rule has an external shutoff condition, this power domain is ignored.
What's Next
The power domain for the isolation rule is ignored.
PTAM-111
Severity
Warning
Description
All power domains for isolation rule have been ignored due to external shutoff conditions.
What's Next
All power domains ignored.
PTAM-112
Severity
Warning
Description
Power domain for srpg control signal has an external shutoff condition, this power domain is
ignored.
What's Next
The power domain for the srpg control signal is ignored.
PTAM-113
Severity
Warning
Description
Power shutoff signal and its driver are in different power domains.
What's Next
The power shutoff signal and its driver should be in the same power domain.
PTAM-114
Severity
Warning
Description
Power domain for isolation rule is externally controlled, and does not have a shutoff condition.
What's Next
The power domain for the isolation rule is assumed always on for test purposes.
PTAM-115
Severity
Warning
Description
All power domains for isolation rule are externally controlled, this isolation rule must be forced
inactive.
What's Next
All the power domains for the isolation rule are externally controlled and assumed always on for test
purposes.
PTAM-116
Severity
Warning
Description
Power domain for srpg control signal is externally controlled, and does not have a shutoff condition.
What's Next
The power domain for the srpg control signal is assumed always on for test purposes.
PTAM-117
Severity
Warning
Description
All power domains for srpg control signal are externally controlled, and do not have shutoff
conditions, this srpg control signal must be forced inactive.
What's Next
All the power domains for the srpg control signal are externally controlled without shutoff conditions.
PTAM-118
Severity
Warning
Description
Power domain for isolation rule is externally controlled and has a shutoff condition.
What's Next
The power domain for the isolation rule is assumed always on for test purposes.
PTAM-119
Severity
Warning
Description
Power domain for srpg control signal is externally controlled and has a shutoff condition.
What's Next
The power domain for the srpg control signal is assumed always on for test purposes.
PTAM-120
Severity
Warning
Description
Internal pin specified for the shift_enable test_signal, but no port specified.
What's Next
Ensure the shift_enable internal pin is properly controlled in the pin assign file or sequence file
used for mode initialization.
PTAM-121
Severity
Warning
Description
The power domains for isolation rule are a mixture of internally controlled and externally controlled.
This isolation rule is not supported.
What's Next
All the power domains for the isolation rule must be internally or externally controlled, not a
combination.
PTAM-122
Severity
Warning
Description
The enable_driver attribute is empty for the isolation rule. No logic is inserted for this isolation rule.
What's Next
Only isolation rules with a valid enable driver are supported.
PTAM-123
Severity
Warning
Description
Internal pin specified for PTAM test enable, but no port specified.
What's Next
Ensure the PTAM test enable internal pin is properly controlled in the pin assign file or sequence
file used for mode initialization.
PTAM-320
Severity
Warning
Description
No valid power domains found for isolation rule.
What's Next
Could not find any valid power domains for the isolation rule. There must be at least 1 valid power
domain.
79
RCLP
RCLP-101
Severity
Error
Description
What's Next
Check the options and try again.
RCLP-201
Severity
Error
Description
CLP did not run successfully.
What's Next
Check the logfile.
RCLP-202
Severity
Error
Description
Conformal not found.
What's Next
Ensure that the 'PATH' environment variable includes a path to the executable specified by the
'lec_executable' attribute.
RCLP-203
Severity
Error
Description
Low Power rule check did not finish successfully.
What's Next
Fix the errors before proceeding further or set the attribute 'clp_treat_errors_as_warnings'
appropriately.
RCLP-205
Severity
Error
Description
Failed to Launch Conformal Low Power.
What's Next
Specify one of the following values for the '-license' option of the 'check_cpf' command: 'lp', 'lpxl' or
'lpgxl' .
RCLP-206
Severity
Error
Description
Could not launch Conformal Low Power with specified license.
What's Next
Make sure that the license specified with the '-license' option of the 'check_cpf' command is
available.
RCLP-207
Severity
Error
Description
Could not launch Conformal Low Power.
What's Next
Make sure that the license to run Conformal Low Power is available.
RCLP-209
Severity
Error
Description
Could not run Conformal Low Power due to multiple top modules.
What's Next
Specify top module (for which LP checks need to be performed) with '-design' option.
RCLP-210
Severity
Error
Description
No top module is present.
What's Next
Check the design.
RCLP-211
Severity
Error
Description
Design synthesis is not nwell analysis aware.
What's Next
Make sure that nwell_analysis attribute is turned ON in the design.
RCLP-204
Severity
Warning
Description
File already exists.
What's Next
File will be overwritten.
RCLP-208
Severity
Warning
Description
Could not launch Conformal Low Power with default license.
What's Next
Trying with license 'lpgxl'.
80
READ_SPEF_LITE
READ_SPEF_LITE-200
Severity
Error
Description
Unable to open the SPEF file.
What's Next
Could not read SPEF file.
READ_SPEF_LITE-201
Severity
Error
Description
Not a valid SPEF file.
What's Next
Could not read SPEF file, invalid SPEF.
READ_SPEF_LITE-202
Severity
Error
Description
Empty SPEF file.
What's Next
SPEF file size is 0 bytes.
READ_SPEF_LITE-203
Severity
Error
Description
Unexpected end of file.
What's Next
SPEF file is incomplete.
READ_SPEF_LITE-204
Severity
Error
Description
Option -capacitance_comparison not specified.
What's Next
Option -capacitance_comparison must be used whenever option -cap_ratio_threshold is used for
read_spef command.
READ_SPEF_LITE-206
Severity
Error
Description
This is the unrecognized capacitance unit.
What's Next
Make sure to use PF or FF and scale in SPEF file.
READ_SPEF_LITE-207
Severity
Error
Description
This is the unrecognized resistance unit.
What's Next
Make sure to use OHM or KOHM and scale in SPEF file.
READ_SPEF_LITE-209
Severity
Error
Description
Unable to open the output file.
What's Next
Could not open the output file for writing.
READ_SPEF_LITE-205
Severity
Warning
Description
Unrecognizable text found in SPEF file.
What's Next
Check the SPEF file for correct syntax.
READ_SPEF_LITE-208
Severity
Warning
Description
Small lumped capacitance will be ignored.
81
RET
RET-200
Severity
Info
Description
Cannot map instance to expected retention cell.
What's Next
Ensure that the instance is not preserved and there are suitable retention cells in libraries. It may be
necessary to change the value of attributes 'exact_match_seq_sync_ctrls' or
'lbr_seq_in_out_phase_opto'.
RET-201
Severity
Info
Description
Cannot swap instance to expected retention cell.
What's Next
Ensure that the instance is not preserved and there are suitable retention cells in libraries. It may be
necessary to change the value of attributes 'exact_match_seq_sync_ctrls' or
'lbr_seq_in_out_phase_opto'.
RET-150
Severity
Warning
Description
Detected conflict in retention specification.
What's Next
As per power intent specification, tool will ignore retention specification in case of conflicts.
RET-451
Severity
Warning
Description
Specified libcell is either avoided or not usable.
What's Next
Adjust the library and/or 'avoid' attribute appropriately. Otherwise the cell may not be used as
expected.
82
RETIME
RETIME-504
RETIME-505
RETIME-506
RETIME-602
RETIME-801
RETIME-101
Severity
Error
Description
The '-min_area' and '-min_delay' options are mutual exclusive.
What's Next
Use only one of them at a time.
RETIME-102
Severity
Error
Description
One of the following options must be specified: '-<min_area|min_delay|prepare>'.
What's Next
Specify one of these options.
RETIME-104
Severity
Error
Description
Cannot meet the timing requirements.
What's Next
Increase the target delay or use the min_period option.
RETIME-105
Severity
Error
Description
Cannot synthesize the inserted flops.
What's Next
Make sure that a suitable library cell is available.
RETIME-106
Severity
Error
Description
Cannot synthesize the decompose logic.
What's Next
Make sure that a suitable library cell is available.
RETIME-107
Severity
Error
Description
Unable to run the retiming feature.
What's Next
Check that you have the correct license.
RETIME-108
Severity
Error
Description
The '-min_area', '-min_delay', '-forward' and '-backward' options are mutual exclusive.
What's Next
Use only one of them at a time.
RETIME-109
Severity
Error
Description
Unable to run the retiming feature.
What's Next
Check that you have the correct license.
RETIME-201
Severity
Error
Description
This attribute can only be set on sequential instances.
What's Next
Use this attribute on sequential instances to specify which combinational instances should be
retimed.
RETIME-401
Severity
Error
Description
The retimeable flops are clocked with different clocks.
What's Next
Make sure that the retimeable flops are all clocked with the same clock.
RETIME-402
Severity
Error
Description
The design does not contain retimeable flops.
What's Next
Make sure that the design contains flops with the attribute 'dont_retime' set to 'false'. Some of the
flops could have been excluded for retiming implicitly, as opposed to explicitly with the 'dont_retime'
attribute.
RETIME-403
Severity
Error
Description
There is an interaction between data and control signals of retimeable flops.
What's Next
Make sure that retimeable flops do not drive any control signals of other retimeable flops. For
example, check whether there are enables for the retimeable flops being driven by another flop. If
this is the case, set the 'retime' attribute on the enable driver flop to 'false'. Otherwise, all the other
flops will be implicitly marked as dont_retime and they will not be retimed.
RETIME-404
Severity
Error
Description
The retimeable flops are driven by different clock sources.
What's Next
Retiming will not occur if the flops are driven by multiple clocks. Find the main clock source and
then set the 'retime' attribute to 'false' on the flops triggered by other clocks. If all the clock domains
need to be retimed, you can repeat this process on each clock source.
RETIME-407
Severity
Error
Description
There are instances with negative delay in the design.
What's Next
Instances with negative delay are not supported in retiming.
RETIME-408
Severity
Error
Description
All retimeable flops are floating.
What's Next
Make sure that there are retimeable flops in the design which drive something.
RETIME-502
Severity
Error
Description
No designs/subdesigns were specified for clock scheduling.
What's Next
Specify designs/subdesigns for clock scheduling.
RETIME-503
Severity
Error
Description
Multiple designs were found for clock scheduling.
What's Next
Specify a single design for clock scheduling.
RETIME-504
Severity
Error
Description
A different design has gone through clock latency scheduling.
What's Next
Cannot switch to a different design in the following clock latency scheduling.
RETIME-505
Severity
Error
Description
The command 'clock_schedule -indirect_method' is not allowed when the attribute
'use_multi_clks_latency_uncertainty_report' is 'false'.
What's Next
Set the attribute 'use_multi_clks_latency_uncertainty_report' to 'true'.
RETIME-506
Severity
Error
Description
Only clock schedule calculated by the indirect method can be dumped.
What's Next
Specify -indirect_method.
RETIME-602
Severity
Error
Description
Unable to perform incremental retiming due to following reason.
What's Next
Refer to the documentation for further details.
RETIME-801
Severity
Error
Description
Design is already synthesized to generic gates.
What's Next
Genus synthesis requires enabling retiming prior to generic synthesis.
RETIME-114
RETIME-501
RETIME-601
RETIME-701
RETIME-702
RETIME-112
Severity
Info
Description
Retiming timing-critical design for area.
What's Next
Use min_delay option to retime for improved delay.
RETIME-113
Severity
Info
Description
Retimed asynchronous reset behavior could not be preserved without negatively impacting timing.
What's Next
Inserting gates for explicit reset and redoing retiming. Disable with retime_fallback_to_explicit_reset
root-level attribute.
RETIME-114
Severity
Info
Description
Retiming cannot be done on preserved designs/subdesigns.
What's Next
Change the preserve setting if the design/subdesign should be retimed.
RETIME-501
Severity
Info
Description
Categorized flops into classes.
What's Next
Only flops in the same class can merge during a retiming move.
RETIME-601
Severity
Info
Description
Unable to perform incremental retiming due to following reason.
What's Next
Refer to the documentation for further details.
RETIME-701
Severity
Info
Description
Retiming modules are combinational connected.
What's Next
This might limit parallel processing.
RETIME-702
Severity
Info
Description
Retiming modules are combinational connected through as cycle.
What's Next
This might limit parallel processing.
RETIME-312
RETIME-313
RETIME-314
RETIME-315
RETIME-316
RETIME-405
RETIME-409
RETIME-103
Severity
Warning
Description
The design has unmapped combinational logic.
What's Next
Synthesize it before retiming or specify the '-prepare' option.
RETIME-110
Severity
Warning
Description
Retiming not supported for unresolved references.
What's Next
Unresolved references cannot be handled by retiming.
RETIME-111
Severity
Warning
Description
The design has mapped flops.
What's Next
Unmap the flops using retime_decompose command.
RETIME-301
Severity
Warning
Description
The design contains latches.
What's Next
Latches cannot be handled by retiming.
RETIME-302
Severity
Warning
Description
The design contains flops which are not simple flops.
What's Next
Flops can only be retimed if they are simple flops.
RETIME-303
Severity
Warning
Description
The design contains flops with connected inverted output pins.
What's Next
Flops with inverted output pin cannot be handled by retiming.
RETIME-304
Severity
Warning
Description
The design contains flops with control signals.
What's Next
Set the attribute 'retime_async_reset' to 'true' to retime flops with control signals.
RETIME-305
Severity
Warning
Description
The design contains sequential timing models.
What's Next
Sequential timing models cannot be handled by retiming.
RETIME-306
Severity
Warning
Description
The design contains flops without a clock source.
What's Next
Make sure that all flops are connected to defined clocks.
RETIME-307
Severity
Warning
Description
The design contains preserved retimeable flops.
What's Next
The preserved flops cannot be handled in retiming. Make sure that the flops you want to retime are
not preserved.
RETIME-309
Severity
Warning
Description
The design contains flops with a timing exception.
What's Next
Flops with timing exceptions cannot be handled in retiming.
RETIME-310
Severity
Warning
Description
The design contains flops clocked by negative phase.
What's Next
Flops clocked by negative phase cannot be handled in retiming.
RETIME-311
Severity
Warning
Description
The design contains flops that are part of a path group.
What's Next
Retiming cannot maintain the path group on a flop. Set the dont_retime attribute on a flop to keep it
in the path group.
RETIME-312
Severity
Warning
Description
The design contains flops that cannot be unmapped.
What's Next
Flops that cannot be unmapped cannot be handled in retiming.
RETIME-313
Severity
Warning
Description
The design contains flops that have a reset and set signal.
What's Next
Flops can only be retimed if they have either reset or set signals.
RETIME-314
Severity
Warning
Description
The design contains flops with data and control interaction.
What's Next
Flops with data and control interaction cannot be handled in retiming.
RETIME-315
Severity
Warning
Description
The design contains scan flops that cannot be unmapped.
What's Next
Set unmap_scan_flops attribute to true to allow unmapping scan flops.
RETIME-316
Severity
Warning
Description
The design contains mapped flops.
What's Next
This can happen if a flop is excluded during the preparation phase, for a reason that is now invalid
due to optimization. As the flop is not prepared, it cannot be retimed.
RETIME-405
Severity
Warning
Description
Timing exceptions are not considered in the retiming optimization.
What's Next
Timing exceptions are not supported in retiming.
RETIME-409
Severity
Warning
Description
The design contains flops with multiple clock pins.
What's Next
Flops with multiple clock pins cannot be handled in retiming.
83
RMSENA
RMSENA-10
Severity
Info
Description
Inserted synchronous enable has flops in its transitive fanout.
What's Next
Valid inserted synchronous enable signal should be an output pin of a hierarchical instance, should
not be driven by constant, should not be unconnected and should have at least one flop in its
transitive fanout.
RMSENA-11
Severity
Info
Description
Removed inserted synchronous enable.
What's Next
Inserted synchronous enable is removed because it was found to be timing critical.
RMSENA-12
Severity
Info
Description
Removed inserted synchronous enable.
What's Next
Inserted synchronous enable is removed because the command
'remove_inserted_sync_enable_logic' was issued with '-all' option.
RMSENA-13
Severity
Info
Description
Could not find any inserted synchronous enable in the design.
What's Next
Load a design with inserted synchronous enable.
RMSENA-14
Severity
Info
Description
Could not find a valid inserted synchronous enable in the design.
What's Next
Valid inserted synchronous enable signal should be an output pin of a hierarchical instance, should
not be driven by constant, should not be unconnected and should have at least one flop in its
transitive fanout.
RMSENA-15
Severity
Info
Description
Found valid inserted synchronous enables.
What's Next
Valid inserted synchronous enable signal should be an output pin of a hierarchical instance, should
not be driven by constant, should not be unconnected and should have at least one flop in its
transitive fanout.
RMSENA-16
Severity
Info
Description
Could not find any timing critical inserted synchronous enable in the design.
What's Next
Timing is either met for all inserted synchronous enables or no timing constraints are set for the
design.
RMSENA-17
Severity
Info
Description
Found critical inserted synchronous enables.
What's Next
Critical synchronous enables have negative slack.
RMSENA-1
Severity
Warning
Description
Ignored invalid inserted synchronous enable.
What's Next
Valid inserted synchronous enable signal should be an output pin of a hierarchical instance, should
not be driven by constant, should not be unconnected and should have at least one flop in its
transitive fanout.
84
RPT
RPT-44
RPT-45
RPT-46
RPT-60
RPT-61
RPT-100
RPT-101
RPT-202
RPT-300
RPT-301
RPT-308
RPT-309
RPT-450
RPT-451
RPT-452
RPT-901
RPT-902
RPT-905
RPT-906
RPT-907
RPT-908
RPT-909
RPT-916
RPT-917
RPT-918
RPT-920
RPT-1
Severity
Error
Description
Invalid depth.
What's Next
Depth must be positive.
RPT-2
Severity
Error
Description
Invalid sort mode.
What's Next
The valid sort modes for nets are 'load', 'net', 'prob', 'rate', and 'dynamic'. The valid sort modes for
instances are 'internal', 'leakage', 'net', and 'dynamic'. For RTL power analysis, you can also sort the
report for instances by 'file'.
RPT-3
Severity
Error
Description
Not a hierarchical instance.
What's Next
Specified instance is not a hierarchical instance & it is being skipped from reporting.
RPT-6
Severity
Error
Description
HDL cross referencing not enabled.
What's Next
Set the 'hdl_track_filename_row_col' attribute to true before running 'report power -rtl'.
RPT-8
Severity
Error
Description
HDL not found.
What's Next
Ensure that the HDL files that were read in are still present.
RPT-9
Severity
Error
Description
Multiple options specified.
What's Next
Specify multiple hierarchical instances OR specify a single instance with option '-hierarchy'.
RPT-12
Severity
Error
Description
Invalid sort mode.
What's Next
The valid sort modes for RTL power analysis are 'file'(default), 'leakage', 'dynamic' and 'total'. The
valid sort modes for RTL power analysis with '-detail' option are 'file'(default), 'leakage', 'internal'
and 'net'. The valid sort modes for RTL power analysis with '-verbose' option are 'file'(default),
leakage', 'internal', 'dynamic', 'net', 'dynamic', and 'total'.
RPT-15
Severity
Error
Description
Invalid analysis view.
What's Next
Analysis view is not an active view.
RPT-18
Severity
Error
Description
Joules power engine works only on mapped design.
What's Next
Joules power engine does not work on unmapped designs.
RPT-20
Severity
Error
Description
Invoke this command after loading a design.
What's Next
See the Command Reference for more information.
RPT-21
Severity
Error
Description
Invoke this command from a design.
What's Next
See the Command Reference for more information.
RPT-31
Severity
Error
Description
Invalid option.
What's Next
See the command help or the Command Reference for more information.
RPT-32
Severity
Error
Description
Invalid sort option.
What's Next
See the command help or the Command Reference for more information.
RPT-35
Severity
Error
Description
Invalid option.
What's Next
Cannot specify both '-instance_hier' and '-hier' options.
RPT-36
Severity
Error
Description
A required object parameter could not be found.
What's Next
Check to make sure hierarchical instance name is entered for '-instance_hier' option.
RPT-37
Severity
Error
Description
Invalid option.
What's Next
See the command help or the Command Reference for more information.
RPT-40
Severity
Error
Description
Failed to report the deleted sequential elements.
What's Next
To report the deleted sequential elements, specify the command with the '-deleted_seqs' option and
without any other options.
RPT-44
Severity
Error
Description
Found two mutually exclusive options.
What's Next
Refer to the command description in the Command Reference for more information.
RPT-45
Severity
Error
Description
Failed to report messages from mapping.
What's Next
To report messages from mapping, specify the command with the '-mapping' option and without any
other option, except -insts.
RPT-46
Severity
Error
Description
Failed to report sequential elements.
What's Next
To report sequential elements, use either -hier or -hinst, but not both.
RPT-60
Severity
Error
Description
Invalid option.
What's Next
Specify both '-startpoint' and '-endpoint' options to report levels.
RPT-61
Severity
Error
Description
Invalid option.
What's Next
Cannot specify '-startpoint' or '-endpoint' with '-num_paths' or '-slack' option.
RPT-100
Severity
Error
Description
No design available.
What's Next
Load a design before using this command.
RPT-101
Severity
Error
Description
Option value is invalid.
What's Next
Check the type of value expected by this option.
RPT-202
Severity
Error
Description
The 'report_min_pulse_width' command requires the '-view' option.
What's Next
Specify the '-view' option for multi-mode timing designs to report constraint violations for each mode
individually.
RPT-300
Severity
Error
Description
Only supported commands will be executed by bg_eval.
What's Next
The supported commands are : report*, write*, check_design and check_timing_intent.
RPT-301
Severity
Error
Description
-run option missing.
What's Next
-wait option can only be used with -run option.
RPT-308
Severity
Error
Description
-wait option missing.
What's Next
-append_log option can only be used with -wait option.
RPT-309
Severity
Error
Description
Priority cannot be negative.
What's Next
Only positive values for priority are allowed.
RPT-450
Severity
Error
Description
Multiple designs available.
What's Next
The analyze_library_corners command should be the only command run in the session.
RPT-451
Severity
Error
Description
No usable buffer lib_cells available.
What's Next
The analyze_library_corners command requires a usable buffer lib_cell.
RPT-452
Severity
Error
Description
Some libraries are already present in the session.
What's Next
The analyze_library_corners command should be the only command run in the session.
RPT-901
Severity
Error
Description
Improper/null wireload mode defined.
What's Next
The wireload_mode attribute is neither top,enclosed nor segmented.
RPT-902
Severity
Error
Description
Invalid option combination.
What's Next
The options specified are not appropriate to the command under consideration.
RPT-905
Severity
Error
Description
Invalid instance type specified.
What's Next
The specified instance is not a libcell.
RPT-906
Severity
Error
Description
Invalid driver pin specified.
What's Next
The specified pin is not a valid output pin.
RPT-907
Severity
Error
Description
The specified pin/port is not a valid driver.
What's Next
The specified pin/port is not a valid output pin.
RPT-908
Severity
Error
Description
The specified pin/port is not a valid load.
What's Next
The specified pin/port is not a valid input pin.
RPT-909
Severity
Error
Description
Both the driver and load pins/ports are not on the same net.
What's Next
It is not possible to calculate the net delay between both the pins/ports.
RPT-916
Severity
Error
Description
Must specify an ISO/combo instance.
What's Next
Only ISO/Combo can be specified with the command.
RPT-917
Severity
Error
Description
Command 'report_isolation_enable' cannot proceed as design is not mapped.
What's Next
Synthesize the design.
RPT-918
Severity
Error
Description
Command 'get_load_list' cannot proceed as design is not mapped.
What's Next
Run synthesis commands for further processing.
RPT-920
Severity
Error
Description
The interconnect mode is not wireload.
What's Next
The command only works for interconnect mode equal to wireload.
RPT-7
Severity
Info
Description
Time taken to report power.
RPT-11
Severity
Info
Description
Detected inconsistency between voltage of library and voltage from nominal_condition in CPF.
What's Next
The voltage specified in the library of power domain does not match with the voltage specified in
CPF file. Using the voltage specified in library.
RPT-16
Severity
Info
Description
Joules engine is used.
RPT-22
Severity
Info
Description
Nothing to report.
What's Next
There is no more help available in this message. If the help in this message was insufficient,
contact customer support with this message ID.
RPT-34
Severity
Info
Description
HDL cross referencing is not enabled.
What's Next
Set the 'hdl_track_filename_row_col' root attribute to 'true' before 'elaborate' to enable HDL cross
referencing. HDL cross referencing is supported until 'syn_generic'.
RPT-42
Severity
Info
Description
No power mode specified.
What's Next
The design has power modes and 'report power' is used without '-power_mode' option. Without the
option '-power_mode', power is reported for the current state the design is in.
RPT-70
Severity
Info
Description
Area report is normalized with area of the specified reference cell.
RPT-302
Severity
Info
Description
-log_dir option missing.
RPT-303
Severity
Info
Description
All commands in the queue are launched.
RPT-304
Severity
Info
Description
Command added to the queue.
RPT-305
Severity
Info
Description
No command is added to the queue till now.
RPT-306
Severity
Info
Description
Unable to create output directory.
RPT-310
Severity
Info
Description
No command left in the queue to be launched.
RPT-4
RPT-5
RPT-10
RPT-13
RPT-23
RPT-38
RPT-39
RPT-41
RPT-80
RPT-201
RPT-307
RPT-603
RPT-900
RPT-903
RPT-904
RPT-910
RPT-911
RPT-912
RPT-915
RPT-4
Severity
Warning
Description
What's Next
Option '-build_power_models' should be mutually exclusive to other options, and it will be obsolete
in a next major release. Use command 'build_rtl_power_models' instead to build detailed models
for RTL power analysis.
RPT-5
Severity
Warning
Description
Invalid option specified.
What's Next
Not supported with -rtl option.
RPT-10
Severity
Warning
Description
No clock.
What's Next
Clock is not defined.
RPT-13
Severity
Warning
Description
Clock period mismatch between synthesis(SDC) and simulation(VCD/TCF/SAIF) values.
What's Next
Synthesis clock period (derived from SDC) does not match with asserted data from VCD/TCF/SAIF.
User can scale simulation frequency using -scale option of read_vcd/read_tcf/read_saif commands.
RPT-23
Severity
Warning
Description
Instance ignored for report.
What's Next
There is no more help available in this message. If the help in this message was insufficient,
contact customer support with this message ID.
RPT-38
Severity
Warning
Description
Cannot estimate clock tree power.
What's Next
Specify the missing information for clock tree power estimation.
RPT-39
Severity
Warning
Description
Estimated die width and height not specified.
What's Next
Specify them using -width and -height command line options or set them implicitly by reading in a
floorplan using the read_def command.
RPT-41
Severity
Warning
Description
Power of clock cannot be estimated.
What's Next
The clock does not drive any flops. Tracing from the source of the clock did not find any flops.
RPT-80
Severity
Warning
Description
The details given in report might be incorrect or incomplete.
What's Next
Map the design using syn_map before using the '-detail' option of the 'report_area' command.
RPT-201
Severity
Warning
Description
Invalid pin.
What's Next
The specified pin is not a valid clock pin for min pulse width checks.
RPT-307
Severity
Warning
Description
Single command is executed using bg_eval leading to inefficient use of resources.
What's Next
Two or more commands should be executed using bg_eval.
RPT-603
Severity
Warning
Description
Constraint is dropped during PBS.
What's Next
This may result in erroneous timing results.
RPT-900
Severity
Warning
Description
Both the driver and load pins/ports are not on the same net.
What's Next
It is not possible to calculate the net delay between both the pins/ports.
RPT-903
Severity
Warning
Description
The specified pin/port is not a valid driver.
What's Next
This pin/port will not be considered during delay calculation.
RPT-904
Severity
Warning
Description
The specified pin/port is not a valid load.
What's Next
This pin/port will not be considered during delay calculation.
RPT-910
Severity
Warning
Description
Cannot calculate delay/slew for this tech element.
What's Next
The library information is encrypted for this tech element and hence the delay/slew information
cannot be displayed.
RPT-911
Severity
Warning
Description
Cannot calculate delay/slew for this tech element.
What's Next
The instance under consideration is not a tech element.
RPT-912
Severity
Warning
Description
File already exists.
What's Next
File with the given name already exists. It will be overwritten.
RPT-915
Severity
Warning
Description
Must specify an option.
What's Next
One of the option must be specified with the command.
85
RPT_CG
RPT_CG-1
Severity
Error
Description
Could not preview the design.
What's Next
Preview clock-gating works for either completely unmapped or partially mapped designs.
RPT_CG-2
Severity
Error
Description
Could not report clock gating for the specified clock.
What's Next
To specify a clock with the -clock option, you must have defined the clock either with the
define_clock command or through an SDC constraint. If no clocks were defined, use the -clock_pin
option.
RPT_CG-9
Severity
Error
Description
Could not generate the clock-gating report.
What's Next
The value for the step option must be a list of lists. Each list should have two positive integers; the
first entry should be less than or equal to the second. In addition, the start point of each range must
be larger than the stop point of the previous range.
RPT_CG-3
Severity
Warning
Description
Mapped portions of the design will be ignored for preview.
What's Next
Preview clock-gating only explores generic logic in the design.
RPT_CG-4
Severity
Warning
Description
All options of report clock_gating cannot be used with the preview option.
What's Next
Options other than -clock, -clock_pin, -gated_ff and -refresh are ignored if the -preview option is
specified in report clock_gating.
RPT_CG-5
Severity
Warning
Description
Only the -detail and the -preview options can be used with report clock_gating -clock; all other
options will be ignored.
RPT_CG-6
Severity
Warning
Description
Only the -detail and the -preview options can be used with report clock_gating -clock_pin; all other
options will be ignored.
RPT_CG-7
Severity
Warning
Description
The -cg_instance has been used; all other options will be ignored.
RPT_CG-8
Severity
Warning
Description
The -refresh option works only with the -preview option; the -refresh option will be ignored.
RPT_CG-10
Severity
Warning
Description
Found overlapping ranges in the step input.
What's Next
For instance, consider {{1 5} {2 6}} as the input. Values in the histogram for the overlapping range {3
5} will be counted more than once.
RPT_CG-11
Severity
Warning
Description
Invalid options/combination of options provided to 'report clock_gating'.
RPT_CG-12
Severity
Warning
Description
Potential error generating clock gating report.
What's Next
The 'report clock_gating' command depends on the 'lp_insert_clock_gating' attribute. Set it to 'true'
before calling this command.
86
RPT_CWD
RPT_CWD-100
Severity
Error
Description
Invalid combination of options supplied to report cwd command.
What's Next
The options specified while invoking report cwd command are not compatible. Remove the
conflicting options and execute report cwd again.
RPT_CWD-101
Severity
Warning
Description
Invalid operator passed with -operator option.
RPT_CWD-102
Severity
Warning
Description
Invalid component passed with -component option.
87
RPT_DP
RPT_DP-101
Severity
Error
Description
All of the report column widths specified with -max_width option are set to zero.
What's Next
The list specifying the maximum column width for the Module, Instance, Operator, Signedness,
Architecture, Inputs, Outputs, CellArea, Line, Col, Filename sets all the columns to zero. A zero
specification hides the column. To see the desired columns, set the -max_width option to a non-
zero value for each column to be viewed.
RPT_DP-105
Severity
Error
Description
Invalid combination of options supplied to report datapath.
What's Next
The options specified while invoking report datapath command are not compatible. Remove the
conflicting options and execute report datapath again.
RPT_DP-107
Severity
Error
Description
Format of list specified with -max_width option is incorrect.
What's Next
To control the width of a column of a report using -max_width option specify the width as follows -
max_width {{<column_name1> <width1>} {<column_name2> <width2>}}.
RPT_DP-100
RPT_DP-103
RPT_DP-104
RPT_DP-106
RPT_DP-100
Severity
Warning
Description
The filename, column and line number information will not be available in the report.
What's Next
You must set the 'hdl_track_filename_row_col' attribute to 'true' (before elaborate) to enable
filename, column, and line number tracking in the datapath report.
RPT_DP-103
Severity
Warning
Description
Information about datapath subdesign is incomplete.
RPT_DP-104
Severity
Warning
Description
The information about embedded operators in the csa tree will not be displayed.
What's Next
The attribute rtlopto_group_info returned null. This will prevent displaying embedded operators for
the csa tree.
RPT_DP-106
Severity
Warning
Description
Ignoring the user specified width for the invalid report datapath column name.
What's Next
The option '-max_width' is used to control the width of the various columns in report datapath
output. The valid columns names are Operator, Signedness, Inputs, Outputs, CellArea, Line, Col,
Filename.
88
RSCL
RSCL-101
Severity
Warning
Description
One or more unplaced pins or ports.
What's Next
Pins and/or ports may be unplaced, resulting in an incorrect wire-length for those nets. Rerun with
the -report_unplaced option to list these pins and/or ports.
RSCL-102
Severity
Warning
Description
Unexpected trace end.
What's Next
The trace from the compression macro logic did not end at the expected netlist object type.
RSCL-103
Severity
Warning
Description
Did not find port to trace.
What's Next
The expected pin on the compression macro logic was not found.
89
RTLOPT
RTLOPT-43
RTLOPT-50
RTLOPT-51
RTLOPT-52
RTLOPT-1
Severity
Info
Description
Optimizing RTL.
RTLOPT-2
Severity
Info
Description
Done optimizing RTL.
RTLOPT-3
Severity
Info
Description
RTL optimization failed.
RTLOPT-7
Severity
Info
Description
Skipping RTL optimization.
RTLOPT-10
Severity
Info
Description
Performing RTL Speculation.
RTLOPT-15
Severity
Info
Description
Performing RTL shannon expansion.
RTLOPT-16
Severity
Info
Description
Downsized instance.
RTLOPT-17
Severity
Info
Description
Replaced carry-save logic.
RTLOPT-19
Severity
Info
Description
Grouping carry-save logic.
RTLOPT-20
Severity
Info
Description
Accepted carry-save configuration.
RTLOPT-21
Severity
Info
Description
Rejected carry-save configuration.
RTLOPT-22
Severity
Info
Description
Truncated carry-save logic.
RTLOPT-23
Severity
Info
Description
Done grouping carry-save logic.
RTLOPT-29
Severity
Info
Description
Rejected resource sharing opportunity.
RTLOPT-30
Severity
Info
Description
Accepted resource sharing opportunity.
RTLOPT-31
Severity
Info
Description
New best resource sharing opportunity (not final).
RTLOPT-40
Severity
Info
Description
Transformed datapath macro.
RTLOPT-42
Severity
Info
Description
Downsized control logic.
RTLOPT-43
Severity
Info
Description
Done downsizing control logic.
RTLOPT-50
Severity
Info
Description
Optimization score is better.
RTLOPT-51
Severity
Info
Description
Optimization score is worse.
RTLOPT-52
Severity
Info
Description
Optimization score is the same.
RTLOPT-53
Severity
Warning
Description
For attribute 'dp_sharing', value 'basic' is obsolete. Using value 'advanced' instead.
RTLOPT-54
Severity
Warning
Description
Use of 'parallel_case' pragma may hinder datapath resource sharing.
RTLOPT-55
Severity
Warning
Description
Inferred datapath logic has changed and cannot be considered for datapath optimizations.
What's Next
For best results, ensure this command is issued after syn_map, when all datapath optimizations
have finished.
90
SAIF
SAIF-1
Severity
Error
Description
Parse error.
What's Next
The SAIF parser could not continue parsing the SAIF file. The most common reason for a parse
error is mismatched parenthesis.
SAIF-3
Severity
Error
Description
SAIF file does not exist.
What's Next
Ensure that the SAIF file exists in the current path.
SAIF-8
Severity
Error
Description
Invalid instance(s) specified.
What's Next
Multiple matches found for instance.
SAIF-9
Severity
Error
Description
Specified design not found as top.
What's Next
Check the loaded designs using 'vls /designs/'.
SAIF-2
Severity
Warning
Description
SAIF-4
Severity
Warning
Description
Unable to assert activity on net/port.
What's Next
Make sure that the specified probability and toggle rate have valid values.
SAIF-5
Severity
Warning
Description
Duration/time unit not specified.
SAIF-6
Severity
Warning
Description
Invalid scaling factor specified.
SAIF-7
Severity
Warning
Description
Invalid Weight factor specified.
What's Next
Setting weight factor to default value(1).
SAIF-10
Severity
Warning
Description
SDPD constructs specified.
What's Next
The SAIF parser does not support SDPD constructs.
91
SDC
SDC-218
SDC-220
SDC-221
SDC-222
SDC-223
SDC-224
SDC-225
SDC-226
SDC-227
SDC-228
SDC-231
SDC-234
SDC-235
SDC-240
SDC-243
SDC-245
SDC-19
Severity
Error
Description
The design contains constraints which have no SDC equivalent.
What's Next
Use the '-sdc_only' option of the command used to derived the design, so that only constraints that
can be expressed in SDC are generated.
SDC-20
Severity
Error
Description
The 'write_sdc' command requires the '-view' option.
What's Next
Specify the '-view' option for multi-mode timing designs to write out the constraints for each mode
individually.
SDC-21
Severity
Error
Description
The specified '-mode' option does not match the given design.
What's Next
The given mode should correspond to the same design for which the write_sdc command is issued.
As there are multiple designs, a design must be specified with the mode to write out the constraints
for that design. Use the 'get_db' or 'vfind' command to retrieve all the modes in the given design and
chose one of the modes for writing out the SDC constraints. For example, 'write_sdc -mode xyz
design:d2'.
SDC-22
Severity
Error
Description
Invalid option combination for write_sdc.
What's Next
This option is not valid for the indicated SDC command.
SDC-24
Severity
Error
Description
Invalid value for option <-map>.
What's Next
This option value is not valid for the command.
SDC-25
Severity
Error
Description
Invalid value for option <-obj_type>.
What's Next
This option value is not valid for the command.
SDC-202
Severity
Error
Description
Could not interpret SDC command.
What's Next
The 'read_sdc' command encountered a problem while trying to evaluate an SDC command. This
SDC command will be added to the Tcl variable $::dc::sdc_failed_commands.
SDC-203
Severity
Error
Description
Option missing for SDC command.
What's Next
This SDC command requires the indicated options. Check the SDC command and contact
Cadence customer support if you believe this option combination should be supported.
SDC-204
Severity
Error
Description
Invalid SDC command option combination.
What's Next
This option is not valid for the indicated SDC command. Check the SDC command and contact
Cadence customer support if you believe this option combination should be supported.
SDC-205
Severity
Error
Description
Incorrect option value.
What's Next
Check the option value given to this command because the one given is not valid.
SDC-210
Severity
Error
Description
One or more commands failed when these constraints were applied.
What's Next
You can examine the failed commands or save them to a file by querying the Tcl variable
$::dc::sdc_failed_commands. By default, the 'read_sdc' command will not stop if it encounters an
error and if the '-stop_on_errors' option is not used.
SDC-211
Severity
Error
Description
A given object is not suitable for this exception.
What's Next
The indicated object cannot be used in this exception specification. In order to process this
exception, the object needs to be removed from the specification.
SDC-212
Severity
Error
Description
SDC command requires a mode specification.
What's Next
The indicated command requires that a mode be specified. Use the 'set_mode' or 'read_sdc -mode'
option to indicate the mode for the command.
SDC-213
Severity
Error
Description
Mode mismatch for SDC command.
What's Next
The indicated command requires that a mode option matching the clock be specified.
SDC-215
Severity
Error
Description
Cannot read SDC file(s) for non-default mode.
What's Next
An valid license is required to invoke this feature.
SDC-216
Severity
Error
Description
Invalid object type.
What's Next
The object type specified is not a valid one for the specified property.
SDC-217
Severity
Error
Description
Invalid property.
What's Next
The property specified is invalid. Specify a valid property name.
SDC-218
Severity
Error
Description
Invalid option combination.
What's Next
Specified option combination is not valid.
SDC-220
Severity
Error
Description
No inputs provided.
What's Next
Specify one of the required inputs.
SDC-221
Severity
Error
Description
Invalid collection.
What's Next
The indicated command does not support the specified collection object.
SDC-222
Severity
Error
Description
The number of collections for the command should be two.
What's Next
Specify two collection.
SDC-223
Severity
Error
Description
The given index is not valid.
What's Next
Specify the index within the valid range.
SDC-224
Severity
Error
Description
The base collection should be an existing or non-existing collection name.
What's Next
Specify a valid input to base collection.
SDC-225
Severity
Error
Description
Invalid collection.
What's Next
Base collection does not support object list.
SDC-226
Severity
Error
Description
Invalid collection.
What's Next
Specify a valid input.
SDC-227
Severity
Error
Description
Expecting single object but found multiple objects.
What's Next
Command does not support multiple objects.
SDC-228
Severity
Error
Description
The indicated command needs two valid collections.
What's Next
Specify valid inputs.
SDC-231
Severity
Error
Description
Could not interpret an embedded SDC command.
What's Next
The 'read_sdc' command encountered a problem while trying to evaluate an embedded SDC
command. This embedded SDC command will be added to the Tcl variable
$::dc::embedded_sdc_failed_commands.
SDC-234
Severity
Error
Description
Unknown TCL command in the SDC file.
What's Next
The 'read_sdc' command encountered a problem while trying to evaluate a command in the SDC
file.
SDC-235
Severity
Error
Description
SDC command requires a constraint mode specification.
What's Next
The indicated command requires that a constraint mode be specified. Use the
'set_interactive_constraint_modes' to indicate the constraint mode for the command.
SDC-240
Severity
Error
Description
Command set_timing_derate -delay_corner is mandatory in Multi-Corners.
What's Next
Option -delay_corner is required by set_timing_derate in Common UI mode.
SDC-243
Severity
Error
Description
Command reset_timing_derate -delay_corner is mandatory in Multi-Corners.
What's Next
Option -delay_corner is required by reset_timing_derate in Common UI mode.
SDC-245
Severity
Error
Description
Did not find any matching libcells in the default timing_condition of delay_corner.
What's Next
You may need to add -power_domain.
SDC-300
Severity
Info
Description
Entering sdc_shell.
What's Next
All sdc commands will work without the dc:: prefix inside sdc_shell. Type 'exit' to leave the shell.
SDC-301
Severity
Info
Description
Leaving sdc_shell.
What's Next
Type sdc_shell to use it again.
SDC-200
SDC-201
SDC-208
SDC-209
SDC-214
SDC-219
SDC-229
SDC-230
SDC-232
SDC-233
SDC-236
SDC-238
SDC-239
SDC-241
SDC-242
SDC-244
SDC-246
SDC-247
SDC-248
SDC-249
SDC-250
SDC-251
SDC-252
SDC-23
Severity
Warning
Description
Invalid option combination for write_sdc.
What's Next
This option is not valid for the indicated SDC command.
SDC-200
Severity
Warning
Description
Unsupported SDC command.
What's Next
The current version of Genus does not support this SDC command and ignores it. However, future
versions may be enhanced to support this command. This SDC command will be added to the Tcl
variable $::dc::sdc_unsupported_commands_write_sdc. The contents of this variable will be written
out during write_sdc.
SDC-201
Severity
Warning
Description
Unsupported SDC command option.
What's Next
The current version does not support this SDC command option. However, future versions may be
enhanced to support this option.
SDC-208
Severity
Warning
Description
Could not find requested search value.
What's Next
Use get_* commands to find the objects along with a wild card entry in the name of the object to
check if the object is existing with different naming style. .SH Example The get_ports command on
line '4' of the SDC file 'test.sdc' cannot find any ports named 'b1_1. In this case search using "
get_ports b1* " to check if the naming style is different. Or use the 'vcd' and 'vls' commands to
browse the virtual directories to find the object because the specified name and/or location does not
exist.
SDC-209
Severity
Warning
Description
One or more commands failed when these constraints were applied.
What's Next
You can examine the failed commands or save them to a file by querying the Tcl variable
$::dc::sdc_failed_commands.
SDC-214
Severity
Warning
Description
SDC command overridden.
What's Next
The indicated command is already defined. To get the built-in SDC command behavior, remove or
change the name of the existing command with the 'rename' command.
SDC-219
Severity
Warning
Description
No output is available to return.
SDC-229
Severity
Warning
Description
Obsolete SDC command option or object type.
What's Next
This option or object type will soon be obsolete for the indicated SDC command. Check the SDC
command and contact Cadence customer support if you believe this option or object type should be
supported.
SDC-230
Severity
Warning
Description
The 'read_sdc' command has been called with the no_compress option on a large design.
What's Next
This could result in huge runtime during synthesis. Use 'read_sdc' without no_compress to avoid
any potential runtime increase.
SDC-232
Severity
Warning
Description
Large global variable set by read_sdc.
What's Next
The 'read_sdc' command has created a global TCL variable. Unset this variable if this will no longer
be used or set the attribute 'free_global_vars_set_by_read_sdc' to true before read_sdc to free this
automatically. Having this around could cause potential issues during super threading.
SDC-233
Severity
Warning
Description
Global variable set during read_sdc is being unset.
What's Next
The 'read_sdc' command has created a global TCL variable. This is being unset. Set the root
attribute 'free_global_vars_set_by_read_sdc' to false before read_sdc to avoid this. Note that large
TCL variables could cause potential issues during super threading.
SDC-236
Severity
Warning
Description
Suppressed remaining 'SDC-208' warnings.
What's Next
Use the attribute 'collapse_sdc_msg' to control printing of warning 'SDC-208'.
SDC-238
Severity
Warning
Description
SDC-239
Severity
Warning
Description
Command set_timing_derate should not be used in read_sdc.
What's Next
The use of set_timing_derate in read_sdc is discouraged. It will be ignored from read_sdc in future
releases. Execute set_timing_derate interactively.
SDC-241
Severity
Warning
Description
Command set_timing_derate -delay_corner is expected in Common UI.
What's Next
Option -delay_corner is required by set_timing_derate in Common UI mode.
SDC-242
Severity
Warning
Description
Command reset_timing_derate should not be used in read_sdc.
What's Next
The use of reset_timing_derate in read_sdc is discouraged. It will be ignored from read_sdc in
future releases. Execute reset_timing_derate interactively.
SDC-244
Severity
Warning
Description
Command reset_timing_derate -delay_corner is expected in Common UI.
What's Next
Option -delay_corner is required by reset_timing_derate in Common UI mode.
SDC-246
Severity
Warning
Description
Command set_timing_derate is ignored in read_sdc.
What's Next
The use of set_timing_derate in read_sdc is not allowed. Execute set_timing_derate interactively.
SDC-247
Severity
Warning
Description
Use of turbo_get_cells is ignored when 'legacy_collection' is true.
What's Next
It is recommended to reset 'legacy_collection'.
SDC-248
Severity
Warning
Description
Invalid object passed to SDC command.
What's Next
This object is not valid for the indicated SDC command. Check object passed to the SDC command
and contact Cadence customer support if you believe this option combination should be supported.
SDC-249
Severity
Warning
Description
Command set_timing_derate -net_delay in Genus should not be used with -sigma, value will
applied to mean delay of net_delay instead.
What's Next
The use of set_timing_derate -net_delay with -sigma is discouraged, this derating value will be
applied to mean delay of net .
SDC-250
Severity
Warning
Description
Command set_clock_sense is outdated, use set_sense instead.
What's Next
The use of set_clock_sense command has been replaced by the 'set_sense' command in SDC2.1
specifications.
SDC-251
Severity
Warning
Description
Command set_timing_derate -power_domain in Genus should not be used with instance , the
power_domain will be ignored.
What's Next
The use of set_timing_derate -power_domain with instance is discouraged, the power domain will
be ignored.
SDC-252
Severity
Warning
Description
Command set_timing_derate -power_domain in Genus should not be used with -net_delay, static or
-dynamic.
What's Next
The use of set_timing_derate -power_domain with instance is discouraged, the power domain on
net will be ignored.
92
SDP
SDP-1
Severity
Error
Description
Wrong SDP group is specified.
What's Next
The specified SDP group already exists.
SDP-11
Severity
Error
Description
Wrong SDP row is specified.
What's Next
The specified SDP row already exists.
SDP-12
Severity
Error
Description
Wrong SDP column is specified.
What's Next
The specified SDP column already exists.
SDP-13
Severity
Error
Description
Wrong SDP datapath is specified.
What's Next
The specified SDP datapath already exists.
SDP-14
Severity
Error
Description
Wrong SDP skip is specified.
What's Next
The specified SDP skip already exists.
SDP-15
Severity
Error
Description
Wrong SDP skip is specified.
What's Next
The specified SDP skip must be next to the existing SDP group/row/column/instance.
SDP-20
Severity
Error
Description
Invalid instance is specified.
What's Next
The instance does not exist.
SDP-21
Severity
Error
Description
Wrong SDP instance is specified.
What's Next
The specified SDP instance already exists.
SDP-30
Severity
Error
Description
Wrong SDP group is specified.
What's Next
The specified SDP group already exists.
SDP-31
Severity
Error
Description
Wrong SDP row is specified.
What's Next
The specified SDP row already exists.
SDP-32
Severity
Error
Description
Wrong SDP column is specified.
What's Next
The specified SDP column already exists.
SDP-33
Severity
Error
Description
Wrong SDP datapath is specified.
What's Next
The specified SDP datapath already exists.
SDP-34
Severity
Error
Description
Wrong SDP instance is specified.
What's Next
The specified SDP instance already exists.
93
ST
ST Error Messages
ST Information Messages
ST Warning Messages
ST Error Messages
ST-129
ST-133
ST-137
ST-138
ST-129
Severity
Error
Description
Not obtained required number of super thread servers.
What's Next
Not enough super thread servers launched. All launched servers will be shut down. The most
common cause for this is that a queueing mechanism (such as LSF) is being used and the jobs are
waiting in a queue too long to exceed the waiting time limit. To continue with the servers that were
obtained, set the root attribute "super_thread_minimum_cpus" to a lower value.
ST-133
Severity
Error
Description
One super thread server has been unexpectedly lost. Probably some error happened in the
background job.
What's Next
The tool will exit to prevent the error from being populated to other super thread servers.
ST-137
Severity
Error
Description
Cannot write logs in super thread directory.
What's Next
Set 'super_thread_debug_directory' to a writable directory.
ST-138
Severity
Error
Description
Cannot write data in db directory or current working directory.
What's Next
Set db directory and current working directory to a writable directory.
ST Information Messages
ST-110
ST-112
ST-120
ST-121
ST-124
ST-128
ST-150
ST-151
ST-153
ST-110
Severity
Info
Description
Connection established with super-threading server.
What's Next
The tool is entering super-threading mode and has established a connection with a CPU server
process. This is enabled by the root attributes 'super_thread_servers' or 'auto_super_thread'.
ST-112
Severity
Info
Description
A super-threading server has been shut down normally.
What's Next
A super-threaded optimization is complete and a CPU server was successfully shut down.
ST-120
Severity
Info
Description
Attempting to launch a super-threading server.
What's Next
The tool is entering super-threading mode and is launching a CPU server process. This is enabled
by the root attribute 'super_thread_servers' or 'auto_super_thread'.
ST-121
Severity
Info
Description
Automatically enabling super-threading.
What's Next
The tool is entering super-threading mode because it is running on a multi-processor machine.
Maximum four super-thread servers based on cpus available on machine will be running on
'localhost' and no super-thread licenses will be checked out. This is enabled by the root attribute
'auto_super_thread'.
ST-124
Severity
Info
Description
Requested number of super-threading servers are available.
What's Next
The number of background servers requested are available and are working fine.
ST-128
Severity
Info
Description
Super thread servers are launched successfully.
ST-150
Severity
Info
Description
What's Next
An equivalent super-threading job was previously computed and its result stored in the cache. This
is enabled by the root attribute 'super_thread_cache'.
ST-151
Severity
Info
Description
Miss in the super-threading cache.
What's Next
A job's data has not been found in the super-threading cache and will be subsequently stored. This
is enabled by the root attribute 'super_thread_cache'.
ST-153
Severity
Info
Description
Locked file in the super-threading cache.
What's Next
A job locked a file in the super-threading cache while creating an entry and another job tried
creating the same entry. The second job will proceed without caching.
ST Warning Messages
ST-111
ST-113
ST-114
ST-115
ST-116
ST-118
ST-119
ST-122
ST-123
ST-125
ST-126
ST-127
ST-130
ST-134
ST-135
ST-136
ST-139
ST-152
ST-155
ST-111
Severity
Warning
Description
Failed to establish connection with super-threading server.
What's Next
The tool is exiting super-threading mode and failed to establish a connection with a CPU server
process. This could be due to a high cpu load on that host or a networking issue. If a job queueing
mechanism (such as LSF) is being used, perhaps the job was held up in the queue.
ST-113
Severity
Warning
Description
A connection to a super-threading server has been lost unexpectedly.
ST-114
Severity
Warning
Description
Rsh does not seem to work to one of the super-threading hosts.
What's Next
Likely causes for this problem are: 1) the host name was misspelled or 2) rsh to the given machine
requires a password. You can see if the password is required by executing the unix command 'rsh
<host>' and seeing if it prompts you for a password. If it does prompt you for a password, you should
read the unix manpage for the rsh command to eliminate the password requirement. This usually
involves adding a file ~/.rhosts to your home directory. Until you can rsh to the host without a
password prompt, that host will not work as a super thread server.
ST-115
Severity
Warning
Description
Executing jobs using the foreground process until a background server becomes available.
What's Next
No background server processes have been detected yet. The most common cause for this is that a
queueing mechanism (such as LSF) is being used and the jobs are waiting in a queue.
ST-116
Severity
Warning
Description
Not enough licenses are available for all of the super-thread servers requested.
What's Next
Super-threading will continue with fewer servers than requested.
ST-118
Severity
Warning
Description
The full path to the current executable could not be determined.
What's Next
This is unexpected. Report the problem to Cadence. As a workaround try using an absolute
pathname when invoking the tool.
ST-119
Severity
Warning
Description
Super-threading attempted to launch a server process into a job queueing system, but the launch
command failed.
What's Next
Either the launch command returned failed status, or it wrote information to its stderr filehandle.
Double-check that the root attribute 'super_thread_batch_command' has been set correctly and that
any environment variables needed by the job queueing system have been set.
ST-122
Severity
Warning
Description
Cannot set attribute 'auto_super_thread' to 'true'.
What's Next
Either the tool is not running with an 'Genus_Synthesis' license, this host has a single processor or
the tool could not determine the number of processors on this host. You can still achieve the same
effect by typing '::legacy::set_attribute super_thread_servers {localhost localhost localhost
localhost} /'.
ST-123
Severity
Warning
Description
No super-threading servers are set.
What's Next
To turn on super-threading, either set the servers using attribute 'super_thread_servers' or turn on
the attribute 'auto_super_thread'.
ST-125
Severity
Warning
Description
Requested number of super-threading servers are not available.
What's Next
The tool failed to establish a connection with a CPU server process. This could be due to a high
cpu load on that host or a networking issue.
ST-126
Severity
Warning
Description
Mismatched CPU number specified.
What's Next
The cpu number requested in super_thread_batch_command and specified in
max_cpus_per_server are mismatched. Normally they should be the same, while user is permitted
to relax this usage rule. max_cpus_per_server will be used to determine how many super thread
jobs launched for each batch.
ST-127
Severity
Warning
Description
Not supported batch command.
ST-130
Severity
Warning
Description
Not obtained required number of super thread servers.
ST-134
Severity
Warning
Description
Failed super-thread job is resubmitted to another available server.
What's Next
Genus detected a problem with one of the super-thread servers. Since the job running on this server
was not completed, it was submitted to another free server. The problem could have been caused
either by a crash in the job or could be a machine-related.
ST-135
Severity
Warning
Description
Background servers have already been launched. It is better to set 'super_thread_debug_directory'
attribute before the launch of servers to see complete background logs.
ST-136
Severity
Warning
Description
Not obtained requested number of super thread servers.
What's Next
The requested number of cpus are not available on machine.
ST-139
Severity
Warning
Description
Background servers are already in a launched state, hence testing is not required.
What's Next
API test_super_thread_servers is only meant for debugging and not supposed to be used in real
customer scripts.
ST-152
Severity
Warning
Description
File too large for super-threading cache.
What's Next
A file (either send or result script) is larger than 10Mb and will not be stored in the super-threading
cache.
ST-155
Severity
Warning
Description
A Genus_Synthesis is required to enable super-threading caching and none is available.
What's Next
Optimization will continue without caching.
94
STAT
STAT-1
Severity
Error
Description
Specified 'stage_id' is not unique.
What's Next
Use 'statistics run_stage_ids' command for the 'stage_id' names currently used.
STAT-5
Severity
Error
Description
Invalid filename specified.
What's Next
The filename specified was not found.
STAT-8
Severity
Error
Description
Invalid metric name.
What's Next
Only user defined metrics can be removed using 'remove_metric' command.
STAT-9
Severity
Error
Description
Invalid 'run_id' specified.
What's Next
Run 'statistics run_stage_ids' command to get a list of valid run id's and their corresponding stage
id's.
STAT-10
Severity
Error
Description
Invalid 'stage_id' specified.
What's Next
Run 'statistics run_stage_ids' command to get a list of valid run id's and their corresponding stage
id's.
STAT-11
Severity
Error
Description
Invalid command option combination.
What's Next
Specified option combination is not valid.
STAT-15
Severity
Error
Description
Invalid option specified.
What's Next
Only 'header' or 'footer' options can be specified.
STAT-25
Severity
Error
Description
Database is not populated with statistics.
What's Next
The database is not populated with statistics data.
STAT-2
Severity
Info
Description
What's Next
Enable 'statistics_log_data' attribute before synthesis or run 'statistics log' command to create the
QOR metrics at required stages before writing out the database.
STAT-3
Severity
Info
Description
Writing statistics database to file.
STAT-4
Severity
Info
Description
Reset the statistics information preset in the database.
STAT-6
Severity
Info
Description
Specified metric name already exists.
STAT-7
Severity
Info
Description
Removed user defined metric.
STAT-12
Severity
Info
Description
No run and stage id data available to report.
95
STRUCT
STRUCT-141
STRUCT-143
STRUCT-144
STRUCT-145
STRUCT-146
STRUCT-148
STRUCT-149
STRUCT-120
Severity
Error
Description
GBB.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-121
Severity
Error
Description
Port has no type.
What's Next
Specify the port as either an input, output, or inout port.
STRUCT-122
Severity
Error
Description
Unknown instance port name.
What's Next
An instantiation has a named connected port, which is not specified in the module definition or in
technology cell definition. This can happen if too many ports are specified in the instantiation or if
the port name is misspelled. Check the module definition or Technology Library for the instance to
determine the valid ports.
STRUCT-124
Severity
Error
Description
Cannot define an implicit wire with a range.
What's Next
Only a wire without a range can be implicitly defined.
STRUCT-125
Severity
Error
Description
Port not declared in the module interface.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-126
Severity
Error
Description
Port declared more than once in a module.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-128
Severity
Error
Description
Could not find port.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-129
Severity
Error
Description
Too many arguments to instance.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-130
Severity
Error
Description
Multiple definitions.
What's Next
An instance name cannot be defined more than once in the same module. Make the instance name
unique.
STRUCT-131
Severity
Error
Description
Illegal constant format.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-132
Severity
Error
Description
Out-of-range bit-select or part-select.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-133
Severity
Error
Description
Invalid inout connection.
What's Next
An inout port cannot be driven by a constant value.
STRUCT-135
Severity
Error
Description
Inconsistent unresolved module instantiation.
What's Next
Check if technology libraries are specified correctly.
STRUCT-136
Severity
Error
Description
Invalid port name in module/cell.
What's Next
Check if the module ports or technology library ports are specified correctly.
STRUCT-137
Severity
Error
Description
Output ports of an instance cannot be driven by supply0/supply1.
What's Next
Check port connectivity of an instance.
STRUCT-138
Severity
Error
Description
Given top level module not found.
What's Next
Select correct module name using -top option in structural mode.
STRUCT-139
Severity
Error
Description
Recursive module instantiation is not supported.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-141
Severity
Error
Description
Could not connect positional port.
What's Next
Struct: Error during Verilog Netlist Parsing/Elaboration.
STRUCT-143
Severity
Error
Description
Port range of slice port present in module interface not falling in range in corresponding port
declaration.
What's Next
Struct: Correct the slice range.
STRUCT-144
Severity
Error
Description
Port direction of slice port present in module interface mismatches that of its corresponding port
declaration .
What's Next
Struct: Correct the slice direction.
STRUCT-145
Severity
Error
Description
A module with slice or complex ports instantiated with named connections.
What's Next
Struct: Remove the formal name association from instantiation.
STRUCT-146
Severity
Error
Description
The top module in the user netlist has been replaced by a library cell since the attribute
'hdl_resolve_instance_with_libcell' set to TRUE in structural flow (read_netlist).
What's Next
Struct: Remove the attribute setting hdl_resolve_instance_with_libcell.
STRUCT-148
Severity
Error
Description
Illegal positional port association for instantiation of cell with power and ground pins.
What's Next
Struct: Only named port association is allowed for instantiations of cells with power and ground
pins.
STRUCT-149
Severity
Error
Description
Could not resolve reference.
What's Next
Use '::legacy::set_attribute hdl_error_on_blackbox false /' to cause a warning, rather than an error,
when a blackbox is found.
STRUCT-100
STRUCT-101
STRUCT-102
STRUCT-103
STRUCT-104
STRUCT-105
STRUCT-106
STRUCT-107
STRUCT-108
STRUCT-117
STRUCT-150
STRUCT-100
Severity
Info
Description
GBB.
What's Next
This variable is not driving anything and will be simplified.
STRUCT-101
Severity
Info
Description
Net driven by constant '0' and constant '1'.
What's Next
This will compromise the integrity of the logic-constant source. Disconnecting constant source 1.
STRUCT-102
Severity
Info
Description
Undriven variable.
STRUCT-103
Severity
Info
Description
What's Next
This variable is not driving anything and will be simplified.
STRUCT-104
Severity
Info
Description
Implicit net declaration.
What's Next
Creating new wire with a default bit width equal to '1'.
STRUCT-105
Severity
Info
Description
Connected signal is wider than libpin.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
STRUCT-106
Severity
Info
Description
Libpin is wider than connected signal.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
STRUCT-107
Severity
Info
Description
Connected signal is wider than module port.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
STRUCT-108
Severity
Info
Description
Module port is wider than connected signal.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
STRUCT-117
Severity
Info
Description
Detected an instantiation of a parameterized module.
STRUCT-150
Severity
Info
Description
What's Next
Provide a definition for the said module in either netlist (module) or technology library.
STRUCT-110
Severity
Warning
Description
GBB.
STRUCT-111
Severity
Warning
Description
Variable has multiple drivers.
STRUCT-112
Severity
Warning
Description
Connection too wide.
STRUCT-113
Severity
Warning
Description
There are multiple top-level modules in this design.
What's Next
If there is more than one top-level module in the structural netlist, you must specify the name of the
top-level module using the -top option. For example, `read_netlist -top mytop1 $file_list'.
STRUCT-114
Severity
Warning
Description
Multiple ports with same name in module definition.
What's Next
Duplicate ports will be ignored.
STRUCT-115
Severity
Warning
Description
Inout ports of an instance cannot be driven by supply0/supply1.
What's Next
Check port connectivity of an instance.
STRUCT-116
Severity
Warning
Description
Detected an empty module.
What's Next
Empty modules are treated as logic abstracts by default. A logic abstract is an unresolved reference
with defined port names and directions. Use '::legacy::set_attribute init_blackbox_for_undefined
false /' to treat an empty module as a defined module.
STRUCT-142
Severity
Warning
Description
Linking to Physical only cell in absence of timing library. Paths involving these cells will not be
timed.
What's Next
Struct: Read in .lib file for timing information.
STRUCT-147
Severity
Warning
Description
An attempt to replace the top module in the user netlist by a library cell with the attribute setting
'hdl_resolve_instance_with_libcell' set to TRUE was ignored in mixed input elaboration flow
(read_hdl -netlist, elab).
What's Next
Struct: Remove the attribute setting hdl_resolve_instance_with_libcell.
96
SYNTH
SYNTH-22
Severity
Error
Description
Command syn_generic -physical should not be run on a design without a floorplan.
What's Next
Try after importing a floorplan through read_def command.
SYNTH-25
Severity
Error
Description
Invalid flow.
What's Next
Synthesis commands should be run in the following order: syn_generic, syn_map, syn_opt.
SYNTH-27
Severity
Error
Description
Invalid usage of synthesis command.
What's Next
Synthesis commands should be run on a design with suitable state. For example, 'syn_map -
physical' should not be run on a design with unplaced generic gates. In this case, 'syn_generic -
physical' should be run before 'syn_map -physical' or 'syn_map' should be run without '-physical'
option.
SYNTH-28
Severity
Error
Description
The -congestion_prediction option is applicable only with syn_opt.
What's Next
Other options not allowed with -congestion_prediction.
SYNTH-29
Severity
Error
Description
The selected flow setting has been removed.
What's Next
Contact Cadence support to understand current flows.
SYNTH-3
SYNTH-4
SYNTH-5
SYNTH-6
SYNTH-7
SYNTH-8
SYNTH-9
SYNTH-10
SYNTH-11
SYNTH-12
SYNTH-13
SYNTH-14
SYNTH-15
SYNTH-20
SYNTH-1
Severity
Info
Description
Synthesizing.
SYNTH-2
Severity
Info
Description
Done synthesizing.
SYNTH-3
Severity
Info
Description
Synthesizing failed.
SYNTH-4
Severity
Info
Description
Mapping.
SYNTH-5
Severity
Info
Description
Done mapping.
SYNTH-6
Severity
Info
Description
Mapping failed.
SYNTH-7
Severity
Info
Description
Incrementally optimizing.
SYNTH-8
Severity
Info
Description
Done incrementally optimizing.
SYNTH-9
Severity
Info
Description
Incremental optimization failed.
SYNTH-10
Severity
Info
Description
Unmapping.
SYNTH-11
Severity
Info
Description
Done unmapping.
SYNTH-12
Severity
Info
Description
Unmapping failed.
SYNTH-13
Severity
Info
Description
Gating clocks.
SYNTH-14
Severity
Info
Description
Done gating clocks.
SYNTH-15
Severity
Info
Description
Gating clocks failed.
SYNTH-20
Severity
Info
Description
Mapping with feasible targets.
SYNTH-16
SYNTH-21
SYNTH-23
SYNTH-26
SYNTH-30
SYNTH-16
Severity
Warning
Description
'syn_opt' will run with express effort if '-physical' is not specified.
SYNTH-21
Severity
Warning
Description
Invalid effort.
What's Next
Allowed effort values are 'low', 'medium', and 'high'.
SYNTH-23
Severity
Warning
Description
Synthesis not performed.
SYNTH-26
Severity
Warning
Description
Synthesis not performed.
What's Next
The 'syn_gen' has no effect because the design is already mapped to generic gates. Try using
'syn_map' or 'syn_opt' instead.
SYNTH-30
Severity
Warning
Description
Automatic cost groups has been enabled, which is not recommended.
What's Next
Enabling automatic cost groups can cause a significant increase in runtime. Consult Cadence
support on the latest flow.
97
TCF
TCF-1
Severity
Error
Description
Found error while parsing TCF file.
What's Next
The most common reasons for a parse error are mismatched delimiters or missing quotes.
TCF-3
Severity
Error
Description
Could not open specified TCF file.
What's Next
Ensure that the TCF file exists in the current path.
TCF-9
Severity
Error
Description
Found error while parsing TCF header.
What's Next
The 'type' value must be a double quoted string with one of the following values: 'master', 'slave' or
'regular'.
TCF-10
Severity
Error
Description
Unable to find the corresponding master TCF file.
What's Next
Read the corresponding master TCF file first.
TCF-12
Severity
Error
Description
Invalid instance(s) specified.
What's Next
TCF top not found. Provide an unambiguous path with -tcf_instance option.
TCF-13
Severity
Error
Description
Invalid instance(s) specified.
What's Next
Design top not found. Provide an unambiguous path with -instance option.
TCF-17
Severity
Error
Description
Specified design not found as top.
What's Next
Check the loaded designs using 'vls /designs/'.
TCF-19
Severity
Error
Description
Option -scale_to_sdc_frequency works only if sdc is read.
What's Next
Ensure that the SDC is read before using -scale_to_sdc_frequency option.
TCF-2
Severity
Warning
Description
Path not found.
TCF-4
Severity
Warning
Description
Unable to assert TCF on net/port.
What's Next
Make sure that the specified probability and toggle rate have valid values.
TCF-5
Severity
Warning
Description
TCF-6
Severity
Warning
Description
Invalid scaling factor specified.
TCF-7
Severity
Warning
Description
Invalid Weight factor specified.
TCF-8
Severity
Warning
Description
Invalid instance(s) specified.
What's Next
Multiple instances present in design for the instance arg. Provide an unambiguous path with -
instance option.
TCF-11
Severity
Warning
Description
Found a vcd2tcf-generated TCF file.
What's Next
The TCF parser may not be able to parse the contents of this TCF file correctly. Use the 'read_vcd'
command to directly read in the original VCD file.
TCF-14
Severity
Warning
Description
Invalid tcf instance(s) specified.
What's Next
Multiple instances present in tcf for the tcf instance arg. Provide an unambiguous path with -
tcf_instance option.
TCF-15
Severity
Warning
Description
No Net/Pin is annotated.
What's Next
Check the TCF file OR try '-instance' / '-tcf_instance' option.
TCF-16
Severity
Warning
Description
Invalid tcf instance specified.
What's Next
Empty instance specified in TCF file.
TCF-18
Severity
Warning
Description
Incorrect format of probability and toggle count.
What's Next
Check the format of probability and toggle count.
98
TIM
TIM-296
TIM-302
TIM-303
TIM-305
TIM-306
TIM-310
TIM-318
TIM-319
TIM-323
TIM-324
TIM-331
TIM-335
TIM-401
TIM-403
TIM-405
TIM-407
TIM-408
TIM-409
TIM-410
TIM-411
TIM-412
TIM-413
TIM-414
TIM-415
TIM-550
TIM-600
TIM-602
TIM-1002
TIM-30
Severity
Error
Description
Could not perform a meaningful RTL delay analysis. Genus requires Basic inverter or two-input
single output gates such as AND, OR, NAND, NOR as a prerequisite in the Liberty library for delay
modeling.
What's Next
Make sure that your library contains at least one inverter and one 2-input library cell to create timing
models for unmapped gates in the netlist. A library cell is considered not usable if it has a 'dont_use'
or a 'dont_touch' attribute set to 'true' in the .lib files.
TIM-31
Severity
Error
Description
Not a hierarchical instance.
What's Next
Specify an instance that is hierarchical.
TIM-32
Severity
Error
Description
Atleast one option should be used.
What's Next
Either of -top or -instance should be used.
TIM-33
Severity
Error
Description
Cannot use both option at the same time.
What's Next
Either of -top or -instance should be used.
TIM-103
Severity
Error
Description
Cannot remove a clock object.
What's Next
This clock object cannot be removed because it is generated by an instance of a library cell.
Remove the instance that generates this clock to delete this clock.
TIM-119
Severity
Error
Description
The value specified for the 'max_transition' attribute is overly restrictive for this technology.
What's Next
Set a larger value for the 'max_transition' attribute.
TIM-122
Severity
Error
Description
'ideal_driver' not valid for this pin.
What's Next
The 'ideal_driver' attribute is supported for drivers only.
TIM-125
Severity
Error
Description
Invalid port 'external_non_tristate_drivers' attribute value.
What's Next
Specify a value greater than or equal to zero.
TIM-127
Severity
Error
Description
'ideal_network' not valid for this pin.
What's Next
The 'ideal_network' attribute is supported for drivers only.
TIM-145
Severity
Error
Description
Instance/libarc mismatch.
What's Next
Use the 'find' command to get the libarc objects for the instance.
TIM-233
Severity
Error
Description
Invalid path specification. A 'through' object is invalid.
What's Next
The following types of objects may be used in a 'through' path specification: sequential instances
and their pins, mapped combinational instances and their pins, ports, hierarchical pins, unmapped
instance pins that are timing startpoints or endpoints.
TIM-234
Severity
Error
Description
Invalid path specification. A 'to' object is invalid.
What's Next
The following types of objects may be used in a 'to' path specification: external delays, clocks,
output ports, sequential instances, and pins which are timing endpoints.
TIM-235
Severity
Error
Description
Invalid path specification. A 'capture_clock_pins' object is invalid.
What's Next
Only clock pins of sequential instances may be used in a 'capture_clock_pins' path specification.
TIM-236
Severity
Error
Description
Invalid path specification. A 'not_through' object is invalid.
What's Next
The following types of objects may be used in a 'not_through' path specification: sequential
instances and their pins, mapped combinational instances and their pins, ports, hierarchical pins,
unmapped instance pins that are timing startpoints or endpoints.
TIM-290
Severity
Error
Description
Timing mode creation error.
What's Next
Use the 'create_mode -name' command to create a unique timing mode name.
TIM-291
Severity
Error
Description
Failed to create timing mode because a clock, an exception, or an external delay exists in the timing
directory.
What's Next
Remove the object individually using the 'rm' command, or remove all the existing timing constraints
using 'reset_design -timing' command before creating modes.
TIM-295
Severity
Error
Description
Timing mode creation error.
What's Next
Cannot create a timing model outside of CPF files in a CPF flow, modify your CPF files accordingly.
TIM-296
Severity
Error
Description
Modes of active analysis_views cannot be deleted.
What's Next
You must use set_analysis_view.
TIM-302
Severity
Error
Description
Incompatible objects.
What's Next
The objects belong to different designs or timing modes.
TIM-303
Severity
Error
Description
Invalid path specification. A 'from' object is invalid.
What's Next
The following types of objects may be used in a 'from' path specification: external delays, clocks,
input ports, sequential instances, and pins which are timing startpoints.
TIM-305
Severity
Error
Description
Unspecified mode.
What's Next
In multi-mode timing, a mode must be specified using the '-mode' option if an exception does not
include an implicit reference to its mode. A reference to a mode is implicit if a clock or external delay
object is used as an argument to the '-from', '-through', '-to', or other similar option.
TIM-306
Severity
Error
Description
Unspecified analysis view.
What's Next
In multi-mode timing, a view must be specified using the '-view' option if an exception does not
include an implicit reference to its analysis view. A reference to a view is implicit if a clock or
external delay object is used as an argument to the '-from', '-through', '-to', or other similar option.
TIM-310
Severity
Error
Description
At least one from point, through point, or to point must be specified.
What's Next
Specify at least one from point, through point, or to point.
TIM-318
Severity
Error
Description
The from or to point is identical to the through point specified.
What's Next
Ensure that the applied exception points are different.
TIM-319
Severity
Error
Description
Invalid timing exception attribute value.
What's Next
The specified value for the 'attribute name' can only contain path_adjust objects.
TIM-323
Severity
Error
Description
Only lower priority exceptions may be set here.
What's Next
The 'priority' attribute indicates the priority of exceptions.
TIM-324
Severity
Error
Description
The 'user_priority' attribute cannot be set on 'path_adjust' exceptions.
What's Next
Use the attribute 'precluded_path_adjusts' to control 'path_adjust' exception priorities.
TIM-331
Severity
Error
Description
Rise and fall pin transitions are not supported for timing exceptions in unmapped logic.
What's Next
Instantiating a mapped gate instead and then applying the exception on the mapped gate is one
possible workaround.
TIM-335
Severity
Error
Description
Unspecified mode.
What's Next
In multi-mode timing, if the '-clock' option is not specified when using the 'external_delay' command,
then the '-mode' option must be specified.
TIM-401
Severity
Error
Description
Cannot delete internal MMMC object.
What's Next
Failed to delete an MMMC object that has been created internally.
TIM-403
Severity
Error
Description
Incorrect list type.
What's Next
The list format is invalid.
TIM-405
Severity
Error
Description
Active analysis_views cannot be deleted.
What's Next
You must use set_analysis_view.
TIM-407
Severity
Error
Description
Library domain association error.
What's Next
Association is incorrect.
TIM-408
Severity
Error
Description
An environment variable is not set.
What's Next
Define environment variable.
TIM-409
Severity
Error
Description
Failed to execute write_mmmc.
What's Next
Command write_mmmc failed.
TIM-410
Severity
Error
Description
Cannot open file for reading.
What's Next
Cannot open MMMC file.
TIM-411
Severity
Error
Description
Cannot run MMMC commands in legacy_ui.
What's Next
MMMC commands are disabled in legacy_ui.
TIM-412
Severity
Error
Description
Invalid string.
What's Next
The string given is not a valid choice.
TIM-413
Severity
Error
Description
Found inconsistent delay_corner.
What's Next
The delay_corners must be consistent.
TIM-414
Severity
Error
Description
Timing condition not specified for delay corner.
What's Next
Need to specify either -timing_condition or (-early_timing_condition and -late_timing_condition).
TIM-415
Severity
Error
Description
Cannot find the specified pg_net.
What's Next
Need to specify an existing pg_net.
TIM-550
Severity
Error
Description
A single clock object was expected, but multiple objects were found.
What's Next
Use the 'find' command to narrow the list down to a single object.
TIM-600
Severity
Error
Description
Unused message.
What's Next
Unused message.
TIM-602
Severity
Error
Description
Unused message.
What's Next
Unused message.
TIM-1002
Severity
Error
Description
Clock uncertainty jitter options cannot be applied on generated clock.
What's Next
Set_clock_uncertainty -half_cycle_jitter or -full_cycle_jitter cannot be applied on generated clock.
TIM-560
TIM-1000
TIM-1001
TIM-44
Severity
Info
Description
Could not find any critical driver pin.
What's Next
This is usually due to the slack limit being lower than the worst negative slack.
TIM-45
Severity
Info
Description
AAE enabled for delay calculation.
TIM-92
Severity
Info
Description
Changing wireload model of a design/subdesign.
What's Next
The change of wireload model will likely change the design's timing slightly.
TIM-102
Severity
Info
Description
Removing a clock object.
What's Next
If this clock is being removed unexpectedly, you should investigate the cause for its removal.
Usually clocks get removed by the 'rm' command.
TIM-167
Severity
Info
Description
An external clock is being defined.
What's Next
An external clock does not directly drive any points within the design, but is only used as a
reference for external delays.
TIM-301
Severity
Info
Description
The following instances, preserved as 'size_delete_ok', are referred to by the exception or clock
being deleted. Leaving the 'inherited_preserve' attribute untouched for these instances.
What's Next
The attribute can be manually reset using '::legacy::set_attribute preserve'.
TIM-304
Severity
Info
Description
Replacing an existing timing exception with another.
What's Next
Existing exception had a name conflict with the newly created exception. The exception created at
a later time is maintained.
TIM-307
Severity
Info
Description
Removing a timing exception.
TIM-308
Severity
Info
Description
Removing exception that can no longer be satisfied.
What's Next
This occurs when from, through, or to points for the exception are deleted.
TIM-400
Severity
Info
Description
Activating MMMC.
TIM-406
Severity
Info
Description
Multiple power analysis views are given.
TIM-501
Severity
Info
Description
Resetting the break_timing_paths attribute of a pin removes exceptions set on the pin.
break_timing_paths attribute has default value of false and can be reset to other values either false
or clock_gating depends on the enable signal.
What's Next
Do the analysis and apply the case analysis on the pin whether you want to break the timing paths
or not .SH Example * The break_timing_paths attribute on pin " TEST_CONTROL_INST/g48/in_1"
is resetted from its default value "false" to "clock_gating" since it detects clock gating logic. So the
path is broken into two paths. .nf Path1: PM_INST/clk_enable_reg_reg/clk 0 0 R
PM_INST/clk_enable_reg_reg/q (u) unmapped_d_flop 2 4.4 0 +391 391 R PM_INST/clk_enable
TEST_CONTROL_INST/tdsp_clk_enable TEST_CONTROL_INST/g48/in_1 <<< (b)
unmapped_nand2 +0 391 R (clk_gating_check_35) ext delay +0 391 R Path2:
TEST_CONTROL_INST/g48/in_1 (b) +0 0 TEST_CONTROL_INST/g48/z (u) unmapped_nand2 1
2.2 0 +59 59 F TEST_CONTROL_INST/g44/in_1 +0 59 TEST_CONTROL_INST/g44/z (u)
unmapped_or2 1 2.2 0 +59 118 F TEST_CONTROL_INST/g41/in_0 +0 118
TEST_CONTROL_INST/g41/z (i) (u) unmapped_nand2 256 0.0 0 +37 156 R
TEST_CONTROL_INST/m_tdsp_clk (i) TDSP_CORE_INST/clk (i)
TDSP_CORE_INST/DATA_BUS_MACH_INST_write_reg/clk unmapped_d_flop +0 156 .fi
TIM-502
Severity
Info
Description
Removing the break_timing_paths attribute on a pin removes external delays on the pin.
TIM-560
Severity
Info
Description
Applying AOCV derating factors to lib_cells.
TIM-1000
Severity
Info
Description
Multimode clock gating check is disabled.
TIM-1001
Severity
Info
Description
Multimode clock gating check is enabled.
TIM-311
TIM-312
TIM-314
TIM-315
TIM-316
TIM-317
TIM-328
TIM-330
TIM-332
TIM-333
TIM-334
TIM-337
TIM-402
TIM-11
Severity
Warning
Description
Timing problems have been detected in this design.
What's Next
Use 'check_timing_intent' or 'report timing -lint' to report more information.
TIM-20
Severity
Warning
Description
A combinational loop has been found.
What's Next
Run 'check_timing_intent' to get the detailed information By default Genus inserts
cdn_loop_breaker instances to break combinational feedback loops during timing analysis. You
can use command 'report cdn_loop_breaker' to report all the loop breakers in the design. You can
use command 'remove_cdn_loop_breaker' to remove the loop breakers. Once the loop breaker
instances inserted by Genus are removed, the user can break the loops manually using command
set_disable_timing.
TIM-40
Severity
Warning
Description
Could not report Elmore delay.
What's Next
Make sure use 'set_attribute ple_mode placement /' first.
TIM-41
Severity
Warning
Description
The specified pin is not a leaf pin.
What's Next
Only the leaf pins will be accepted for reporting Elmore delay.
TIM-42
Severity
Warning
Description
Could not find location of this pin.
What's Next
Make sure to read the DEF file first and then re-run.
TIM-43
Severity
Warning
Description
An internal failure was identified during Timing Analysis.
What's Next
To avoid this problem, turn off the feature to break timing path by mode by using 'set_attribute
enable_break_timing_paths_by_mode false /' first.
TIM-50
Severity
Warning
Description
Skipping budgeting due to non default behavior of hidden attribute simple_latch_analysis.
What's Next
Avoid setting simple_latch_analysis to false.
TIM-87
Severity
Warning
Description
The specified wireload string matches both a mode and a wireload model name. The mode will be
used.
What's Next
If instead you want the wireload model to be used, specify the full path to the model (as returned by
the find command).
TIM-101
Severity
Warning
Description
Replacing existing clock definition.
What's Next
A new clock has been defined with the same name as an existing clock.
TIM-104
Severity
Warning
Description
Removing clock source.
What's Next
If this clock source is being removed unexpectedly, you should investigate the cause for its removal.
Possible causes would be that an instance in the design is being deleted, or a hierarchical instance
is being ungrouped. You can control automatic optimizations for the former using 'preserve' attribute
of instance, and for the latter using 'ungroup_ok' attribute of hierarchical instance.
TIM-108
Severity
Warning
Description
Clock skew attributes are not valid on this type of object.
What's Next
Clock skew attributes cannot be set on output ports, logic constants, or unmapped combinational
pins.
TIM-118
Severity
Warning
Description
Ungrouping an instance will remove timing break points.
What's Next
The 'break_timing_paths' attribute is set to 'true' on an ungrouped hierarchical instance.
TIM-126
Severity
Warning
Description
The given attribute is not valid on a port of this direction.
What's Next
Check the port and attribute to see which one was incorrect.
TIM-129
Severity
Warning
Description
Case analysis is not supported on this type of object.
What's Next
Only input ports, hierarchical pins, output pins of sequential instances, or pins of
mapped/unmapped logic are currently supported.
TIM-130
Severity
Warning
Description
Logic constant is not supported on this type of object.
What's Next
Only input ports are currently supported.
TIM-136
Severity
Warning
Description
Unresolving a hierarchical instance which has timing paths broken on one of its pins.
What's Next
The value of the 'break_timing_paths' attribute has been set to 'false' on this pin.
TIM-137
Severity
Warning
Description
The timing paths cannot be broken at the specified pin.
What's Next
Only pins of hierarchical or leaf instances can be used.
TIM-139
Severity
Warning
Description
An inconsistency in attribute values has been detected.
What's Next
Check whether the new value that was specified is indeed correct.
TIM-140
Severity
Warning
Description
Only arcs of mapped instances can be disabled.
What's Next
Map the design to the technology library before disabling a timing arc for a specified instance. See
'Disabling Timing Arcs' in the 'Timing Analysis Guide' for more information.
TIM-293
Severity
Warning
Description
Could not set the default mode.
What's Next
Default mode can be set only once. It cannot be changed.
TIM-309
Severity
Warning
Description
Cannot unpreserve instance since exceptions or clocks are active on its pins or on itself.
What's Next
In order to unpreserve the instance the exceptions or clocks will have to be removed.
TIM-311
Severity
Warning
Description
Invalid startpoint.
What's Next
The specified from_point will be treated as a through-point instead.
TIM-312
Severity
Warning
Description
Invalid endpoint.
What's Next
The specified to_point will be treated as a through-point instead.
TIM-314
Severity
Warning
Description
The objects specified are from different designs.
What's Next
Only objects within the same design may be specified. Use the 'find' command to precisely specify
the intended objects.
TIM-315
Severity
Warning
Description
Invalid timing exception attribute.
What's Next
The 'user_priority' attribute can only be used for 'path_adjust' exceptions. Use the 'delay_value'
attribute to return the delay constraint for a 'path_delay' timing exception.
TIM-316
Severity
Warning
Description
At least one of the provided from-point is not a timing startpoint.
What's Next
A valid timing startpoint is a clock, a clock pin of a sequential cell, a sequential cell, a data pin of a
level sensitive latch, a primary input or inout port. If the from-point does not become a valid timing
startpoint later on (perhaps as a result of a set_max_delay constraint or set_disable_timing), then
the exception will not be applied to this from-point.
TIM-317
Severity
Warning
Description
At least one of the provided to-point is not a timing endpoint.
What's Next
If, as a result of 'set_max_delay' or 'set_disable_timing' constraint operations, the to-point does not
become a valid timing endpoint, then the exception will not be applied to this to-point.
TIM-328
Severity
Warning
Description
Cannot unpreserve the instance since a timing case constant has been set on one of its pins.
What's Next
In order to unpreserve the instance, the attribute 'timing_case_logic_value' must first be set to
'no_value' on the indicated pin.
TIM-330
Severity
Warning
Description
Ungrouping this instance would change the timing exception requirements of this design.
What's Next
In case you are setting the 'ui_respects_preserve' attribute to 'false' to allow netlist editing
commands to modify preserved instances, then the exception will be deleted.
TIM-332
Severity
Warning
Description
Removing a clock generation point.
What's Next
If this clock generation point is being removed unexpectedly, you should investigate the cause for
its removal. Possible causes would be that an instance in the design is being deleted, or a
hierarchical instance is being ungrouped.
TIM-333
Severity
Warning
Description
Ungrouping an instance will cause case values on its pins to be deleted.
TIM-334
Severity
Warning
Description
Deleting case value on pin.
TIM-337
Severity
Warning
Description
Waveform generation for a clock object has encountered an issue. The clock does not have a valid
waveform. This might be caused by unexpected arguments specified for create_generated_clock
command, for example negative value for -divide_by or -multiply_by options.
What's Next
Please check the values specified for create_generated_clock command options on the
corresponding clock definition.
TIM-402
Severity
Warning
Description
Cannot find libcell for some delay_corners.
What's Next
The libraries are not consistent among delay corners.
99
TSY
TSY-366
TSY-367
TSY-368
TSY-369
TSY-370
TSY-371
TSY-372
TSY-373
TSY-374
TSY-376
TSY-377
TSY-378
TSY-379
TSY-380
TSY-381
TSY-383
TSY-384
TSY-385
TSY-386
TSY-387
TSY-388
TSY-389
TSY-390
TSY-392
TSY-393
TSY-395
TSY-400
TSY-401
TSY-460
TSY-464
TSY-465
TSY-500
TSY-501
TSY-502
TSY-503
TSY-504
TSY-505
TSY-506
TSY-559
TSY-560
TSY-900
TSY-26
Severity
Error
Description
Cannot use reserve words as port names.
What's Next
Ensure that all port names are not reserved words (i.e. in BsdlReservewords list).
TSY-32
Severity
Error
Description
Top module name cannot be identified.
What's Next
The command requires that a design is loaded and that a unique top module name can be
identified and currently, one cannot be. One option is to specify the global keyword
'TOP_MODULE_NAME' in the speclist in order to generate a proper BSDL file and one has not
been specified.
TSY-56
Severity
Error
Description
Unable to identify and/or understand iocell on TAP port.
What's Next
Error during iocell identification for the specified TAP port. The iocell for a TAP port is essential for
the boundary scan logic to be inserted correctly.
TSY-57
Severity
Error
Description
Did not find the correct iocell connected to the tap port.
What's Next
For a TAP port, it is essential to have an iocell, of the correct cell type, connected to it. Specifically,
the correct pad cell types for JTAG input ports (tdi, tms, tck, trst) are: input only or bidir I/O whose
pad cell is configured into input mode during test. The correct pad cell types for the JTAG tdo port
are: three-state, or bidir I/O whose pad cell is configured into output mode during test. If the pad cell
type is incorrect, you must edit the pad cell type in the netlist. If the iopad cell is missing, you can
specify the pad cell to be inserted during boundary scan by setting the attribute 'cell' for the JTAG
port.
TSY-59
Severity
Error
Description
TAP port of required type not found.
What's Next
If a TAP port is not present in the design or has not been specified through the inputs (command-
line or IOSpecList) then Boundary Scan connections will be incomplete since there is no way of
knowing which iocell to use for that port. Hence the TAP port name and corresponding iocell to be
instantiated in the design must be specified in the input IOSpecList. Specify the TAP port
information in either the input IOSpecList or the command line and rerun the
add_jtag_boundary_scan command.
TSY-60
Severity
Error
Description
Incorrect iocell for TAP port.
What's Next
TAP ports need to have a specific type of iocell on them. A TDO TAP port must have a tri-state
iocell on it, whereas the other TAP ports must have an iocell capable of input mode. Specify the
correct iocell on the specified TAP port in the input IOSpecList and rerun the
add_jtag_boundary_scan command.
TSY-61
Severity
Error
Description
What's Next
Every functional port on the design must have an iocell connected to it and this iocell must then be
connected to the functional logic. The specified port is connected to a pin on the iocell that does not
have the liberty attribute is_pad=true. Ensure the iocell pin connected to the port has the liberty
attribute is_pad=true on it.
TSY-63
Severity
Error
Description
Boundary scan processing terminated.
What's Next
To continue, you must either exclude the ports in Table 2 by using the '-exclude_ports' option of the
'add_jtag_boundary_scan' command, or they must be excluded by specifying the 'bdy_use=none,
sys_use=none, test_use=none' keywords for each port in the input IOspeclist file, or you must
correct the errors for these ports in the design.
TSY-100
Severity
Error
Description
What's Next
To insert the JTAG_Macro, set the 'preserve' attribute on the instance to 'false'.
TSY-101
Severity
Error
Description
Did not specify a custom cell directory.
What's Next
Rerun the 'add_jtag_boundary_scan' command and specify a custom boundary cell directory, in
which the Verilog RTL files for the custom boundary cells reside, using the '-custom_cell_directory'
option.
TSY-102
Severity
Error
Description
Cannot insert the JTAG_Macro.
What's Next
To insert the JTAG_Macro, set the 'preserve' attribute on the parent module to 'false'.
TSY-103
Severity
Error
Description
Could not write out BSDL file.
What's Next
Boundary scan logic is inserted using the 'add_jtag_boundary_scan' command, while a standalone
JTAG_MACRO is inserted using the 'add_jtag_macro' command.
TSY-104
Severity
Error
Description
Could not define test signal as compliance enable signal.
What's Next
Only dedicated test or functional-only ports can be defined as a compliance enable signal.
TSY-105
Severity
Error
Description
TAP port redefined with different port.
What's Next
The TAP port should have the same top level port for each type if redefined.
TSY-108
Severity
Error
Description
Could not insert the 'JTAG_MACRO'.
What's Next
To insert the 'JTAG_MACRO' logic, an empty module description (with port definitions) must be
read for the JTAG_MACRO design. Additionally, the empty module description cannot be viewed
as a logic abstract model. Hence, the root-level attribute 'infer_unresolved_from_logic_abstract'
must be set to 'false' prior to reading in the RTL.
TSY-109
Severity
Error
Description
Could not find a mandatory pin on the 'JTAG_MACRO' instance.
What's Next
Since an instance of a JTAG_MACRO exists in the design, the Genus-DFT engine expects that it
has all of the required pins that would exist for the Genus-generated JTAG Macro built with the
mandatory JTAG instructions.
TSY-365
Severity
Error
Description
The iocell on the TDO TAP port must be either BIDIR or OUTPUT3.
What's Next
Specify a BIDIR or an OUTPUT3 iocell on the TDO TAP port in the input IOSpecList and rerun the
add_jtag_boundary_scan command.
TSY-366
Severity
Error
Description
An iocell has not been found on the TDO TAP port.
What's Next
There must be an iocell on the TDO TAP port. Specify a BIDIR or an OUTPUT3 iocell on the TDO
TAP port in the input IOSpecList and rerun the add_jtag_boundary_scan command.
TSY-367
Severity
Error
Description
Unable to determine the polarity of the enable pin on an iocell.
What's Next
Specify the polarity of the enable pin on BIDIR or OUTPUT3 cells by using the IOSpecList keyword
'iocell_enable'. Prefix the value with the '!' character to specify active low logic.
TSY-368
Severity
Error
Description
Enable pin for iocell has different polarities for different ports of the design.
What's Next
All ports controlled by the enable port must have the same enable polarity. Ensure that all ports
controlled by the enable port have the same enable polarity.
TSY-369
Severity
Error
Description
Unable to determine hookup pin.
What's Next
The specified pin on the JTAG_MACRO needs to be connected to a top level port. Either the top
level port does not have an iocell, or it is a complex iocell whose pins need to be defined in the
speclist using the IOCELL_INPUT, IOCELL_OUTPUT, etc. keywords. Ensure that the top level port
exists, has a iocell on it, and the iocell is understood by the command.
TSY-370
Severity
Error
Description
The specified pin on the JTAG_MACRO needs to be connected to a top level port.
What's Next
Even though the top level port has the specified keyword, it also needs to satisfy the specified
condition. Since the condition is not satisfied the connection will not be made. Ensure that the top
level port exists, has the specified keyword and satisfies the specified condition.
TSY-371
Severity
Error
Description
The specified pin on the JTAG_MACRO needs to be connected to a top level port that satisfies the
specified condition.
What's Next
There is no port in the design that satisfies the specified condition. Hence the connection is not
made. Ensure that a port exists in the IOSpecList satisfying the specified condition.
TSY-372
Severity
Error
Description
Unable to determine core side iocell hookup pin.
What's Next
The specified pin on the JTAG_MACRO needs to be connected to a top level port. Either the top
level port does not have an iocell, or it is a complex iocell whose pins need to be defined in the
IOSpecList using the IOCELL_INPUT, IOCELL_OUTPUT, etc. keywords. Ensure that the top level
port has a iocell on it, and the iocell is understood by the command.
TSY-373
Severity
Error
Description
Could not locate required port on the design.
What's Next
The specified pin on the JTAG_MACRO needs to be connected to the specified top level port. But
there is no port specified in the speclist. Hence the connection is not made. Ensure that the port is
specified in the speclist for the connection to be made.
TSY-374
Severity
Error
Description
Unable to determine core side pin for JTAG port.
What's Next
The specified pin on the JTAG_MACRO needs to be connected to the specified pin type on the
TAP port. But the command is unable to trace through the design and identify the correct pin on the
iocell. This could be because the iocell is not present in the design or it is a complex iocell whose
pins need to be identified using the IOCELL_INPUT, IOCELL_OUTPUT, etc. global keywords in
the speclist. Ensure that the iocell exists in the design and its pins are specified in the speclist if
necessary.
TSY-376
Severity
Error
Description
The 'JTAG_MACRO' instance contains pins that are not on the module.
What's Next
The 'JTAG_MACRO' instance cannot have more pins than the module. If the module has more pins
than the instance, then the Genus-DFT engine will add the additional pins to the instance.
TSY-377
Severity
Error
Description
A mandatory pin was not found on the boundary cell.
What's Next
The specified pin needs to be present on the boundary cell. The list of pins that need to be present
on the specified boundary cell can be found in the 'Design For Test Guide'. Note that the boundary
cell can have more pins on it than needed. Update the Verilog for the boundary cell to ensure that
the pin exists on the boundary cell.
TSY-378
Severity
Error
Description
There was an error while elaborating the boundary cell.
What's Next
Errors were encountered while elaborating the specified boundary cell. If needed, fix the errors by
updating the Verilog for the boundary cell and rerun the command.
TSY-379
Severity
Error
Description
Could not load custom boundary cell.
What's Next
To proceed, ensure that the Verilog file for the custom boundary cell exists in the custom boundary
cell directory prior to inserting the boundary-scan logic.
TSY-380
Severity
Error
Description
Pin JTAG_POR on the JTAG_MACRO could not be located.
What's Next
Ensure that the JTAG_POR pin exists on the JTAG_MACRO if a power-on-reset is being used to
reset the TAP controller.
TSY-381
Severity
Error
Description
An unsupported I/O cell has been detected.
What's Next
I/O cells with multiple pad pins are not supported by the boundary scan insertion engine. To
continue with boundary scan insertion, you must specify the port as an exclude port using the '-
exclude_ports' option to the 'add_jtag_boundary_scan' command.
TSY-383
Severity
Error
Description
An instance named JTAG_MODULE has been detected.
What's Next
The instance named 'JTAG_MODULE' has been reserved for instances of the subdesign
'JTAG_MACRO'. If you want to use this instance as the JTAG controller for the design, then define it
as a pre-instantiated JTAG_MACRO using the 'define_jtag_macro' command. To define a new
JTAG Macro and use it as the master controller for the design, specify the root level attribute
'dft_jtag_instance_name' with a name other than 'JTAG_MODULE'. This attribute should be
specified prior to inserting the JTAG Macro using either 'add_jtag_macro' or
'add_jtag_boundary_scan' commands.
TSY-384
Severity
Error
Description
Support for features outside the scope of the IEEE 1149.1 architecture have been requested.
What's Next
To specify an IEEE 1149.6 boundary-scan architecture, either change the design-level attribute
'boundary_type' to 'IEEE_11496' or pass the '-boundary_type IEEE_11496' option to the
'add_jtag_boundary_scan' command. For IEEE 1149.1 boundary-scan design, set the 'aio_pin'
attribute on all ports in the 'jtag_ports' vdir to 'false'.
TSY-385
Severity
Error
Description
What's Next
If the desired behavior is to have an ACTR boundary cell on the port, then there must be a 'tr_cell'
attribute on the port in the 'jtag_ports' vdir and the 'sys_use' attribute must be either 'input' or 'clock'
or 'bidir'.
TSY-386
Severity
Error
Description
Missing 'tr_bdy_in' specification.
What's Next
If the intent is to insert a BC_11496_ACTR boundary cell on this port, set the 'tr_bdy_in' attribute to
the appropriate 'instance/pin' value for the port in the 'jtag_ports' vdir. If there is no TR cell
associated with the port, set the 'tr_cell' attribute to 'NULL'.
TSY-387
Severity
Error
Description
What's Next
Ports with a tr_cell must have a 'sys_use' attribute value of either 'input' or 'clock' or 'bidir' in the
'jtag_ports' vdir.
TSY-388
Severity
Error
Description
Required pin is missing.
What's Next
To perform boundary-scan insertion, the pins associated with the '-mode_a, -mode_b, -mode_c, -
bsr_shiftdr, -bsr_clockdr, -bsr_updatedr' options to the 'define_jtag_macro' command must be
specified. To perform IEEE 1149.6 boundary-scan insertion, the pins associated with '-
dot6_acdcsel, -dot6_preset_clock, -dot6_trcell_enable, -dot6_acpulse' options will additionally
have to be specified to 'define_jtag_macro'. Either remove the user-defined JTAG_Macro, and then
redefine it and specify the pins for the missing options, or set the associated attribute to specify the
missing pins on the JTAG_Macro object.
TSY-389
Severity
Error
Description
Test receiver cell is not embedded.
What's Next
The 'add_jtag_boundary_scan' command expects that the test receiver cell is embedded within the
I/O cell macro. The only supported value for the 'tr_cell' attribute is 'embedded'.
TSY-390
Severity
Error
Description
There is no net on which to insert boundary cell.
What's Next
Ensure that the insertion point for all boundary cells are connected to some logic in the netlist.
TSY-392
Severity
Error
Description
What's Next
JTAG ports with standard pin names 'tdi', 'tdo', 'tck', 'tms', and 'trst' that exist in the design or are
created using an IOSpeclist flow will prevent the creation of new ports using the '-create_ports'
option to the 'add_jtag_macro' command.
TSY-393
Severity
Error
Description
Could not trace through the pad logic connected to the TRST port.
What's Next
Use the 'fix_pad_cfg' command to configure the pad into input mode. The core-side pin of the pad
logic needs to evaluate to either a buffer or inverter function of the PAD pin in test mode. If the tool
cannot identify the from-core, to-core, and three-state enable pins of the lib_cell, you can specify
these pins using the 'user_from_core_data', 'user_to_core_data', and 'user_from_core_enable'
attributes respectively.
TSY-395
Severity
Error
Description
What's Next
Ports feeding non-differential I/O cells with multiple PAD pins must have unique boundary-scan cell
hookup pins. To proceed, remove the 'jtag_ports' vdir and then specify these pins using the
instance-level 'user_from_core_data', 'user_to_core_data', and 'user_from_core_enable' attributes.
Additionally, differential PAD pin pairs must be identified using the 'user_differential_negative_pin'
attribute.
TSY-400
Severity
Error
Description
Cannot insert boundary-scan cell.
What's Next
Ensure that such an instance exists in the design.
TSY-401
Severity
Error
Description
Cannot insert boundary-scan cell.
What's Next
To use this location, set the attribute preserve or inherited preserve of this object to false or set the
root level attribute ui_respects_preserve to false.
TSY-460
Severity
Error
Description
Could not write out IOSpecList file.
What's Next
Boundary scan information can be defined by running the 'add_jtag_boundary_scan' command with
the '-preview' option, by reading in an IOSpecList input file using the 'read_dft_jtag_boundary_file'
command, and by defining the JTAG instruction information using 'define_jtag_instruction' and
'define_jtag_instruction_register' commands.
TSY-464
Severity
Error
Description
The specified global does not have a keyword and value specified with it.
What's Next
A global keyword must have both a keyword and a value specified and they must be separated by
an '=' sign. Ensure that all globals have both a keyword and a value separated by an '=' sign.
TSY-465
Severity
Error
Description
Incorrect speclist line.
What's Next
A speclist must consist of either ports, global variables or
BSDL_INLINE|JTAG_INLINE|WRAPPER_INLINE sections. Ensure that all globals, ports and
BSDL_INLINE|JTAG_INLINE|WRAPPER_INLINE sections are specified in the appropriate
formats.
TSY-500
Severity
Error
Description
The BSDL abstract was not specified in the correct format.
What's Next
Respecify the BSDL abstract in the correct format.
TSY-501
Severity
Error
Description
The boundary-scan segment definition is incomplete.
What's Next
Specify the BSDL abstract using the '-bsdl_file' option.
TSY-502
Severity
Error
Description
The boundary-scan segment definition includes an incomplete differential_pair specification.
What's Next
Specify all differential pin pairs on the boundary-scan segment, with the positive leg of the pair
followed by the negative leg.
TSY-503
Severity
Error
Description
Reference made to undefined boundary-scan segment.
What's Next
Ensure that a boundary-scan segment specified for a port has been defined using the
'define_jtag_boundary_scan_segment' command.
TSY-504
Severity
Error
Description
Pin specified in BSDL abstract file was not found on boundary-scan segment.
What's Next
Ensure that pin names specified in the BSDL abstract file are present on the module, instance, or
lib_cell on which the boundary-scan segment was defined.
TSY-505
Severity
Error
Description
Pin specified on boundary-scan segment does not connect to a top-level port.
What's Next
Ensure that the pins specified in the BSDL abstract and the differential pairs specification for a
boundary-scan segment connect to a top-level port.
TSY-506
Severity
Error
Description
Functional intent of a port connected to a boundary-scan segment cannot be determined.
What's Next
If the port is the negative leg of a differential port pair, you must define the boundary-scan segment
by identifying the segment-specific pins associated with the differential port pair using the '-
differential_pairs' option. To proceed, remove the boundary-scan segment and redefine the
segment to also include the differential pin pairs by specify the '-differential_pairs' option to the
'define_jtag_boundary_scan_segment' command. Alternatively, you can set the 'differential'
attribute for the port associated with the positive leg of the differential port pair with its
corresponding negative-leg port. Afterwards, rerun boundary scan insertion using the
'add_jtag_boundary_scan' command.
TSY-559
Severity
Error
Description
JTAG instruction register is not defined.
What's Next
Boundary-scan and MBIST logic insertion require the JTAG instruction register to be defined. Use
the 'define_jtag_instruction_register' command to define the instruction register.
TSY-560
Severity
Error
Description
What's Next
To use an existing JTAG_MACRO module, the following mandatory instructions 'extest', 'sample',
'preload', and 'bypass' must be defined for an IEEE 1149.1 compliant boundary-scan design. The
'extest_pulse' and 'extest_train' instructions must also be defined for an IEEE 1149.6 compliant
boundary-scan design. If the existing JTAG_MACRO was built with custom or user-defined
instructions, these instructions should also be defined. You must first define the JTAG instruction
register using the 'define_jtag_instruction_register' command, prior to defining the JTAG
instructions using the 'define_jtag_instruction' command.
TSY-900
Severity
Error
Description
Cannot write out BSDL for the design.
What's Next
There are no jtag ports and/or jtag instructions defined. Define the jtag ports and jtag instructions
using the add_jtag_boundary_scan and define_jtag_instruction commands respectively.
Alternatively read in a IOSpecList with the required information and then rerun the write_dft_bsdl
command.
TSY-812
TSY-813
TSY-814
TSY-107
Severity
Info
Description
Processing existing instance of the JTAG_MACRO.
What's Next
If the JTAG_MACRO module has no logic content, the Genus-DFT engine will build a default
JTAG_MACRO with support for all of the user-defined JTAG instructions. If the JTAG_MACRO
contains logic, the Genus-DFT engine will check to make sure that it has all of the required pins that
would exist for the Genus-generated JTAG Macro built with the mandatory JTAG instructions.
TSY-110
Severity
Info
Description
Adding a pin to the 'JTAG_MACRO' instance.
What's Next
Since the 'JTAG_MACRO' module has more pins than the instance, the Genus-DFT engine will
add the additional pins to the instance. The instance cannot have more pins than the module,
otherwise the tool will terminate.
TSY-111
Severity
Info
Description
Excluded port from boundary scan insertion.
What's Next
Ports associated with pad cells that have more than one pin with its 'is_pad' attribute set to 'true' are
excluded from boundary scan insertion.
TSY-812
Severity
Info
Description
IOSpecList data erased.
What's Next
IOSpecList of the specified type was successfully erased from the memory.
TSY-813
Severity
Info
Description
IOSpecList file read.
What's Next
IOSpecList of the specified type was successfully read into memory.
TSY-814
Severity
Info
Description
IOSpecList file written.
What's Next
IOSpecList of the specified type was successfully written to the specified file.
TSY-37
Severity
Warning
Description
No JTAG Instructions defined.
What's Next
A set of JTAG instructions has not been defined and therefore, no BSDL file will be generated. In
order to generate a BSDL file, define a set of JTAG instructions using the 'define_jtag_instruction'
command and also be sure to define the ports that will have boundary cells inserted on them upon
execution of 'add_jtag_boundary_scan'.
TSY-106
Severity
Warning
Description
Removed a JTAG port.
What's Next
The options '-tck, -tdi, -tdo, -tms, -trst' of the 'add_jtag_boundary_scan' command have precedence,
and may result in the removal of existing JTAG ports when their previous definitions are
inconsistent with the usage of the port specified with its TAP specific option.
TSY-382
Severity
Warning
Description
Clock gating macros cannot be mapped to clock gating integrated cells.
What's Next
Clock gating integrated cell of type 'latch_posedge_precontrol' not found in target libraries.
TSY-391
Severity
Warning
Description
Missing pin on test receiver cell.
What's Next
Ensure that all test receiver cells that require boundary cell insertion have the required test receiver
'trcell_acmode' pin and either a 'trcell_clock' or 'trcell_lsen' pin specified. Boundary scan verification
will fail without control of these pins.
TSY-394
Severity
Warning
Description
Found an I/O cell keyword in speclist file.
What's Next
Instead of using the I/O cell speclist keywords, the preferred approach is to use the instance-level
'user_from_core_data', 'user_to_core_data', and 'user_from_core_enable' attributes to identify these
pins for I/O cells with single or multiple PAD pins. The instance-level attributes will take precedence
over the speclist keywords.
TSY-396
Severity
Warning
Description
Clock gating macros cannot be mapped to user defined clock gating integrated cells.
What's Next
User defined clock gating integrated cell is not of type 'latch_posedge_precontrol'.
TSY-402
Severity
Warning
Description
Unconnected pin 'JTAG_MODULE/JTAG_ENABLE_TDO'.
What's Next
The JTAG Macro will be inserted without pad logic. Having also specified the additional option '-
dont_create_dft_tdo_enable_port', the DFT_TDO_ENABLE port will not be created. Hence, the
'JTAG_MODULE/JTAG_ENABLE_TDO' pin which would normally be connected to this port will be
left unconnected in the design. To pass boundary scan verification, it is the user's responsibility to
connect the 'JTAG_MODULE/JTAG_ENABLE_TDO' pin to the appropriate logic in the design to
control the three-state pin of the JTAG TDO pad.
TSY-471
Severity
Warning
Description
No PAD or PINMAP information provided.
What's Next
The PIN_MAP_STRING attribute in the BSDL file maps a design port to its physical package pin.
This information needs to be provided through either the PAD or PINMAP keywords in the
IOSpecList file or through the Pinmap file. Since this information was not provided, the specified
attribute in the BSDL file will be left unassigned. Specify the PAD or PINMAP information if the
PIN_MAP_STRING attribute must be assigned in the BSDL.
TSY-472
Severity
Warning
Description
Unable to determine pin on I/O cell.
What's Next
Identify the input, output, and enable pins of the I/O cell by setting the following attributes , on the I/O
cell instance/pin that has the liberty attribute 'is_pad', set to 'true'. Set the 'user_from_core_data'
attribute to the input pin name value, the 'user_to_core_data' attribute to the output pin name value,
and the 'user_from_core_enable' attribute to the enable pin name value.
TSY-473
Severity
Warning
Description
IEEE 1149.1 TRST port not found.
What's Next
The TRST port is not a mandatory TAP port. But it is necessary to ensure that during power-on
reset, the TAP controller starts in a known state. If this port is not present then the user must connect
the JTAG_POR pin on the TAP controller to a power-on reset signal to ensure predictable behavior.
If the TRST port was intentionally omitted, then no response is needed. But if this was not the
intention, then the TRST port must be specified and the command rerun.
TSY-474
Severity
Warning
Description
IEEE 1149.1 TRST port defined as active high test_mode.
What's Next
The TRST port is not a mandatory TAP port. But if present, it is supposed to be defined as an active
low test signal.
TSY-477
Severity
Warning
Description
Unable to determine functional use of iocell instance.
What's Next
All the functional pins on an iocell instance must have nets attached to them and these nets must be
present and hooked up at the top-level of the design. A boundary cell will only be inserted on a
functional net existing at the top-level of the design. Ensure the iocell is functionally connected to
the core logic and the functional nets connected to the iocell must be present in the top-level of the
design.
TSY-478
Severity
Warning
Description
Unable to determine iocell connected to port.
What's Next
Every functional port on the design must have an iocell connected to it and this iocell must then be
connected to the functional logic. The specified port either does not have an iocell connected to it,
or else the connected iocell was not recognized. The port must be connected to a pin on the iocell
that has the liberty attribute is_pad=true. Ensure an iocell is functionally connected to the port and
the core logic. Ensure the iocell pin connected to the port has the liberty attribute is_pad=true on it.
TSY-479
Severity
Warning
Description
What's Next
Every functional port on the design must have an iocell connected to it and this iocell must then be
connected to the functional logic. The specified port is connected to a pin on the iocell that does not
have the liberty attribute is_pad=true. Ensure the iocell pin connected to the port has the liberty
attribute is_pad=true on it.
TSY-482
Severity
Warning
Description
Unable to determine functional use of port.
What's Next
All the functional pins on an iocell instance must have nets attached to them and these nets must be
present and hooked up at the top-level of the design. A boundary cell will only be inserted on a
functional net existing at the top-level of the design. Ensure the iocell is functionally connected to
the core logic and the functional nets connected to the iocell must be present in the top-level of the
design.
TSY-483
Severity
Warning
Description
JTAG_TRST pin on JTAG_MACRO is unconnected.
What's Next
The JTAG_TRST pin on the JTAG_MACRO must ideally be connected to a top-level TRST port, to
ensure that during power-on reset, the TAP controller starts in a known state. Alternatively, a power-
on reset signal can be connected to the JTAG_POR pin on the JTAG_Macro to reset the TAP
controller. If neither of these options are available, both the JTAG_TRST pin and the JTAG_POR
pin will be tied to their inactive value of logic 1. If the JTAG_TRST pin is desired to be tied to logic 1
value, then no response is needed. But if this is not the intention, then either a top-level TRST port
or a power-on reset signal must be specified to the 'add_jtag_boundary_scan' command.
TSY-484
Severity
Warning
Description
Core side pin on iocell already connected to TAP port.
What's Next
The core side pin of the iocell on the specified TAP port is already hooked up to some net. Hence it
is assumed that the specified TAP port is already connected either to a JTAG_MACRO pin or to
some other controlling logic. Hence no additional connections will be made. If the existing
connection is correct, then no response is needed. If not, then ensure that there is no net connected
to the core side pin of the iocell on the specified port.
TSY-486
Severity
Warning
Description
The TDO port on the TAP controller is already connected.
What's Next
The core side pin of the iocell on the specified TAP port is already hooked up to some net. Hence it
is assumed that the specified TAP port is already connected either to a JTAG_MACRO pin or to
some other controlling logic. Hence no additional connections will be made. Ensure that the
existing connection is correct. The user must manually connect the specified iocell pin to the
JTAG_MACRO.
TSY-487
Severity
Warning
Description
Already connected core pin.
What's Next
The core pin of the iocell on the TDO TAP port is currently connected to the core or some other
logic. The preserve_tdo_connection command line option was not specified and therefore the
existing connection will be broken and the iocell pin will be connected to the JTAG_MACRO.
Ensure that the existing connection is correct.
TSY-550
Severity
Warning
Description
Port already specified with another keyword.
What's Next
The keyword value specified in the speclist will be overwritten with a new value obtained from
information inferred from the command line. Ensure that the information in the speclist properly
matches the options specified on the command line.
TSY-589
Severity
Warning
Description
Port must have a sys_enable port defined.
What's Next
The sys_enable attribute is required for jtag_ports with bidirectional or tristate pads. The
sys_enable pin controls the enable pin of bidirectional and tristate pads. System or functional I/O
enable pins can be driven either from internal core logic or from another signal coming on-chip
through a top-level port.
TSY-815
Severity
Warning
Description
Ignoring port specified in IOSpecList.
What's Next
Tap ports which are already defined will be ignored if present in the IOSpecList.
100
TUI
TUI-23
TUI-24
TUI-26
TUI-27
TUI-28
TUI-33
TUI-35
TUI-36
TUI-38
TUI-39
TUI-40
TUI-41
TUI-44
TUI-45
TUI-46
TUI-47
TUI-48
TUI-49
TUI-50
TUI-52
TUI-53
TUI-55
TUI-56
TUI-60
TUI-61
TUI-62
TUI-63
TUI-64
TUI-65
TUI-66
TUI-68
TUI-71
TUI-72
TUI-73
TUI-76
TUI-80
TUI-81
TUI-84
TUI-87
TUI-88
TUI-89
TUI-90
TUI-91
TUI-95
TUI-96
TUI-97
TUI-100
TUI-101
TUI-102
TUI-112
TUI-160
TUI-170
TUI-171
TUI-172
TUI-180
TUI-181
TUI-182
TUI-183
TUI-184
TUI-185
TUI-186
TUI-187
TUI-188
TUI-189
TUI-191
TUI-192
TUI-193
TUI-194
TUI-195
TUI-196
TUI-198
TUI-199
TUI-200
TUI-201
TUI-202
TUI-203
TUI-204
TUI-206
TUI-209
TUI-210
TUI-214
TUI-219
TUI-221
TUI-222
TUI-223
TUI-225
TUI-226
TUI-227
TUI-228
TUI-229
TUI-230
TUI-231
TUI-232
TUI-233
TUI-234
TUI-235
TUI-236
TUI-238
TUI-239
TUI-240
TUI-241
TUI-242
TUI-243
TUI-244
TUI-245
TUI-248
TUI-250
TUI-251
TUI-252
TUI-254
TUI-256
TUI-257
TUI-258
TUI-259
TUI-260
TUI-261
TUI-262
TUI-263
TUI-264
TUI-267
TUI-270
TUI-271
TUI-272
TUI-274
TUI-275
TUI-282
TUI-283
TUI-285
TUI-286
TUI-289
TUI-290
TUI-291
TUI-292
TUI-293
TUI-294
TUI-300
TUI-301
TUI-303
TUI-304
TUI-309
TUI-310
TUI-312
TUI-313
TUI-315
TUI-320
TUI-321
TUI-340
TUI-393
TUI-396
TUI-397
TUI-398
TUI-500
TUI-505
TUI-506
TUI-509
TUI-600
TUI-601
TUI-603
TUI-604
TUI-608
TUI-614
TUI-615
TUI-711
TUI-713
TUI-723
TUI-724
TUI-732
TUI-736
TUI-741
TUI-742
TUI-772
TUI-786
TUI-802
TUI-892
TUI-901
TUI-902
TUI-903
TUI-904
TUI-905
TUI-906
TUI-908
TUI-909
TUI-6
Severity
Error
Description
A Tcl command has encountered an error.
What's Next
Check the syntax of the command and rerun. If the generated message states: Error reading 'file19'.
Stale remote file handle while executing 'get $file_input_line', the most probable cause is that the
Genus run scripts have been modified during Genus run. You should never modify the main script
during Genus run.
TUI-7
Severity
Error
Description
Tcl 'set' command has encountered an error.
What's Next
Check the syntax and rerun.
TUI-8
Severity
Error
Description
Tcl interpreter encountered an invalid command.
What's Next
Check the syntax and rerun.
TUI-9
Severity
Error
Description
Tcl command has wrong number of arguments.
What's Next
Check the syntax and rerun.
TUI-10
Severity
Error
Description
No designs are available. A design must first be read in and elaborated.
What's Next
A design must first be read in with 'read_hdl' command and elaborated with 'elaborate' command.
TUI-11
Severity
Error
Description
Value must not be negative.
What's Next
Specify a non-negative number.
TUI-12
Severity
Error
Description
Value must be greater than zero.
What's Next
Specify a positive number.
TUI-13
Severity
Error
Description
Value is too large.
What's Next
Specify a smaller number.
TUI-14
Severity
Error
Description
One of the following options must be specified.
What's Next
At least one option must be specified.
TUI-15
Severity
Error
Description
Only one of the following options may be specified.
What's Next
Only one option can be specified.
TUI-16
Severity
Error
Description
Invalid attribute value.
What's Next
To see the usage/description for this attribute, type '::legacy::set_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-17
Severity
Error
Description
Multiple designs are available. Specify the design you want to use.
What's Next
Specify a design by using the cd command to change to that design's directory or specify the design
as an argument for the command.
TUI-18
Severity
Error
Description
A badly formed Tcl list was specified.
What's Next
Consult a Tcl reference for information about Tcl lists.
TUI-19
Severity
Error
Description
The object for this attribute is missing or invalid.
What's Next
To see the usage/description for this attribute, type '::legacy::set_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-20
Severity
Error
Description
Invalid attribute name.
What's Next
Use '::legacy::get_attribute -h' (in legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode) to
see a list of all valid attributes.
TUI-21
Severity
Error
Description
Attribute not set.
What's Next
Cannot set the attribute value.
TUI-22
Severity
Error
Description
The 'map_to_mux' attribute can only be set on a binary mux instance.
What's Next
Use the 'map_to_mux' pragma in the HDL to force the binary mux implementation.
TUI-23
Severity
Error
Description
Failed to parse attribute string.
What's Next
To see the usage/description for this attribute, type '::legacy::set_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-24
Severity
Error
Description
The data value for this attribute is invalid.
What's Next
To see the usage/description for this attribute, type '::legacy::set_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-26
Severity
Error
Description
The attribute is read-only.
What's Next
Cannot set or reset read-only attributes.
TUI-27
Severity
Error
Description
The attribute name is ambiguous.
What's Next
To see the usage/description for this attribute, type '::legacy::set_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-28
Severity
Error
Description
Invalid obj_type name.
What's Next
Use 'is_attribute -h' to see a list of all valid object types.
TUI-33
Severity
Error
Description
A flagged command option was incorrectly repeated.
What's Next
Check the command usage and correct the input to the command.
TUI-35
Severity
Error
Description
The given Tcl list is the wrong length.
What's Next
Consult a Tcl reference for information about Tcl lists.
TUI-36
Severity
Error
Description
A null string command option was found.
What's Next
This command only accepts non-null string values for the indicated option.
TUI-38
Severity
Error
Description
Invalid object for attribute.
What's Next
To see the usage/description for this attribute, type '::legacy::reset_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-39
Severity
Error
Description
Invalid or missing object for the attribute.
What's Next
To see the usage/description for this attribute, type '::legacy::get_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-40
Severity
Error
Description
Invalid attribute name.
What's Next
Use '::legacy::set_attribute -h' (in legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode) to
see a list of all valid attributes that can be set.
TUI-41
Severity
Error
Description
Invalid attribute name.
What's Next
Use '::legacy::reset_attribute -h' (in legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode) to
see valid attributes.
TUI-44
Severity
Error
Description
Bad object type for attribute.
What's Next
A wrong object type was specified for accessing the attribute.
TUI-45
Severity
Error
Description
Multiple object types for attribute.
What's Next
Only one must be specified.
TUI-46
Severity
Error
Description
Bad data type for attribute.
What's Next
The wrong data type was specified for setting the attribute value.
TUI-47
Severity
Error
Description
Duplicate name for attribute.
What's Next
Another attribute already exists with the same name but different parameters. Choose a different
name.
TUI-48
Severity
Error
Description
The attribute or the value for this attribute is not allowed at this moment.
What's Next
Cannot change the value of the attribute.
TUI-49
Severity
Error
Description
The Tcl proc option has the wrong number of arguments or is undefined.
What's Next
The Tcl proc must have the correct number of arguments and be defined before calling the
'define_attribute' command.
TUI-50
Severity
Error
Description
Bad path.
What's Next
A bad path was supplied to a command.
TUI-52
Severity
Error
Description
Cannot remove object.
What's Next
Use 'delete_obj -help' to see the list of valid removable object types.
TUI-53
Severity
Error
Description
Cannot rename/move object.
What's Next
Only certain object-types allow renaming.
TUI-55
Severity
Error
Description
Failed to define the enum type attribute.
What's Next
If -possible_values is specified, need to provide one of -set_function or -check_function.
TUI-56
Severity
Error
Description
Directory stack is empty.
What's Next
'vpopd' command cannot be issued when the directory-stack is empty.
TUI-60
Severity
Error
Description
A required argument is missing for a flagged command option.
What's Next
Check the command usage and correct the input to the command.
TUI-61
Severity
Error
Description
A required object parameter could not be found.
What's Next
Check to make sure that the object exists and is of the correct type. The 'what_is' command can be
used to determine the type of an object.
TUI-62
Severity
Error
Description
A single object was expected, but multiple objects were found.
What's Next
Use the 'vfind' command to narrow the list down to a single object.
TUI-63
Severity
Error
Description
A given option flag matches multiple possibilities.
What's Next
A flag option can be abbreviated as long as it does not match multiple possibilities. Spell out more
of the desired flag so that it is unique.
TUI-64
Severity
Error
Description
A command argument did not match any of the acceptable command options.
What's Next
Check the command usage and correct the input to the command.
TUI-65
Severity
Error
Description
The file specified for redirection could not be opened for writing.
What's Next
Check to see whether the directory exists and is writable.
TUI-66
Severity
Error
Description
A command argument is of the wrong type.
What's Next
Check the command usage and correct the input to the command.
TUI-68
Severity
Error
Description
A command argument overflows the given type.
What's Next
Check the command value and correct the input to the command.
TUI-71
Severity
Error
Description
Failed to rename an object because the target name is already in use.
What's Next
Use 'mv -flexible' to allow the tool to choose a non-conflicting name or rename the object with the
desired name so that the target name is available.
TUI-72
Severity
Error
Description
Cannot find the specified design name.
What's Next
Check if the name you specified is the name of a top-level design.
TUI-73
Severity
Error
Description
Found multiple top-level designs.
What's Next
You must specify a single design in case multiple designs are loaded.
TUI-76
Severity
Error
Description
A super-thread server was not found.
What's Next
Specify super-thread servers that do actually exist.
TUI-80
Severity
Error
Description
The command is not licensed.
What's Next
Restart the tool with the appropriate license.
TUI-81
Severity
Error
Description
The attribute is not licensed.
What's Next
Restart the tool with the appropriate license.
TUI-84
Severity
Error
Description
The attribute name is not valid.
What's Next
Special characters are not allowed in the attribute name.
TUI-87
Severity
Error
Description
The 'mv' command only allows destination name to contain '/' if -slash_ok is specified.
What's Next
Either use -slash_ok, or use a name without the '/' character.
TUI-88
Severity
Error
Description
An invalid enum value has been specified.
What's Next
Check the command usage and correct the input to the command.
TUI-89
Severity
Error
Description
An ambiguous enum value has been specified.
What's Next
Check the command usage and correct the input to the command.
TUI-90
Severity
Error
Description
Invalid probability value.
What's Next
The probability value cannot be less than 0 or greater than 1.
TUI-91
Severity
Error
Description
Invalid toggle rate value.
What's Next
The toggle rate value cannot be less than 0.
TUI-95
Severity
Error
Description
Invalid value for option <-args>.
What's Next
Invalid value for option <-args>.
TUI-96
Severity
Error
Description
Cannot add option aliases for command.
What's Next
Because it is not an application command.
TUI-97
Severity
Error
Description
Cannot add option aliases for command.
What's Next
Because it is not an application command option.
TUI-100
Severity
Error
Description
A command argument is badly formatted.
What's Next
Check the command option formatting and correct the input to the command.
TUI-101
Severity
Error
Description
Invalid options to 'report_obj' command.
What's Next
Execute the command with one of the mutually exclusive options.
TUI-102
Severity
Error
Description
Unrecognized object.
What's Next
Check the object specified in the command.
TUI-112
Severity
Error
Description
Command option -collection requires synthesized design.
What's Next
Use the 'synthesize (-to_rtl|-to_generic|-to_mapped)' command to synthesize the design. Then retry
the command with option -collection.
TUI-160
Severity
Error
Description
Mutually exclusive options are specified.
What's Next
Check if more than one options that are mutually.
TUI-170
Severity
Error
Description
A command argument did not match any of the acceptable command options.
What's Next
Check the command usage and correct the input to the command.
TUI-171
Severity
Error
Description
Invalid value for '-depth' option.
What's Next
Specify an integer or a pair of integers for the '-depth' option.
TUI-172
Severity
Error
Description
Invalid object for '-depth' option.
What's Next
Valid root object types are 'design' and 'hinst'.
TUI-180
Severity
Error
Description
Evaluation for '-if/-expr' option failed.
What's Next
Check '-if/-expr' option.
TUI-181
Severity
Error
Description
Evaluation for '-foreach' option failed.
What's Next
Check '-foreach' option.
TUI-182
Severity
Error
Description
<Start> word is not recognized.
What's Next
Check if the given <Start> word is a valid object_type, object or attribute. For example, there are two
ways to access objects using get_db command: 1. get_db object_type pattern 2. get_db object
.attribute get_db attribute (This is a special case of case 2, applicable only to the root-level
attributes.) Check get_db/set_db command man page for more information.
TUI-183
Severity
Error
Description
Unrecognized attribute.
What's Next
To see the usage/description for this attribute, type 'help * <attr_name> -detail'.
TUI-184
Severity
Error
Description
<Start> word matches multiple object types or attributes.
What's Next
Check starting object string.
TUI-185
Severity
Error
Description
Invalid comparison.
What's Next
Refer to the Command Reference for details.
TUI-186
Severity
Error
Description
'current_design' has not been set.
What's Next
Use command 'set_top_module' to set 'current_design'.
TUI-187
Severity
Error
Description
Invalid root attribute category name.
What's Next
Refer to the Command Reference for details.
TUI-188
Severity
Error
Description
Invalid command options.
What's Next
Refer to the Command Reference for details.
TUI-189
Severity
Error
Description
Match multiple attributes.
What's Next
To see the usage/description for this attribute, type 'help * <attr_name> -detail'.
TUI-191
Severity
Error
Description
Command argument is not recognized.
What's Next
Refer to the Command Reference for details.
TUI-192
Severity
Error
Description
Argument 'value' is required for command 'set_db'.
What's Next
Refer to the Command Reference for details.
TUI-193
Severity
Error
Description
Attribute name is needed for command 'set_db'/'reset_db'.
What's Next
Refer to the Command Reference for details.
TUI-194
Severity
Error
Description
Invalid value for object attribute.
What's Next
Refer to the Command Reference for details.
TUI-195
Severity
Error
Description
Attribute data type is not acceptable.
What's Next
Refer to the Command Reference for details.
TUI-196
Severity
Error
Description
Incorrect order of command options.
What's Next
Refer to the Command Reference for details.
TUI-198
Severity
Error
Description
Two values are provided to set_db.
What's Next
Check the command usage. You need to put a '.' at the beginning of the chain.
TUI-199
Severity
Error
Description
The tool is running in legacy mode.
What's Next
The command is not supported.
TUI-200
Severity
Error
Description
File redirection failed. This command does not support file redirection.
What's Next
The 'redirect' command can be used instead. Try 'redirect -h'.
TUI-201
Severity
Error
Description
No objects were specified.
What's Next
At least one object must be specified.
TUI-202
Severity
Error
Description
A required argument was not specified.
What's Next
Rerun the command specifying all required arguments.
TUI-203
Severity
Error
Description
A filename was not specified. Rerun the command specifying a filename.
What's Next
Rerun the command specifying a filename.
TUI-204
Severity
Error
Description
An invalid option was specified.
What's Next
Run 'command_name -help' to check all valid options. To correct the option and rerun the
command.
TUI-206
Severity
Error
Description
The specified object cannot be removed.
What's Next
Verify that the intended object was specified correctly.
TUI-209
Severity
Error
Description
Cannot preserve partially unmapped or empty design or subdesign.
What's Next
You can only change the value of the 'preserve' attribute of a fully mapped non-empty design or
subdesign to one of the following values: true, false, size_ok, delete_ok, or size_delete_ok.To get
around, you can preserve the mapped instances within the partially mapped design/sub-design so
that the unmapped portion of the design/sub-design will get synthesized
TUI-210
Severity
Error
Description
Cannot preserve unmapped leaf instance.
What's Next
You can only change the value of the 'preserve' attribute of mapped, non-hierarchical instances to
one of the following values: true, false, size_ok, delete_ok, or size_delete_ok.
TUI-214
Severity
Error
Description
Cannot preserve partially mapped hierarchical instance.
What's Next
You can only change the value of the 'preserve' attribute of fully mapped, hierarchical instances to
one of the following values: true, false, size_ok, delete_ok, or size_delete_ok. You may try applying
a 'preserve' of map_size_ok to unmapped or partially mapped instances.
TUI-219
Severity
Error
Description
The mode specified does not match the design specified.
What's Next
The mode specified with the '-mode' option must be under the design specified with the clock
sources or '-design' option.
TUI-221
Severity
Error
Description
Multiple designs are available. Specify the design you want to use by either using the cd command
to go to the design directory or use the define_clock ?define option.
What's Next
You can specify a design by cd'ing into that design's directory, or by using the -design option of
define_clock.
TUI-222
Severity
Error
Description
Clock and port/pin objects must belong to the same design.
What's Next
Specify a clock in the same design as the port/pin.
TUI-223
Severity
Error
Description
Clock sources specified are in different designs. Specify clock sources in a single design.
What's Next
Only specify clock sources in a single design.
TUI-225
Severity
Error
Description
Clock sources do not belong to the design specified.
What's Next
If a design is specified using the -design option, it has to match the one that the clock sources
belong to.
TUI-226
Severity
Error
Description
A mode needs to be specified.
What's Next
In multi-mode timing, a mode must be specified using the -mode option.
TUI-227
Severity
Error
Description
Specified cost group name change is not permitted. The system default groups cannot be replaced
by user cost groups.
What's Next
System default group(s) cannot be replaced by user cost groups.
TUI-228
Severity
Error
Description
The -paths option argument was not created using the specify_paths command.
What's Next
The -paths argument should be created using the specify_paths command. This is best
accomplished by embedding the specify_paths command using the Tcl [] notation. For example,
'path_disable -paths [specify_paths -to out]'. Or to specify paths using the paths attribute of a timing
exception, 'report timing -paths [eval [::legacy::get_attribute paths dis_5]]'.
TUI-229
Severity
Error
Description
Cannot copy attributes.
What's Next
Attributes can only be copied between sequential instances, pins of sequential instances, ports or
subports; both source and destination objects must reside in the same level of hierarchy.
TUI-230
Severity
Error
Description
All instances do not share the same sub-design.
What's Next
Use the 'subdesign' attribute on each instance to see what subdesign the instance refers to.
TUI-231
Severity
Error
Description
The specified instance is not hierarchical. Either use the command/attribute to make the selected
instance hierarchical or specify a different instance that is hierarchical.
What's Next
The 'edit_netlist dedicate_subdesign' command cannot operate on leaf instances.
TUI-232
Severity
Error
Description
Cannot ungroup preserved object.
What's Next
Use the root attribute 'ui_respects_preserve' if you want to override this behavior.
TUI-233
Severity
Error
Description
Cannot group within preserved module.
What's Next
Use the root attribute 'ui_respects_preserve' if you want to override this behavior.
TUI-234
Severity
Error
Description
Not all instances belong to the same hierarchy.
What's Next
The 'edit_netlist group' command can only group instances contained within the same hierarchy.
TUI-235
Severity
Error
Description
An object cannot be connected to itself. Pins, ports, and sub-ports can only be connected to other
objects.
What's Next
Pins, ports, or subports may only be connected to other objects.
TUI-236
Severity
Error
Description
Objects that are in different levels of the hierarchy cannot be connected to each other. Pins, ports,
and sub-ports can only be connected to objects in the same level of the design hierarchy.
What's Next
Pins, ports, or subports may only be connected to objects in the same level of design hierarchy with
this command. If you want to create a connection across design hierarchies, you can use the
command 'edit_netlist hier_connect'.
TUI-238
Severity
Error
Description
Connection failed. The requested connection would require connecting a logic constant to another
driver.
What's Next
If a net is driven by a logic constant, it cannot be connected to a net driven by another driver.
TUI-239
Severity
Error
Description
Instantiation failed because it would have created a hierarchical loop. A sub-design cannot
instantiate a sub-design that is already instantiated.
What's Next
A subdesign may not instantiate a subdesign in which it is instantiated itself.
TUI-240
Severity
Error
Description
Modification failed because the pin affected is preserved.
What's Next
This can happen during various netlist modification commands when the pin is preserved. Use the
root attribute 'ui_respects_preserve' if you want to override this behavior. .SH Example * The
following example shows how to set the ui_respects_preserve attribute: .nf set_attribute
ui_respects_preserve false / edit_netlist or other netlist modification command that generated the
error set_attribute ui_respects_preserve true / .fi
TUI-241
Severity
Error
Description
The connection attempted was within an unresolved reference.
What's Next
The two given pins cannot be connected to each other.
TUI-242
Severity
Error
Description
Cannot dedicate fully preserved module.
What's Next
Use the root attribute 'ui_respects_preserve' if you want to override this behavior.
TUI-243
Severity
Error
Description
Modification failed because the parent sub-design has a preserved instantiation.
What's Next
This can happen during various netlist modification commands when the parent sub-design is
preserved. Use the root attribute 'ui_respects_preserve' if you want to override this behavior.
TUI-244
Severity
Error
Description
Failed to remove instance because it is preserved.
What's Next
Use the root attribute 'ui_respects_preserve' if you want to override this behavior.
TUI-245
Severity
Error
Description
Failed to resize instance because it is preserved.
What's Next
Use the root attribute 'ui_respects_preserve' if you want to override this behavior.
TUI-248
Severity
Error
Description
Modification failed because the net affected is preserved.
What's Next
This can happen during various netlist modification commands when the net is preserved. Use the
root attribute 'ui_respects_preserve' if you want to override this behavior.
TUI-250
Severity
Error
Description
Multiple external delays have to be created and -name option was specified.
What's Next
Run the command without the -name option.
TUI-251
Severity
Error
Description
At least one of the specified pin is not compatible for defining external delays.
What's Next
External delays can be defined on hierarchical or top-level ports and pins with the
break_timing_paths attribute set and timing startpoints or endpoints.
TUI-252
Severity
Error
Description
A design already exists with that name. Specify a unique design name.
What's Next
Every design must have a unique name. Use 'vls [vfind / -design *]' to see design names.
TUI-254
Severity
Error
Description
The instance specified is not hierarchical. Specify a hierarchical instance.
What's Next
The 'subdesign' attribute indicates what subdesign an instance refers to. Only instances that refer to
subdesigns may be used here.
TUI-256
Severity
Error
Description
Invalid primitive function. Use the -help option for the command to see valid function types.
What's Next
Use the -help option of the command to view the valid function types.
TUI-257
Severity
Error
Description
An input number was not specified for the function type. Use the -inputs option to specify the
number of inputs to build.
What's Next
Use the -inputs option to provide the number of inputs to build.
TUI-258
Severity
Error
Description
The requested function cannot be built using the given number of inputs.
What's Next
Specify the correct number of inputs (or do not specify the number).
TUI-259
Severity
Error
Description
The required minimum of 2 inputs was not included with the function type. Rerun the command with
an input count greater than one.
What's Next
Specify an input count greater than 1. Using the - option to specify the input count.
TUI-260
Severity
Error
Description
The number of requested inputs is too high.
What's Next
The function may be implemented by breaking it down into smaller primitives.
TUI-261
Severity
Error
Description
The naming style is not legal.
What's Next
The string must contain substrings '%s' and '%d' in that order.
TUI-262
Severity
Error
Description
Connections to internal pins are not allowed.
What's Next
The 'edit_netlist' command cannot be used to connect internal pins.
TUI-263
Severity
Error
Description
Cannot create instance inside unresolved reference.
What's Next
The 'edit_netlist' command cannot be used to create a new instance inside an unresolved instance.
TUI-264
Severity
Error
Description
Cannot create new instance because the library cell to be instantiated does not match the library
domain of the parent (sub)design.
What's Next
Pick up the library cell from the library domain of the parent (sub)design and try it again.
TUI-267
Severity
Error
Description
Failed to change preserve value.
What's Next
Reconfirm the instance properties before changing preserve values.
TUI-270
Severity
Error
Description
Instance incompatible with specified base_cell.
What's Next
Specify a different base_cell.
TUI-271
Severity
Error
Description
The requested object name is already exists.
What's Next
Confirm unused ports from the netlist and request for another name.
TUI-272
Severity
Error
Description
A net that is connected to a port or subport cannot be renamed.
What's Next
Instead you can rename the connected port bus or subport bus.
TUI-274
Severity
Error
Description
Cannot reset 'unresolved' attribute on instance.
What's Next
Once an instance has the 'unresolved' attribute set to 'true' it cannot be reset to 'false'.
TUI-275
Severity
Error
Description
The sequential members naming style is not legal.
What's Next
The string must contain substrings '%s' and '%d' in that order.
TUI-282
Severity
Error
Description
The given license name is not valid.
What's Next
Choose one of the valid license names instead.
TUI-283
Severity
Error
Description
The requested license cannot be checked in.
What's Next
The option licenses cannot be checked in once checked out.
TUI-285
Severity
Error
Description
The requested license is not currently checked out.
What's Next
Only checked out licenses can be checked back in. Use the 'license list' command to see which
licenses you have checked out.
TUI-286
Severity
Error
Description
Invalid format for specified Beta feature.
What's Next
Choose a valid Beta feature value.
TUI-289
Severity
Error
Description
Objects that are in different designs cannot be connected to each other. Pins, ports, and sub-ports
can only be (hierarchically) connected to objects in the same design.
What's Next
Pins, ports, or subports may only be (hierarchically) connected to objects in the same design.
TUI-290
Severity
Error
Description
Non-uniquified objects cannot be hierarchically connected. Pins, ports, and subports can only be
hierarchically connected if they are uniquified.
What's Next
Pins, ports, or subports may only be hierarchically connected if they are uniquified.
TUI-291
Severity
Error
Description
Specified path group is not permitted. The cost group and the path specifications have different
netlists.
What's Next
The cost group and the path specifications should belong to the same design.
TUI-292
Severity
Error
Description
Unsupported logic function.
What's Next
The 'edit_netlist' command cannot be used to create a complex primitive.
TUI-293
Severity
Error
Description
Cannot disconnect a constant pin.
What's Next
The 'edit_netlist' command cannot be used to disconnect a constant pin. Set attribute 'constant' of
the net to 'no_constant'.
TUI-294
Severity
Error
Description
The pins are connected to nets of different types.
What's Next
The two given pins cannot be connected to each other.
TUI-300
Severity
Error
Description
(sub)port does not belong to specified design or hierarchical instance.
What's Next
Only (sub)port in specified design or hierarchical instance can be used.
TUI-301
Severity
Error
Description
-from, -through, -to option cannot be used along with -all option.
What's Next
Command Failed.
TUI-303
Severity
Error
Description
The environment cannot be derived because the instance is an unresolved reference.
What's Next
The 'derive_environment' command cannot operate on unresolved references.
TUI-304
Severity
Error
Description
Cannot create IOPT partition.
What's Next
The 'iopt_partition' command can only operate on mapped instances belonging to the same
hierarchy and library.
TUI-309
Severity
Error
Description
License checkout failed.
What's Next
If the DFT Architect Basic license is not available, the tool will additionally attempt to check out the
LBIST option and then Architect Advanced licences to enable the feature.
TUI-310
Severity
Error
Description
The environment cannot be derived with power intent.
What's Next
Either fix the issues reported or derive the environment without power intent.
TUI-312
Severity
Error
Description
Flow/step name is needed.
What's Next
Specify a name for the flow/step.
TUI-313
Severity
Error
Description
'flow_steps' is a sub-directory name.
What's Next
Specify a different name for the flow.
TUI-315
Severity
Error
Description
License checkout failed.
What's Next
If the Modus_DFT_Opt license is not available, the tool will additionally attempt to check out the
Modus_LBIST_Opt option to enable the feature.
TUI-320
Severity
Error
Description
The environment cannot be derived because both instance and -hier_instances have been
specified.
What's Next
Specify one of instance or -hier_instances to proceed.
TUI-321
Severity
Error
Description
The environment cannot be derived because neither instance nor -hier_instances have been
specified.
What's Next
Specify atleast one of instance or -hier_instances to proceed.
TUI-340
Severity
Error
Description
The init design flow needs to be initialized in a proper sequence. The sequence of initialization is
as follow.
TUI-393
Severity
Error
Description
Nets cannot be created inside unresolved references and logic abstracts.
What's Next
Do not call command with subdesigns that are unresolved references or logic abstracts.
TUI-396
Severity
Error
Description
Cannot disconnect the given pin.
What's Next
The 'edit_netlist' command cannot be used to disconnect the given pin.
TUI-397
Severity
Error
Description
Cannot connect.
What's Next
The 'edit_netlist connect' command cannot be used to connect given 2 pins.
TUI-398
Severity
Error
Description
Cannot connect.
What's Next
The 'edit_netlist hier_connect' command cannot be used to connect given 2 pins.
TUI-500
Severity
Error
Description
Invalid command. See the Command Reference for valid commands.
What's Next
Using help command to view a list of all valid commands.
TUI-505
Severity
Error
Description
A unique object is required, but multiple objects were found.
What's Next
Use the 'vfind' command to select only one of the objects.
TUI-506
Severity
Error
Description
The requested object or objects could not be found.
What's Next
Either the requested object does not exist or it is of the wrong type. The 'vfind' command may be
helpful in this situation.
TUI-509
Severity
Error
Description
The tool is running in common ui mode.
What's Next
Use set_db/get_db instead or do set_db common_ui false at start of the run.
TUI-600
Severity
Error
Description
Invalid speed grade.
What's Next
Valid speed grades are: 'very_fast', 'fast', 'medium', 'slow', and 'very_slow'.
TUI-601
Severity
Error
Description
Invalid user sub architecture.
What's Next
Valid sub architectures are: 'booth' and 'non_booth'.
TUI-603
Severity
Error
Description
Invalid command arguments /argument values.
What's Next
Correct the command and re-try.
TUI-604
Severity
Error
Description
Cannot connect.
What's Next
The '-constant' option can have only two values '0' or '1'.
TUI-608
Severity
Error
Description
Invalid instance for setting sop group instance.
What's Next
Instance must be a case box.
TUI-614
Severity
Error
Description
The data passed with the -index parameter is invalid or -index is not supported by the attribute.
What's Next
To see the usage/description for this attribute, type '::legacy::set_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-615
Severity
Error
Description
Invalid arguments or argument values.
What's Next
Correct the command inputs and re-try.
TUI-711
Severity
Error
Description
Cannot set library domain without loading libraries.
What's Next
Load the libraries into the library domain first.
TUI-713
Severity
Error
Description
Cannot set library domain.
What's Next
First set the 'preserve' attribute on the design or subdesign or instance to 'false'. Then set the
'library_domain' attribute again.
TUI-723
Severity
Error
Description
No analysis view specified.
What's Next
When performing DVFS synthesis, the '-view' option must be given to select the analysis view to
report timing.
TUI-724
Severity
Error
Description
Either, there are multiple designs or no design.
What's Next
You must create a design or specify a single design in case multiple designs are loaded.
TUI-732
Severity
Error
Description
Cannot change library domain of preserved timing model instance.
What's Next
The instance or the instance's library cell is preserved. To maintain the intention of preventing
optimization of the instance but allowing to change the library domain of the instance, set the
'ui_respects_preserve' attribute to 'false'. Then set the 'library_domain' attribute again for the timing
model instance.
TUI-736
Severity
Error
Description
No default mode specified.
What's Next
Default mode is required when Genus_Low_Power_Opt license is not available to do report timing.
TUI-741
Severity
Error
Description
The mode specified does not match the current design.
What's Next
The mode specified with the '-mode' option must be under the current design.
TUI-742
Severity
Error
Description
Fanin/fanout commands will work only with -structural option for pg pins.
What's Next
Use -struct option when getting fanin/fanout of pg pins.
TUI-772
Severity
Error
Description
Cannot create level shifter rule.
What's Next
The level shifter rule with the specified name already exists.
TUI-786
Severity
Error
Description
Cannot execute design exploration command.
What's Next
A license is required.
TUI-802
Severity
Error
Description
Cannot set empty name.
What's Next
Provide a valid name with the -name option of the command.
TUI-892
Severity
Error
Description
Base cell sets not defined.
What's Next
Base cell sets required for percent/utilization usage control.
TUI-901
Severity
Error
Description
Cannot set options for removing assigns.
What's Next
Some of the options may be provided with wrong argument types.
TUI-902
Severity
Error
Description
Cannot get options for removing assigns.
What's Next
Some of the options may be provided with wrong arguments.
TUI-903
Severity
Error
Description
Invalid string.
What's Next
The string given is not a valid choice.
TUI-904
Severity
Error
Description
Invalid string.
What's Next
The string given is not a valid choice.
TUI-905
Severity
Error
Description
Invalid string.
What's Next
The string given is not a valid choice.
TUI-906
Severity
Error
Description
Invalid string.
What's Next
The string given is not a valid choice.
TUI-908
Severity
Error
Description
Invalid options.
What's Next
Honor utilization and percent control option cannot be given together.
TUI-909
Severity
Error
Description
Review limits.
What's Next
Review utilization limits.
TUI-287
TUI-296
TUI-297
TUI-306
TUI-307
TUI-316
TUI-391
TUI-392
TUI-401
TUI-507
TUI-508
TUI-605
TUI-710
TUI-726
TUI-737
TUI-739
TUI-740
TUI-744
TUI-745
TUI-746
TUI-747
TUI-748
TUI-852
TUI-853
TUI-856
TUI-857
TUI-858
TUI-861
TUI-862
TUI-863
TUI-54
Severity
Info
Description
Object already has requested name.
What's Next
No changes were performed. No further action is required.
TUI-58
Severity
Info
Description
Removed object.
TUI-59
Severity
Info
Description
Potentially inefficient use of the 'vfind' command.
What's Next
The number of objects searched exceeded the threshold set by the 'find_object_threshold' root
attribute. The 'vfind' command does not stop when this threshold is reached. This is an
informational message indicating a potentially inefficient use of 'vfind'. To disable this message use
'suppress_messages TUI-59' or set the attribute value to zero or a higher value.
TUI-69
Severity
Info
Description
A possible reason that no applicable objects were found is that the specified attribute is read-only.
What's Next
Use '::legacy::get_attribute -h' (in legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode) to
see a list of all valid attributes.
TUI-135
Severity
Info
Description
Limits in range.
What's Next
Utilization limits in range.
TUI-173
Severity
Info
Description
Cannot ungroup hierarchical instance.
What's Next
The instance and its parent have different 'dont_use_base_cell_set' attribute settings.
TUI-207
Severity
Info
Description
No argument specified. Default value will be chosen.
What's Next
Specify the intended value for the option.
TUI-212
Severity
Info
Description
'map_size_ok' only applies to sequential leaf instances.
What's Next
Use other preserve flavors to preserve non-sequential instances.
TUI-246
Severity
Info
Description
Deleting the contents of subdesign.
What's Next
The subdesign is gone and cannot be brought back.
TUI-247
Severity
Info
Description
Unresolved attribute set to false for loop breaker instances. Loop breakers will be reinserted if a
combinational cycle is found.
What's Next
Loop breaker will be re-inserted if a combinational cycle is found.
TUI-269
Severity
Info
Description
Instance already bound to the specified base_cell.
What's Next
Specify a different base_cell.
TUI-280
Severity
Info
Description
An additional product license has been checked out.
What's Next
The command 'license checkin' can be used to check the license back in when it is no longer
needed.
TUI-284
Severity
Info
Description
A product license has been checked in.
What's Next
The 'license' command controls license check-out and check-in.
TUI-287
Severity
Info
Description
Beta feature checking was successful.
What's Next
The 'beta_feature_enabled' function checked the validity of the specified Beta feature.
TUI-296
Severity
Info
Description
The given (sub)design is already uniquified.
What's Next
Try running the 'edit_netlist uniquify' command on the parent hierarchy of this (sub)design, if there
exists any.
TUI-297
Severity
Info
Description
The given instance(s) already have a dedicated subdesign.
What's Next
Try running the 'edit_netlist dedicate_subdesign' command on the parent hierarchy of the
instance(s), if there exists any.
TUI-306
Severity
Info
Description
An additional product license has been checked out.
TUI-307
Severity
Info
Description
A product license has been checked in.
TUI-316
Severity
Info
Description
Check 'dft_license_scheme' attribute value.
What's Next
If the 'dft_license_scheme' attribute value is changed to new value, then the required license(s) is
available.
TUI-391
Severity
Info
Description
Attached net to unconnected pin.
What's Next
A net was added to an unconnected pin.
TUI-392
Severity
Info
Description
Number of nets connected to previously unconnected pins.
What's Next
Use option '-quiet' to disable this message, call with option '-verbose' to list all the nets added.
TUI-401
Severity
Info
Description
Created new instance by inheriting the library_domain of parent.
What's Next
Inheriting the library domain of parent to new instance.
TUI-507
Severity
Info
Description
Executing scripts embedded in the input HDL file.
What's Next
Scripts embedded in the input HDL file between 'script_begin' and 'script_end' pragmas are
automatically executed at the end of 'elaborate'. Use 'set_db hdl_auto_exec_sdc_scripts false' (in
CUI mode) or 'set_attribute hdl_auto_exec_sdc_scripts false' (in legacy mode) to disable automatic
execution of embedded scripts. Use 'get_db <design/module> .embedded_script' (in CUI mode) or
'get_attribute embedded_script <design/subdesign>' (in legacy mode) to retrieve the embedded
scripts.
TUI-508
Severity
Info
Description
Found scripts embedded in the input HDL file.
What's Next
Scripts are embedded in the input HDL file between 'script_begin' and 'script_end' pragmas. Use
'exec_embedded_script' to execute the scripts. Use 'get_db <design/module> .embedded_script' (in
CUI mode) or 'get_attribute embedded_script <design/subdesign>' (in legacy mode) to retrieve the
embedded scripts.
TUI-605
Severity
Info
Description
Update in_prefix/out_prefix.
What's Next
User provided in_prefix/out_prefix are updated to client specified.
TUI-710
Severity
Info
Description
Changing library domain on non-uniquified subdesign. The library domain will be set on all
instantiations of this subdesign.
What's Next
Use 'edit_netlist dedicate' to uniquify subdesigns first and then set the attribute on the uniquified
subdesign if you do not want to copy the library domain to all instantiations.
TUI-726
Severity
Info
Description
Power domain has instances from multiple library domains.
What's Next
The 'library_domain' attribute on the power domain has no value for such scenario. Query
'library_domains' attribute instead.
TUI-737
Severity
Info
Description
Timing analysis will be done only for default mode.
What's Next
An Genus_Low_Power_Opt license is required to do timing analysis for non-default modes.
TUI-739
Severity
Info
Description
Timing analysis will be done for this mode.
What's Next
Worst paths will be shown in this mode.
TUI-740
Severity
Info
Description
Report instances with pin(s) where timing paths are broken per modes.
What's Next
Only instance(s) will be shown.
TUI-744
Severity
Info
Description
Timing analysis will be done for this view.
What's Next
Worst paths will be shown in this view.
TUI-745
Severity
Info
Description
Timing analysis will not be done for this view as it is not active.
What's Next
This view is not active for setup.
TUI-746
Severity
Info
Description
Timing analysis will not be done as all views are inactive.
What's Next
No view is active for setup.
TUI-747
Severity
Info
Description
Timing analysis will not be reported for unsupported fields.
What's Next
Some of the fields are not yet supported.
TUI-748
Severity
Info
Description
Violations related to minimum values will not be reported as these are not calculated in Genus.
What's Next
Checks related to minimum value analysis are not supported.
TUI-852
Severity
Info
Description
Looking for Enabled level shifter cell.
TUI-853
Severity
Info
Description
Looking for Enabled level shifter cell.
TUI-856
Severity
Info
Description
Command 'commit_power_intent' cannot proceed as there is no power intent loaded.
TUI-857
Severity
Info
Description
Inserted low power cell.
TUI-858
Severity
Info
Description
Command 'commit_power_intent' cannot proceed as there are no power domains present.
TUI-861
Severity
Info
Description
Command 'check_power_domain' cannot proceed as there is no power intent loaded.
TUI-862
Severity
Info
Description
Command 'check_power_domain' cannot proceed as there are no power domains present.
TUI-863
Severity
Info
Description
Command 'check_power_domain' cannot proceed for cpf based flow.
TUI-43
TUI-51
TUI-57
TUI-67
TUI-70
TUI-74
TUI-75
TUI-77
TUI-79
TUI-82
TUI-83
TUI-92
TUI-93
TUI-94
TUI-190
TUI-197
TUI-205
TUI-211
TUI-220
TUI-224
TUI-237
TUI-249
TUI-253
TUI-255
TUI-265
TUI-266
TUI-268
TUI-273
TUI-279
TUI-281
TUI-288
TUI-295
TUI-298
TUI-299
TUI-302
TUI-305
TUI-308
TUI-394
TUI-399
TUI-400
TUI-501
TUI-502
TUI-503
TUI-504
TUI-602
TUI-607
TUI-613
TUI-666
TUI-667
TUI-668
TUI-701
TUI-719
TUI-727
TUI-728
TUI-729
TUI-738
TUI-743
TUI-771
TUI-779
TUI-780
TUI-781
TUI-782
TUI-783
TUI-784
TUI-785
TUI-791
TUI-800
TUI-801
TUI-850
TUI-851
TUI-854
TUI-855
TUI-859
TUI-881
TUI-882
TUI-883
TUI-884
TUI-886
TUI-887
TUI-888
TUI-890
TUI-891
TUI-893
TUI-900
TUI-907
TUI-910
TUI-1000
TUI-25
Severity
Warning
Description
The attribute is not applicable to the object.
What's Next
To see the usage/description for this attribute, type '::legacy::set_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-29
Severity
Warning
Description
This attribute value will be obsolete in a next major release.
What's Next
Refer to the attribute help for the list of valid values.
TUI-30
Severity
Warning
Description
Obsolete attribute.
What's Next
This attribute does not affect the tool behavior and will be removed in next major release. Remove
all usages.
TUI-31
Severity
Warning
Description
Obsolete command.
What's Next
This command is no longer supported.
TUI-32
Severity
Warning
Description
This attribute will be obsolete in a next major release.
TUI-34
Severity
Warning
Description
Disabled attribute.
What's Next
This attribute does not affect the tool behavior.
TUI-37
Severity
Warning
Description
TUI-42
Severity
Warning
Description
This command option will be obsolete in a next major release.
TUI-43
Severity
Warning
Description
The 'prune_unused_logic' attribute can only be set on hierarchical pins.
What's Next
The given pin is not hierarchical.
TUI-51
Severity
Warning
Description
Bad options to 'find' command.
What's Next
Review help text for the 'vfind' command.
TUI-57
Severity
Warning
Description
Directory was deleted.
What's Next
If an operation deletes the current directory (with the 'rm' command), the current-directory will
subsequently be reset to the root directory.
TUI-67
Severity
Warning
Description
The attribute is not applicable to the object.
What's Next
To see the description and usage for this attribute, type '::legacy::set_attribute -h <attr_name> *' (in
legacy UI mode) or 'help * <attr_name> -detail' (in CUI mode).
TUI-70
Severity
Warning
Description
The attribute has no default value.
What's Next
Check its default function.
TUI-74
Severity
Warning
Description
No instances found to be ungrouped.
What's Next
Check the warning messages issued for ungroup.
TUI-75
Severity
Warning
Description
Preserved net is not renamed during ungroup.
What's Next
For the preserved net, ungroup prefix string is used only during name conflict.
TUI-77
Severity
Warning
Description
Inefficient use of 'vfind' searching from root directory.
What's Next
Rewriting 'vfind' command as 'vfind <path> -object <name>' is more efficient than 'vfind / -object
<path>/<name>'.
TUI-79
Severity
Warning
Description
Multiple names specified for 'vfind' command.
What's Next
'vfind' no longer allows multiple names by default. To enable this behavior set the
'find_takes_multiple_names' root attribute to true.
TUI-82
Severity
Warning
Description
Enabling message severity downgrade.
TUI-83
Severity
Warning
Description
Cannot modify library search path after reading library(s).
What's Next
You must set the 'init_lib_search_path' attribute before you set the 'library' attribute.
TUI-92
Severity
Warning
Description
Cannot set probability or toggle rate on a constant net.
What's Next
To check the source of the constant, try get_fanin command with -structural and -startpoints option
for the driver pin.
TUI-93
Severity
Warning
Description
What's Next
A large toggle percentage should not be assigned except when the circuit has lots of glitches.
TUI-94
Severity
Warning
Description
The asserted TCF on an undriven hierarchical pin will not be used for TCF propagation.
TUI-190
Severity
Warning
Description
Match partial attribute.
What's Next
To see the usage/description for this attribute, type 'help * <attr_name> -detail'.
TUI-197
Severity
Warning
Description
Depth option value warning.
What's Next
Refer to the Command Reference for details.
TUI-205
Severity
Warning
Description
Option ignored.
TUI-211
Severity
Warning
Description
What's Next
Only sequential leaf instances can be preserved to map_size_ok.To avoid optimization of such
instances, set preserve attribute to true on pins of that particular instances.map_size_ok.
TUI-220
Severity
Warning
Description
The 'clock_ports' command did not find any clock ports.
What's Next
This is usually due to the design being combinational or having clock gating or clock generation
logic.
TUI-224
Severity
Warning
Description
Specified shift values are equivalent to the default timing relationship.
What's Next
Unless this exception is intended to override prior exceptions, it will have no effect.
TUI-237
Severity
Warning
Description
The specified objects are already connected to each other. Try disconnecting the objects first.
What's Next
Only objects not yet connected can be connected.
TUI-249
Severity
Warning
Description
Cannot preserve internal pin.
What's Next
.
TUI-253
Severity
Warning
Description
At least one of the specified ports is not valid for the given external delay.
What's Next
Use the 'external_delay' command to specify and output delay on output ports or to specify an input
delay on input ports. See the 'Specifying the Timing and Delay Constraints' Chapter in the 'Timing
Analysis Guide' for detailed information.
TUI-255
Severity
Warning
Description
Resetting preserve attribute because an unmapped instance is being inserted.
TUI-265
Severity
Warning
Description
Cannot ungroup instance because it is a library domain boundary.
What's Next
The command cannot be used to ungroup library domain boundary instances.
TUI-266
Severity
Warning
Description
The requested object name is already in use.
What's Next
A different name for the object is chosen to avoid a name conflict. By storing the newly created
object in a variable you can access it directly without having to look for it by name. The code to do
that would look something like this: 'set var [edit_netlist ...]'.
TUI-268
Severity
Warning
Description
What's Next
Map the design first or specify a mapped instance.
TUI-273
Severity
Warning
Description
Black-boxes are represented as unresolved references in the design.
What's Next
Run check_design to get all unresolved instance. To resolve the reference, either load a technology
library containing the cell by appending to the 'library' attribute, or read in the hdl file containing the
module before performing elaboration. As the design is incomplete, synthesis results may not
correspond to the entire design.
TUI-279
Severity
Warning
Description
Check number of local CPUs.
What's Next
The lower value will be used.
TUI-281
Severity
Warning
Description
The requested product license is not currently available.
What's Next
Ensure that the license required for the feature is available at the time of checking out else adjust
the wait time for the license using the options -wait of Genus.
TUI-288
Severity
Warning
Description
The requested feature is not available with current license.
What's Next
Choose a new startup license with more capability.
TUI-295
Severity
Warning
Description
Cannot dedicate fully preserved or ILM module.
What's Next
Add 'set_attribute ui_respects_preserve false' (legacy_ui) or 'set_db ui_respects_preserve false'
(common_ui), if you want to override this behavior for non-ILM modules.
TUI-298
Severity
Warning
Description
The user visible name of the net is different from the requested name.
What's Next
The given net is connected to a (sub)port, hence derives its name from the (sub)port.
TUI-299
Severity
Warning
Description
Ignoring the in_prefix/out_prefix option(s).
What's Next
You can remove these unused options.
TUI-302
Severity
Warning
Description
The design name specified already exists for another design. A new name is being created using
the derived instance and its sub-design names.
What's Next
The derive_environment command will return the newly created design object. That result can be
examined to see what the new design's name is.
TUI-305
Severity
Warning
Description
The constant connectivity is not the same on other instantiations of this sub port.
What's Next
To resolve this either make sure that the same constant is connected to all instantiations of the port
or dedicate the sub design of the instance with the 'edit_netlist dedicate_subdesign' command.
TUI-308
Severity
Warning
Description
License promotion has been used to check out a more advanced license.
What's Next
This can be prevented by checking out the desired license using the 'license checkout' command
ahead of time.
TUI-394
Severity
Warning
Description
The pin(s) are part of a non uniquified (sub)design.
What's Next
Uniquify the (sub)design to avoid connecting unwanted pins.
TUI-399
Severity
Warning
Description
Creates multi_driver connection.
What's Next
Use option '-remove_multi_driver' to remove multi_driver connections while connecting.
TUI-400
Severity
Warning
Description
The option -include_max_lut_check will be ignored as the attribute use_max_cap_lut was set to
false before invoking Incremental optimization.
TUI-501
Severity
Warning
Description
Unknown command.
TUI-502
Severity
Warning
Description
Command already exists.
TUI-503
Severity
Warning
Description
Value is out of legal bounds.
TUI-504
Severity
Warning
Description
Could not open file.
What's Next
Check permissions of the file and directory.
TUI-602
Severity
Warning
Description
TUI-607
Severity
Warning
Description
Ignored the 'map_to_mux' instance attribute because the library does not have any usable mux
cells.
What's Next
To map this instance to a mux, either load a library with a mux cell that is not specified 'dont_use', or
set the 'avoid' attribute of a library mux cell to 'false'.
TUI-613
Severity
Warning
Description
The attribute is not applicable to the object.
What's Next
The user_speed_grade is only applicable to datapath subdesigns.
TUI-666
Severity
Warning
Description
Tcl variable that used to control the behavior of the tool is set instead of a root level attribute.
What's Next
The control of the flow using Tcl variables has been discontinued, these variables do not affect the
flow anymore. Convert your scripts to using root level attribute with the same name.
TUI-667
Severity
Warning
Description
Variable will be obsolete in a future release.
What's Next
The use of a Tcl variable will be discontinued in a future release.
TUI-668
Severity
Warning
Description
Internal Tcl control variable has been changed.
What's Next
Some Tcl variables are used internally to enable features that are not officially supported.
TUI-701
Severity
Warning
Description
Changing power domain in the flow.
What's Next
Changing power domain in the flow can invalidate the intent of original power intent file.
TUI-719
Severity
Warning
Description
Creating isolation rules in CPF flow.
TUI-727
Severity
Warning
Description
Cannot change library domain as the new library domain does not have a suitable library cell.
What's Next
Make sure that the target library domain has a library cell with same name, same number of pins,
same pin names and same pin directions.
TUI-728
Severity
Warning
Description
Cannot change library domain.
What's Next
The attribute can be changed only for mapped instances.
TUI-729
Severity
Warning
Description
Cannot set a library domain on unresolved hierarchical instances.
What's Next
The library_domain attribute cannot be set on unresolved instances.
TUI-738
Severity
Warning
Description
Timing analysis will be done by mode.
What's Next
Worst paths will be shown in each mode for all modes.
TUI-743
Severity
Warning
Description
Timing analysis will be done by view.
What's Next
Worst paths will be shown in each view for all views.
TUI-771
Severity
Warning
Description
Cannot define level shifter rule.
What's Next
The vdir with '-within_hierarchy' must be a hierarchical instance.
TUI-779
Severity
Warning
Description
Cannot define level shifter rule as pin's netlist and rule's netlist does not matches.
What's Next
Level shifter rules are defined for pins belonging to same netlist.
TUI-780
Severity
Warning
Description
Cannot define level shifter rule as power domain's netlist and rule's netlist does not matches.
What's Next
Level shifter rules are defined for power domains belonging to same netlist.
TUI-781
Severity
Warning
Description
Cannot define level shifter rule.
What's Next
If '-cpf_pins' is given, it must contain at least one valid pin|port.
TUI-782
Severity
Warning
Description
Cannot define level shifter rule.
What's Next
If '-from_power_domain' is given, it must contain at least one valid power domain.
TUI-783
Severity
Warning
Description
Cannot define level shifter rule.
What's Next
If '-to_power_domain' is given, it must contain at least one valid power domain.
TUI-784
Severity
Warning
Description
Cannot define level shifter rule.
What's Next
The threshold value must be positive.
TUI-785
Severity
Warning
Description
Cannot define level shifter rule.
What's Next
The scope must either be design or a hierarchical instance.
TUI-791
Severity
Warning
Description
Cannot ungroup instance because it has a power intent rule defined on it.
TUI-800
Severity
Warning
Description
What's Next
Design ISO rule will apply on this port/pin.
TUI-801
Severity
Warning
Description
Duplicate power domain command in Macro model.
What's Next
Use the 'create_power_domain -name' command to create a unique power domain name.
TUI-850
Severity
Warning
Description
Not a valid IO cell pad port.
What's Next
Correct 'define_pad_cell -pad_ports' command with correct pad port names.
TUI-851
Severity
Warning
Description
Creating isolation rule in Macro Model.
TUI-854
Severity
Warning
Description
Looking for Level shifter cell.
TUI-855
Severity
Warning
Description
TUI-859
Severity
Warning
Description
Isolation rule does not specify '-isolation_signal' with it.
TUI-881
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-882
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-883
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-884
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-886
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-887
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-888
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-890
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-891
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-893
Severity
Warning
Description
Unused.
What's Next
Unused.
TUI-900
Severity
Warning
Description
Elaborated designs already exist in memory.
What's Next
See '::legacy::set_attribute -h uniquify_naming_style root' for help.
TUI-907
Severity
Warning
Description
Cannot remove internal net assign.
What's Next
Pin does not pass the power intent constraints.
TUI-910
Severity
Warning
Description
Cannot remove internal net assign.
What's Next
Buffer/Inverter used for '-clock_buffer_or_inverter' option has domain mismatch.
TUI-1000
Severity
Warning
Description
Initial target was set. This setting is not recommended.
What's Next
Setting an initial target is not recommended and should be only used after consulting Genus R&D.
Forcing a target can have a negative effect on QoR.
101
UI
UI Error Messages
UI Error Messages
UI-511
UI-511
Severity
Error
Description
Invalid Command. The command has been obsoleted.
What's Next
Rerun using write_do_lec command.
102
UME
UME-1
Severity
Error
Description
The attribute must be set before elaboration.
What's Next
Setting the attribute after elaboration can impact quality of results. The attribute must be set before
elaboration.
UME-2
Severity
Error
Description
Incorrect value specified for attribute.
What's Next
A correct value must be specified.
UME-3
Severity
Warning
Description
The specified value disables optimizations that have been fully qualified and may impact quality of
results.
UME-4
Severity
Warning
Description
The specified value enables optimizations that have not been fully qualified and may impact quality
of results.
103
UTUI
UTUI-101
Severity
Error
Description
Use attribute remove_assigns or command remove_assigns_without_optimization.
What's Next
The command remove_assigns was obsoleted in 8.1 release and the same functionality is now
provided by attribute remove_assigns. Standalone command based usage of same is through
newly added command remove_assigns_without_optimization.
UTUI-111
Severity
Error
Description
Design is not mapped.
What's Next
Run mapping before removing assigns from the design.
UTUI-112
Severity
Error
Description
Option value is invalid.
What's Next
Check the type of value expected by this option.
UTUI-120
Severity
Error
Description
No design available.
What's Next
Load a design before using this command.
UTUI-138
Severity
Error
Description
Design is not unique.
What's Next
Uniquify the full design before running remove assigns on the design.
UTUI-206
Severity
Error
Description
Specified tiecell cannot be found in library-domain of the module.
What's Next
Specify a cell which is in the corresponding domain using -high/-low/-high_low option <or> do
tiecell insertion without -high/-low/-high_low options to pick a tiecell automatically from the specific
domain.
UTUI-211
Severity
Error
Description
More than one design found.
What's Next
Specify unique design for tiecell insertion.
UTUI-212
Severity
Error
Description
Invalid argument for '-maxfanout' option.
What's Next
Specify a value equal to or greater than 1.
UTUI-213
Severity
Error
Description
Specify both -high and -low together.
What's Next
These options cannot be set individually.
UTUI-214
Severity
Error
Description
Specify either -high_low or -high and -low.
What's Next
These options cannot be set together.
UTUI-215
Severity
Error
Description
Specify a tiecell which is not avoided.
What's Next
Unavoid the tiecells from library.
UTUI-216
Severity
Error
Description
Cannot proceed with tiecell insertion.
What's Next
Check if there are any tie cells available in library. If the tie cell's library has been read in, to check
the Liberty file to ensure the tie cells do not have the dont_use attribute set, if the tie cells have
dont_use/dont_touch attribute, set attribute 'avoid false [get_lib_cells *tie]' and 'preserve false
[get_lib_cells *tie*]'. To make sure all needed TIEHI/TIELO cells are available, by default, Genus
will not tie the inverted tie cell and will flag an error, this can be controlled by attribute
'iopt_allow_tiecell_with_inversion'.
UTUI-220
Severity
Error
Description
Specify both -always_on_high and -always_on_low together.
What's Next
These options cannot be set individually.
UTUI-221
Severity
Error
Description
Specify either -always_on_high_low or -always_on_high and -always_on_low.
What's Next
These options cannot be set together.
UTUI-222
Severity
Error
Description
Regular Libcell specified.
What's Next
Use always-on cell from library with this switch.
UTUI-224
UTUI-119
Severity
Info
Description
Net is user-preserved/internal-preserved. Assign cannot be removed.
What's Next
To allow assign removal remove the preserve attribute or use -ignore_preserve_setting of
set_remove_assign_options command.
UTUI-122
Severity
Info
Description
Removing instance.
What's Next
This loop breaker instance has been removed.
UTUI-125
Severity
Info
Description
Option '-skip_unconstrained_paths' is a hidden obsolete option.
What's Next
The option '-skip_unconstrained_paths' is ON be default and will be removed from next release of
the tool.
UTUI-130
Severity
Info
Description
Design/Subdesign is preserved. Assign cannot be removed.
What's Next
To allow assign removal remove the preserve attribute or use -ignore_preserve_setting of
set_remove_assign_options command.
UTUI-132
Severity
Info
Description
Adding floating buffer.
UTUI-133
Severity
Info
Description
Option '-respect_boundary_optimization' is a hidden obsolete option.
What's Next
The option '-respect_boundary_optimization' is ON by default and will be removed from next
release of the tool.
UTUI-143
Severity
Info
Description
Skipping remove assigns for unloaded nets.
UTUI-201
Severity
Info
Description
Scan inputs are ignored for tie-cell insertion.
What's Next
Use the '-all' option to avoid skipping of scan pins.
UTUI-202
Severity
Info
Description
Unused hierarchical pins are ignored for tie-cell insertion.
What's Next
Do not use the '-skip_unused_hier_pins' to allow inserting tiecells for these pins for stand-alone
command. These are skipped by default when tie-cell insertion is done as part of Incremental
Optimization.
UTUI-203
Severity
Info
Description
Preserved nets are ignored for tie-cell insertion.
What's Next
Set 'ui_respects_preserve' attribute to 'false' or 'ignore_preserve_in_tiecell_insertion' attribute to
true to insert tiehilo cells for 'preserved' nets.
UTUI-207
Severity
Info
Description
Connecting constant net to TIELO/TIEHI cells.
UTUI-210
Severity
Info
Description
Done connecting 1'b0 and 1'b1 to TIELO/TIEHI cells.
UTUI-217
Severity
Info
Description
Using tiecell of opposite phase with inverter.
What's Next
This is enabled by use of attribute 'iopt_allow_tiecell_with_inversion' or '-allow_inversion' option.
UTUI-224
Severity
Info
Description
UTUI-146
UTUI-204
UTUI-205
UTUI-208
UTUI-209
UTUI-218
UTUI-219
UTUI-223
UTUI-100
Severity
Warning
Description
Cannot ungroup preserved instance.
What's Next
Use the root attribute 'ui_respects_preserve' if you want to override this behavior.
UTUI-102
Severity
Warning
Description
What's Next
Ungrouping cannot be done if it has empty modules, unresolved references or if ungroup_ok is set
to false on the particular subdesign. The information following this message in the logfile will
contain further details about the reason for not ungrouping the instance.
UTUI-103
Severity
Warning
Description
Cannot ungroup instance with exception on a bidirectional pin.
What's Next
To ungroup this instance move the exception to a different pin or use 'edit_netlist ungroup'.
UTUI-114
Severity
Warning
Description
No usable buffer available in the library.
What's Next
The buffers are present in the library but the avoid or preserve attribute on them is set to true
preventing them from being used.
UTUI-115
Severity
Warning
Description
No buffer available in the library.
What's Next
The library does not contain any buffer cells.
UTUI-116
Severity
Warning
Description
No usable inverter available in the library.
What's Next
The inverters present in the library have the avoid or preserve attribute on them set to true
preventing them from being used.
UTUI-117
Severity
Warning
Description
No inverter available in the library.
What's Next
The library does not contain any inverter cells.
UTUI-118
Severity
Warning
Description
Always-on buffer is not found to remove assigns on power domain boundaries.
What's Next
Check if the always-on buffer is provided and is not avoided.
UTUI-121
Severity
Warning
Description
Incorrect instance specified.
What's Next
This instance will not be removed as it is not a loop breaker.
UTUI-127
Severity
Warning
Description
Conflicting options '-skip_unconstrained_paths' and '-dont_skip_unconstrained_paths' used.
What's Next
Option '-dont_skip_unconstrained_paths' will override the default option '-
skip_unconstrained_paths'. Use any one option.
UTUI-128
Severity
Warning
Description
Looking for inverters instead.
What's Next
Looks for inverters as there are no usable buffers in library.
UTUI-129
Severity
Warning
Description
Use -no_buffers_use_inverters option to look for inverters in library.
What's Next
Option -no_buffers_use_inverters looks for inverters if there are no buffers in library.
UTUI-131
Severity
Warning
Description
No inverters will be added.
What's Next
Libcell specified with -buffer_or_inverter option is buffer.
UTUI-134
Severity
Warning
Description
Conflicting options '-respect_boundary_optimization' and '-dont_respect_boundary_optimization'
used.
What's Next
Option '-dont_respect_boundary_optimization' will override the default option '-
respect_boundary_optimization'. Use any one option.
UTUI-135
Severity
Warning
Description
Buffer/Inverter used for '-buffer_or_inverter' option is not always on.
UTUI-136
Severity
Warning
Description
Some assigns might not be removed.
UTUI-137
Severity
Warning
Description
Some assigns are not removed.
UTUI-139
Severity
Warning
Description
Subdesign is not unique.
UTUI-140
Severity
Warning
Description
Buffer/Inverter used for '-buffer_or_inverter' option is not appropriate always on.
UTUI-141
Severity
Warning
Description
Input port specified with '-ports' option is invalid for assign removal.
UTUI-142
Severity
Warning
Description
Pin is skipped from assign removal due to power intent constraints.
UTUI-144
Severity
Warning
Description
Buffer/Inverter used for '-clock_buffer_or_inverter' option is not always on.
UTUI-145
Severity
Warning
Description
Buffer/Inverter used for '-clock_buffer_or_inverter' option is not appropriate always on.
UTUI-146
Severity
Warning
Description
Buffer/Inverter used for '-clock_buffer_or_inverter' option has domain mismatch.
UTUI-204
Severity
Warning
Description
No tie high/low cell found for tiecell insertion.
What's Next
Possible reason is that the tiecells in library are avoided, if present. Unavoid them to use for tiecell
insertion.
UTUI-205
Severity
Warning
Description
Cannot identify the output pin of tiecell.
UTUI-208
Severity
Warning
Description
Skipping tiecell insertion on design/subdesign because of preserve.
What's Next
Set 'ui_respects_preserve' attribute to 'false' or 'ignore_preserve_in_tiecell_insertion' attribute to
true to insert tiehilo cells ignoring the preserve.
UTUI-209
Severity
Warning
Description
Skipping tie high/low cell insertion for module.
UTUI-218
Severity
Warning
Description
Adding unplaced Tie-cell.
UTUI-219
Severity
Warning
Description
Libcell invalid for consideration as tie-cell.
UTUI-223
Severity
Warning
Description
Design is not unique.
104
VCD
VCD-1
Severity
Error
Description
VCD file does not exist.
What's Next
The file specified on the command line does not exist.
VCD-2
Severity
Error
Description
End time is less than or equal to start time.
What's Next
Specify an end time as greater than start time to do meaningful analysis.
VCD-3
Severity
Error
Description
Both '-static' and '-dynamic' options specified.
What's Next
You cannot specify '-static' and '-dynamic' options together. Specify only one of them.
VCD-7
Severity
Error
Description
Time window specified is less than or equal to '0.0'.
What's Next
Specify a time window through the '-time_window' option as greater than '0.0' to do meaningful
dynamic power analysis.
VCD-8
Severity
Error
Description
Time window specified is too large.
What's Next
Specify a time window through the '-time_window' option as lesser than the difference between start
and end times.
VCD-9
Severity
Error
Description
'-time_window' option can only be specified with '-activity_profile' option.
What's Next
Either use the '-time_window' option with '-activity_profile' or use only the '-static' option.
VCD-10
Severity
Error
Description
Both '-activity_profile' and '-static' options specified.
What's Next
You cannot specify '-static' and 'activity_profile' options together. Specify only one of them.
VCD-11
Severity
Error
Description
Both '-activity_profile' and '-dynamic' options specified.
What's Next
You cannot specify '-dynamic' and '-activity_profile' options together. Specify only one of them.
VCD-12
Severity
Error
Description
Option '-activity_profile' not specified with '-simvision'.
What's Next
You can specify '-simvision' option only with '-activity_profile'.
VCD-13
Severity
Error
Description
Option '-activity_profile' not specified with '-write_sst2'.
What's Next
You can specify '-write_sst2' option only with '-activity_profile'.
VCD-15
Severity
Error
Description
Failed to create the directory.
What's Next
Make sure you have write permissions to the specified directory.
VCD-17
Severity
Error
Description
The SST2 transition file could not be loaded.
What's Next
Make sure SimVision is installed and the transition file is present.
VCD-22
Severity
Error
Description
Option '-dynamic' not supported.
What's Next
The '-dynamic' option was an undocumented feature. Contact your local cadence representative for
more information.
VCD-23
Severity
Error
Description
Incorrect '-instance' option.
What's Next
The instance name specified could not be found in the design.
VCD-24
Severity
Error
Description
Multiple match for '-instance' option.
What's Next
The instance name specified matched multiple instances. Specify one unambiguous instance path.
VCD-30
Severity
Error
Description
Specified design not found as top.
What's Next
Check the loaded designs using 'vls /designs/'.
VCD-19
VCD-20
VCD-21
VCD-16
Severity
Info
Description
Directory path has been created.
VCD-18
Severity
Info
Description
'-time_window' option not specified.
What's Next
No timing window was specified for either activity profiling or dynamic analysis. Automatic time
window selection was done based on power analysis effort level.
VCD-19
Severity
Info
Description
'start_time' option was specified but '-end_time' and '-time_window' options were not specified.
What's Next
If no 'time_window' option is specified, the timing window is automatically selected based on power
analysis effort level if the start and end times are also specified. Provide an end time with the '-
end_time' option for the time window to be selected automatically based on power analysis effort
level.
VCD-20
Severity
Info
Description
'-start_time', 'end_time' and '-time_window' options were not specified.
What's Next
If no 'time_window' option is specified, the timing window is automatically selected based on power
analysis effort level if the start and end times are also specified. Provide the start and end times with
the '-start_time' and '-end_time' options respectively for the time window to be selected
automatically based on power analysis effort level.
VCD-21
Severity
Info
Description
'-time_window' option not specified.
What's Next
No timing window was specified for either activity profiling or dynamic analysis. The timing window
selection was not done based on power analysis effort levels as the total analysis period is small at
less than '100' picoseconds.
VCD-4
Severity
Warning
Description
None of '-static' or '-activity_profile' options given.
What's Next
The '-static' option has been selected by default. To specify explicitly, use at least one of '-static' or '-
activity_profile' options.
VCD-5
Severity
Warning
Description
Start time specified is less than '0.0'.
What's Next
Always specify a start time through the '-start_time' option as greater than or equal to '0.0' to do
meaningful power analysis.
VCD-6
Severity
Warning
Description
End time specified is less than '0.0'.
What's Next
Always specify an end time through the '-end_time' option as greater than '0.0' to do meaningful
power analysis.
VCD-14
Severity
Warning
Description
Output directory to dump SST2 data does not exist.
What's Next
You can create a directory to dump data with the 'mkdir' command in UNIX.
VCD-25
Severity
Warning
Description
Both -module and -instance supplied.
What's Next
Provide either -module of -instance with the command. The option -module is deprecated will be
obsolete soon, use -instance instead.
VCD-26
Severity
Warning
Description
Both -vcd_module and -vcd_scope supplied.
What's Next
The option -vcd_module had been renamed as vcd_scope, we support both at present but
vcd_module will soon be discarded.
VCD-27
Severity
Warning
Description
Use -vcd_scope option instead of -vcd_module.
What's Next
The option -vcd_module had been renamed as vcd_scope, we support both at present but
vcd_module will soon be discarded.
VCD-28
Severity
Warning
Description
Use -instance option instead of -module.
What's Next
The option -module is deprecated will be obsolete soon, use -instance instead.
VCD-29
Severity
Warning
Description
Invalid scaling factor specified.
105
VHDL
VHDL-417
VHDL-512
VHDL-516
VHDL-600
VHDL-601
VHDL-602
VHDL-603
VHDL-606
VHDL-607
VHDL-608
VHDL-609
VHDL-610
VHDL-613
VHDL-614
VHDL-615
VHDL-617
VHDL-620
VHDL-630
VHDL-631
VHDL-633
VHDL-634
VHDL-635
VHDL-636
VHDL-638
VHDL-647
VHDL-652
VHDL-656
VHDL-658
VHDL-665
VHDL-669
VHDL-673
VHDL-676
VHDL-111
Severity
Error
Description
Unsupported '%s' declaration seen in module. Only signal declarations are allowed.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-112
Severity
Error
Description
Statement is of an unsupported type '%s'. Only component instantiations and concurrent
assignments are supported.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-114
Severity
Error
Description
Unsupported feature.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-204
Severity
Error
Description
Unable to analyze VHDL file(s) due to an internal error in the VHDL Analyzer.
What's Next
An internal error was detected in the VHDL Analyzer. If any VHDL error messages were reported,
fix these errors before reading in the VHDL files.
VHDL-208
Severity
Error
Description
Consistency check failed for VHDL node.
What's Next
An inconsistency has been detected for the VHDL construct reported in the error message.
Synthesis will be aborted at this stage. It may be possible to rewrite the VHDL code that uses that
construct.
VHDL-210
Severity
Error
Description
VHDL libraries not found under $CDN_SYNTH_ROOT/lib/vhdl.
What's Next
Either CDN_SYNTH_ROOT is not set correctly, or the VHDL libraries are not installed.
VHDL-211
Severity
Error
Description
Cannot open package STD.STANDARD.
What's Next
Either CDN_SYNTH_ROOT is not set correctly, or the VHDL libraries are not installed.
VHDL-214
Severity
Error
Description
Too few enum encodings specified.
What's Next
The ENUM_ENCODING attribute for an enumeration type must have an encoding specified for
each of the enumeration literals of that type.
VHDL-216
Severity
Error
Description
Undefined VHDL library.
What's Next
Error encountered during VHDL parsing.
VHDL-219
Severity
Error
Description
Architecture is invalid since it is potentially out-of-date with respect to packages used.
What's Next
The specified architecture uses a package that has been re-analyzed and must be re-analyzed.
VHDL-228
Severity
Error
Description
Unsupported character seen in ENUM_ENCODING.
What's Next
The ENUM_ENCODING attribute is a string that consists of a series of blank-separated tokens.
Each token can have one or more of the following characters:
VHDL-312
Severity
Error
Description
Entity has no synthesizable architecture specified.
What's Next
The entity has no architectures associated with it or the architectures of that entity have been
tagged as unsynthesizable using synthesis off/on.
VHDL-412
Severity
Error
Description
Unsupported expression type '%s' seen.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-413
Severity
Error
Description
Unsupported left/right bound types seen.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-414
Severity
Error
Description
Unable to compute a literal value for expression. Only integer and bit values are supported in this
release.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-415
Severity
Error
Description
Unsupported operation type '%s' seen in expression.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-416
Severity
Error
Description
Unable to evaluate index specification in block configuration.
What's Next
Error encountered during VHDL parsing.
VHDL-417
Severity
Error
Description
Unable to evaluate subelement association in binding indication.
What's Next
Error encountered during VHDL parsing.
VHDL-512
Severity
Error
Description
Unsupported multi-dimensional port seen while writing netlist.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-516
Severity
Error
Description
Unsupported bit type specified for VHDL netlisting. Only 'std_logic' and 'std_ulogic' types are
supported.
What's Next
Unsupported VHDL syntax is encountered.
VHDL-600
Severity
Error
Description
Detected VHDL synthesis subset violations.
What's Next
Certain VHDL constructs were detected that are unsynthesizable or not supported in this release.
This error can be avoided by rewriting the VHDL code to avoid the constructs for which errors were
reported.
VHDL-601
Severity
Error
Description
Unsupported clock edge construct.
What's Next
See 'Specifying Clock Signals for Flip-Flops' in 'HDL Modeling Guide' for information on how to
model clock-edge expressions.
VHDL-602
Severity
Error
Description
Clock-edge expression must be the condition of the last clause of a 'conditional assignment'
statement.
What's Next
See 'Specifying Clock Signals for Flip-Flops' in 'HDL Modeling Guide' for information on how to
model clock-edge expressions.
VHDL-603
Severity
Error
Description
Unsupported use of a clock-edge expression.
What's Next
See 'Specifying Clock Signals for Flip-Flops' in 'HDL Modeling Guide' for information on how to
model clock-edge expressions.
VHDL-606
Severity
Error
Description
Unsupported use of 'wait' statement.
What's Next
When a 'wait' statement is used, it must be the first statement in the process. Processes with
multiple 'wait' statements are not supported. See 'HDL Modeling Guide' for more information.
VHDL-607
Severity
Error
Description
The condition clause of a wait statement must contain an edge specification.
What's Next
See 'Specifying Clock Signals for Flip-Flops' in 'HDL Modeling Guide' for information on how to
model clock-edge expressions.
VHDL-608
Severity
Error
Description
Sensitivity clause of a wait statement can only contain the signal whose edge specification is in the
condition clause.
What's Next
See 'Specifying Clock Signals for Flip-Flops' in 'HDL Modeling Guide' for information on how to
model clock-edge expressions.
VHDL-609
Severity
Error
Description
Block statements with ports and generics are not supported for synthesis.
What's Next
Rewrite the VHDL code using supported constructs. See the 'HDL Modeling Guide' for a list of
supported VHDL constructs.
VHDL-610
Severity
Error
Description
Construct is not synthesizable.
What's Next
The specified construct is not currently supported. See 'Synthesizing VHDL Designs' in the 'HDL
Modeling Guide' for a list of supported synthesis constructs.
VHDL-613
Severity
Error
Description
Unsupported predefined attribute.
What's Next
The predefined attribute is not supported. See the 'HDL Modeling Guide' for a list of supported
predefined attributes.
VHDL-614
Severity
Error
Description
Attribute is only allowed in the context of clock-edge expressions.
What's Next
The attributes 'event' and 'stable' must be used only for specifying clock-edge expressions. See
'Specifying Clock Signals for Flip-Flops' in 'HDL Modeling Guide' for information on how to model
clock-edge expressions.
VHDL-615
Severity
Error
Description
Different signals in potential clock edge expression.
What's Next
In clock-edge expressions that use the 'event' and 'stable' attributes, the signal used in the attribute
names must be identical to the signal used in the value-expression. For example:
VHDL-617
Severity
Error
Description
Multiple waveforms in signal assignments are not supported for synthesis.
What's Next
See the 'HDL Modeling Guide' for a list of supported VHDL constructs.
VHDL-620
Severity
Error
Description
Unable to find package body.
What's Next
Error encountered during VHDL parsing.
VHDL-630
Severity
Error
Description
Physical literals are not supported for synthesis.
What's Next
The specified construct is not currently supported. To avoid this error, try and rewrite the VHDL in a
different way or enclose the construct between the meta-comments: -- cadence translate_off ..... --
cadence translate_on.
VHDL-631
Severity
Error
Description
Real literals are not supported for synthesis.
What's Next
The specified construct is not currently supported. To avoid this error, try and rewrite the VHDL in a
different way or enclose the construct between the meta-comments: -- cadence translate_off ..... --
cadence translate_on.
VHDL-633
Severity
Error
Description
Interface objects of mode linkage are not supported for synthesis.
What's Next
The specified construct is not currently supported. To avoid this error, try and rewrite the VHDL in a
different way or enclose the construct between the meta-comments: -- cadence translate_off ..... --
cadence translate_on.
VHDL-634
Severity
Error
Description
Postponed concurrent statements are not supported for synthesis.
What's Next
The specified construct is not currently supported. To avoid this error, try and rewrite the VHDL in a
different way or enclose the construct between the meta-comments: -- cadence translate_off ..... --
cadence translate_on.
VHDL-635
Severity
Error
Description
Signal assignments with 'unaffected' expression are not supported for synthesis.
What's Next
The specified construct is not currently supported. To avoid this error, try and rewrite the VHDL in a
different way or enclose the construct between the meta-comments: -- cadence translate_off ..... --
cadence translate_on.
VHDL-636
Severity
Error
Description
Block statements with guard expression are not supported for synthesis.
What's Next
The specified construct is not currently supported. To avoid this error, try and rewrite the VHDL in a
different way or enclose the construct between the meta-comments: -- cadence translate_off ..... --
cadence translate_on.
VHDL-638
Severity
Error
Description
Unconditional loops are not supported for synthesis.
What's Next
The specified construct is not currently supported. To avoid this error, try and rewrite the VHDL in a
different way or enclose the construct between the meta-comments: -- cadence translate_off ..... --
cadence translate_on.
VHDL-647
Severity
Error
Description
Signals of kind 'register' are unsupported for synthesis.
What's Next
The specified construct is not currently supported. To avoid this error, try and rewrite the VHDL in a
different way or enclose the construct between the meta-comments: -- cadence translate_off ..... --
cadence translate_on.
VHDL-652
Severity
Error
Description
Function body has no synthesizable return statements.
What's Next
A function body must have at least one return statement that is synthesizable (i.e. not enclosed
between synthesis off/on directives).
VHDL-656
Severity
Error
Description
Clock-edge is supported only in process statements.
What's Next
A clock-edge expression is supported in the following contexts only:
VHDL-658
Severity
Error
Description
Instance has conflicting bindings.
What's Next
Invalid VHDL syntax is encountered.
VHDL-665
Severity
Error
Description
Mismatched or unsupported indexing of signal in potential clock-edge expression.
What's Next
In clock-edge expressions that use the 'event' and 'stable' attributes, the signal used in the attribute
names must be identical to the signal used in the value-expression. For example:
VHDL-669
Severity
Error
Description
Multiple 'wait' statements in a VHDL process are unsupported.
What's Next
Processes that have a 'wait' statement must have it as the first statement. Multiple 'wait' statements
in the same process used to describe implicit state machines is unsupported.
VHDL-673
Severity
Error
Description
Multiple module definitions present across different libraries in the module pool.
What's Next
Try and resolve the instance by providing either a single definition of its module definition or
specifying the instance linkage (library to link it from) properly.
VHDL-676
Severity
Error
Description
Incremental binding is trying to re-associate the formal port.
What's Next
Invalid VHDL syntax is encountered.
VHDL-205
Severity
Info
Description
VHDL file(s) analyzed successfully.
VHDL-212
Severity
Info
Description
Replacing architecture.
What's Next
An architecture is replaced when an architecture of the same name for the same entity is read
again.
VHDL-224
Severity
Info
Description
Deleting out-of-date entity from module pool.
What's Next
Assume that an entity 'E' has been successfully analyzed previously. If entity E is analyzed again
and contains errors, it (and all its architectures) are deleted from the module pool and will need to
be re-analyzed.
VHDL-622
Severity
Info
Description
Using resolution function.
What's Next
The specified signal or its subtype has a resolution function which has been annotated with a
'wired_or' or 'wired_and' resolution behavior.
VHDL-659
Severity
Info
Description
Binding to entity.
VHDL-674
Severity
Info
Description
Ignoring single configuration specified with top-level entity.
What's Next
To revert to old behavior set attribute 'hdl_vhdl_honor_single_configuration' to true.
VHDL-675
Severity
Info
Description
Ignoring all VHDL configurations specified with the top level entity.
What's Next
To pick a configuration, use 'elaborate config_name'.
VHDL-230
VHDL-616
VHDL-618
VHDL-619
VHDL-621
VHDL-625
VHDL-637
VHDL-639
VHDL-640
VHDL-641
VHDL-643
VHDL-644
VHDL-645
VHDL-664
VHDL-667
VHDL-668
VHDL-671
VHDL-672
VHDL-217
Severity
Warning
Description
Cannot redefine existing vhdl library.
What's Next
To specify that vhdl library 'lib2' is an alias for 'lib1', do:
VHDL-218
Severity
Warning
Description
Invalid Entity.
What's Next
Entity is invalid because it is potentially out-of-date with respect to packages used. Check the
specified entity and package and re-analyze the entity using the 'read_hdl -vhdl filename'
command.
VHDL-230
Severity
Warning
Description
Deleting previously analyzed units due to redefinition of VHDL libraries.
What's Next
Redefining a VHDL library after using 'read_hdl -vhdl' can invalidate previously created VHDL
design units. The following command can change the definitions of VHDL libraries:
VHDL-616
Severity
Warning
Description
Ignoring 'after' clause in signal assignment. This may result in a mismatch between simulation and
synthesis.
What's Next
Refer to the 'HDL Modeling Guide' for a list of supported constructs.
VHDL-618
Severity
Warning
Description
'Timeout' clauses in 'wait' statements are ignored for synthesis. This may cause mismatches
between simulation and synthesis.
What's Next
Refer to the 'HDL Modeling Guide' for a list of supported VHDL constructs.
VHDL-619
Severity
Warning
Description
Potentially illegal attribute reference.
What's Next
The attribute name cannot be resolved to a static value. For example, consider the enumeration
type:
VHDL-621
Severity
Warning
Description
Ignoring resolution function. This may cause mismatches between simulation and synthesis.
What's Next
The 'resolved' function defined in package IEEE STD_LOGIC_1164 is the only supported
resolution function. Refer to 'Specifying Resolution Function Pragmas (VHDL)' in 'HDL Modeling
Guide' for information on how to use the RESOLUTION function directives.
VHDL-625
Severity
Warning
Description
Signal occurring in attribute prefix not listed in sensitivity list.
VHDL-637
Severity
Warning
Description
File declarations are not supported for synthesis.
VHDL-639
Severity
Warning
Description
Initial values are ignored for synthesis.
What's Next
The specified construct has no effect on synthesis. In some cases (such as 'after' clauses in signal
assignments) may cause a mismatch between and simulation.
VHDL-640
Severity
Warning
Description
Delay mechanisms in signal assignments are ignored for synthesis.
What's Next
The specified construct has no effect on synthesis. In some cases (such as 'after' clauses in signal
assignments) may cause a mismatch between synthesis and simulation.
VHDL-641
Severity
Warning
Description
What's Next
The specified construct has no effect on synthesis. In some cases (such as 'after' clauses in signal
assignments) may cause a mismatch between synthesis and simulation.
VHDL-643
Severity
Warning
Description
Report statements are ignored for synthesis.
What's Next
The specified construct has no effect on synthesis. Some constructs (such as 'after' clauses in
signal assignments) may cause a mismatch between simulation and synthesis.
VHDL-644
Severity
Warning
Description
Assertion statements are ignored for synthesis.
What's Next
The specified construct has no effect on synthesis. Some constructs (such as 'after' clauses in
signal assignments) may cause a mismatch between simulation and synthesis.
VHDL-645
Severity
Warning
Description
Concurrent assertion statements are ignored for synthesis.
What's Next
The specified construct has no effect on synthesis. In some cases (such as 'after' clauses in signal
assignments) may cause a mismatch between and simulation.
VHDL-664
Severity
Warning
Description
Unable to find package body for subset validation.
What's Next
When a VHDL file is read using the 'read_hdl -vhdl' command, it is simultaneously checked for the
synthesizable RTL subset. For references to subprograms declared in packages, the corresponding
subprogram body in the package body is also validated. If the package body has not been
analyzed, any references to subprograms in the body will not be subset checked. For this reason, it
is recommended that all packages and their bodies be analyzed before any entities/architectures
are analyzed.
VHDL-667
Severity
Warning
Description
Declaration is ignored for synthesis.
What's Next
Signals, constants and variables must have a subtype that is supported for synthesis.
VHDL-668
Severity
Warning
Description
A 'wait' statement that is not the first statement of the process may result in simulation mismatches.
What's Next
Processes that have a 'wait' statement must have it as the first statement, otherwise simulation
mismatches may result between RTL and netlist. Processes with multiple 'wait' statements are not
supported.
VHDL-671
Severity
Warning
Description
Potential simulation mismatch in call to STD_MATCH.
What's Next
The attribute ENUM_ENCODING is used to map non-synthesizable values of std_ulogic to
synthesizable values. Because the STD_MATCH function depends on the exact values passed in,
this mapping may cause a simulation mismatch.
VHDL-672
Severity
Warning
Description
Overriding earlier builtin pragma on function.
106
VHDLPT
VHDLPT-534
VHDLPT-535
VHDLPT-536
VHDLPT-537
VHDLPT-538
VHDLPT-539
VHDLPT-540
VHDLPT-541
VHDLPT-542
VHDLPT-543
VHDLPT-545
VHDLPT-546
VHDLPT-547
VHDLPT-549
VHDLPT-550
VHDLPT-551
VHDLPT-552
VHDLPT-553
VHDLPT-554
VHDLPT-555
VHDLPT-556
VHDLPT-557
VHDLPT-558
VHDLPT-559
VHDLPT-560
VHDLPT-561
VHDLPT-562
VHDLPT-563
VHDLPT-564
VHDLPT-565
VHDLPT-566
VHDLPT-567
VHDLPT-568
VHDLPT-569
VHDLPT-570
VHDLPT-571
VHDLPT-572
VHDLPT-573
VHDLPT-574
VHDLPT-575
VHDLPT-576
VHDLPT-577
VHDLPT-578
VHDLPT-579
VHDLPT-580
VHDLPT-581
VHDLPT-582
VHDLPT-583
VHDLPT-584
VHDLPT-585
VHDLPT-586
VHDLPT-587
VHDLPT-589
VHDLPT-590
VHDLPT-591
VHDLPT-592
VHDLPT-593
VHDLPT-594
VHDLPT-595
VHDLPT-596
VHDLPT-597
VHDLPT-598
VHDLPT-599
VHDLPT-600
VHDLPT-601
VHDLPT-602
VHDLPT-603
VHDLPT-605
VHDLPT-606
VHDLPT-607
VHDLPT-608
VHDLPT-609
VHDLPT-610
VHDLPT-611
VHDLPT-612
VHDLPT-613
VHDLPT-614
VHDLPT-615
VHDLPT-616
VHDLPT-617
VHDLPT-618
VHDLPT-619
VHDLPT-620
VHDLPT-622
VHDLPT-623
VHDLPT-624
VHDLPT-626
VHDLPT-627
VHDLPT-628
VHDLPT-629
VHDLPT-630
VHDLPT-632
VHDLPT-633
VHDLPT-634
VHDLPT-635
VHDLPT-636
VHDLPT-637
VHDLPT-638
VHDLPT-639
VHDLPT-640
VHDLPT-641
VHDLPT-642
VHDLPT-643
VHDLPT-644
VHDLPT-645
VHDLPT-647
VHDLPT-648
VHDLPT-650
VHDLPT-652
VHDLPT-653
VHDLPT-654
VHDLPT-655
VHDLPT-656
VHDLPT-657
VHDLPT-658
VHDLPT-659
VHDLPT-660
VHDLPT-662
VHDLPT-664
VHDLPT-665
VHDLPT-666
VHDLPT-667
VHDLPT-669
VHDLPT-670
VHDLPT-671
VHDLPT-672
VHDLPT-673
VHDLPT-674
VHDLPT-675
VHDLPT-676
VHDLPT-677
VHDLPT-678
VHDLPT-679
VHDLPT-681
VHDLPT-682
VHDLPT-683
VHDLPT-684
VHDLPT-685
VHDLPT-686
VHDLPT-687
VHDLPT-688
VHDLPT-689
VHDLPT-690
VHDLPT-691
VHDLPT-692
VHDLPT-693
VHDLPT-694
VHDLPT-695
VHDLPT-696
VHDLPT-697
VHDLPT-698
VHDLPT-699
VHDLPT-700
VHDLPT-701
VHDLPT-702
VHDLPT-703
VHDLPT-705
VHDLPT-706
VHDLPT-707
VHDLPT-708
VHDLPT-709
VHDLPT-710
VHDLPT-711
VHDLPT-712
VHDLPT-713
VHDLPT-714
VHDLPT-716
VHDLPT-717
VHDLPT-718
VHDLPT-719
VHDLPT-720
VHDLPT-721
VHDLPT-722
VHDLPT-723
VHDLPT-724
VHDLPT-725
VHDLPT-726
VHDLPT-727
VHDLPT-728
VHDLPT-729
VHDLPT-730
VHDLPT-731
VHDLPT-732
VHDLPT-733
VHDLPT-734
VHDLPT-735
VHDLPT-736
VHDLPT-737
VHDLPT-739
VHDLPT-740
VHDLPT-741
VHDLPT-742
VHDLPT-743
VHDLPT-744
VHDLPT-745
VHDLPT-746
VHDLPT-747
VHDLPT-748
VHDLPT-749
VHDLPT-750
VHDLPT-751
VHDLPT-752
VHDLPT-753
VHDLPT-755
VHDLPT-757
VHDLPT-759
VHDLPT-760
VHDLPT-761
VHDLPT-762
VHDLPT-763
VHDLPT-764
VHDLPT-765
VHDLPT-766
VHDLPT-767
VHDLPT-768
VHDLPT-769
VHDLPT-770
VHDLPT-771
VHDLPT-772
VHDLPT-773
VHDLPT-775
VHDLPT-776
VHDLPT-777
VHDLPT-778
VHDLPT-779
VHDLPT-780
VHDLPT-781
VHDLPT-782
VHDLPT-783
VHDLPT-784
VHDLPT-785
VHDLPT-786
VHDLPT-789
VHDLPT-790
VHDLPT-791
VHDLPT-792
VHDLPT-793
VHDLPT-794
VHDLPT-795
VHDLPT-796
VHDLPT-798
VHDLPT-803
VHDLPT-804
VHDLPT-806
VHDLPT-809
VHDLPT-501
Severity
Error
Description
Cannot open library.
What's Next
Check that the VHDL library is defined and that it maps to an existing directory.
VHDLPT-502
Severity
Error
Description
Cannot open standard VHDL packages.
What's Next
Check the path and permissions of the packages.
VHDLPT-503
Severity
Error
Description
Cannot save library unit; possibly an analyzer bug.
What's Next
Error encountered during VHDL parsing.
VHDLPT-505
Severity
Error
Description
Command failed due to syntax error.
What's Next
The command option is spelled incorrectly or is improperly placed in the command line. Correct and
reenter the command.
VHDLPT-511
Severity
Error
Description
Attempting to mix VHDL-1987 and VHDL-1993 units.
What's Next
Error encountered during VHDL parsing.
VHDLPT-513
Severity
Error
Description
Error will occur in elaboration or simulation.
What's Next
Error encountered during VHDL parsing.
VHDLPT-516
Severity
Error
Description
Cannot open unit because of invalid dependency.
What's Next
A previously analyzed unit cannot be opened because of errors in resolving its dependencies on
one or more units. Possible sources for this error include:
VHDLPT-517
Severity
Error
Description
Unit has incompatible DLS version.
What's Next
Error encountered during VHDL parsing.
VHDLPT-519
Severity
Error
Description
Generic error.
What's Next
Error encountered during VHDL parsing.
VHDLPT-521
Severity
Error
Description
VHDL Parser internal error.
What's Next
Error encountered during VHDL parsing.
VHDLPT-526
Severity
Error
Description
Unexpected end of file.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-527
Severity
Error
Description
A VHDL-1987 attribute was detected in VHDL-1993 mode.
What's Next
The VHDL-1987 attributes ''BEHAVIOR' and ''STRUCTURE' are not allowed when analyzing in
VHDL-1993 mode.
VHDLPT-528
Severity
Error
Description
VHDL-1993 feature detected in VHDL-1987 mode.
What's Next
To use VHDL-1993, set the 'hdl_vhdl_read_version' attribute to '1993'.
VHDLPT-529
Severity
Error
Description
VHDL-1993 keyword may not appear as a subprogram designator.
What's Next
The following new operators were introduced in VHDL-1993. They may be used as identifiers in
VHDL-1987, but in VHDL-1993 they must be quoted, except when appearing in infix notation:
VHDLPT-530
Severity
Error
Description
Interface mode error.
What's Next
VHDL imposes restrictions on the use of interface objects (ports and subprogram parameters)
based on their modes. Interface objects of mode IN, INOUT, and BUFFER may be read, and
interface objects of mode OUT, INOUT, and BUFFER may be updated.
VHDLPT-531
Severity
Error
Description
Actual designator does not match formal type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-533
Severity
Error
Description
Aggregate target may not contain discrete range choice.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-534
Severity
Error
Description
Aggregate target may not contain a subaggregate.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-535
Severity
Error
Description
Ambiguous actual part.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-536
Severity
Error
Description
Cannot determine type of aggregate.
What's Next
The type of an aggregate must be determinable from the context in which the aggregate appears.
An ambiguous aggregate may be resolved using a qualified expression. For instance, the
aggregates appearing in the following statement:
VHDLPT-537
Severity
Error
Description
No unique conversion function.
What's Next
A conversion function applied to a formal or actual part in an association element must have a
single argument of the type of the formal, and return the type of the actual. Thus a function like
To_Bit in package ieee.std_logic_1164 cannot be a conversion function since it has two arguments.
VHDLPT-538
Severity
Error
Description
Expression has ambiguous type.
What's Next
The alternatives given with the error message specify possible interpretations for the expression.
Some common reasons for ambiguity:
VHDLPT-539
Severity
Error
Description
Ambiguous procedure call; possible alternatives follow.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-540
Severity
Error
Description
More than one possible prefix for signature.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-541
Severity
Error
Description
Cannot determine type of string.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-542
Severity
Error
Description
For unconstrained array conversion function needs constrained subtype.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-543
Severity
Error
Description
Choice length differs from selector expression length.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-545
Severity
Error
Description
A range choice is not allowed for a selector of an array type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-546
Severity
Error
Description
Invalid argument to attribute.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-547
Severity
Error
Description
Predefined attribute requires an argument.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-549
Severity
Error
Description
Parameter to attribute must be a static expression.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-550
Severity
Error
Description
Attribute parameter out of range.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-551
Severity
Error
Description
Invalid prefix of attribute name.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-552
Severity
Error
Description
The designated type of an access type must not be a file type.
What's Next
Error encountered during VHDL parsing.
VHDLPT-553
Severity
Error
Description
Expecting possibly converted name as actual corresponding to formal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-554
Severity
Error
Description
An alias may not be declared for this object.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-555
Severity
Error
Description
Name in object alias declaration must denote an object.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-556
Severity
Error
Description
Cannot attribute alias which does not denote a whole object.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-557
Severity
Error
Description
The subtype in an alias declaration must not denote a multidimensional array type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-558
Severity
Error
Description
A subtype indication in an allocator must denote a constrained array subtype.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-559
Severity
Error
Description
Choice in array aggregate is not an expression or discrete range.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-560
Severity
Error
Description
Bad prefix for predefined attribute.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-561
Severity
Error
Description
An attribute may not be of a file type or access type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-562
Severity
Error
Description
'BASE may appear only as a prefix of an attribute name.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-563
Severity
Error
Description
Character does not belong to element base type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-564
Severity
Error
Description
A constant may not be of a file type or access type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-565
Severity
Error
Description
A constant may not be of a composite type with a subelement of an access type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-566
Severity
Error
Description
Record type has no such element.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-567
Severity
Error
Description
Illegal element type for composite type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-568
Severity
Error
Description
The subtype indication in a file declaration must denote a file type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-569
Severity
Error
Description
The type mark in a file type definition must not denote a file type or access type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-570
Severity
Error
Description
A variable may not be of a file type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-571
Severity
Error
Description
A sequential signal assignment cannot be guarded.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-572
Severity
Error
Description
In a guarded signal assignment, GUARD must be a signal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-573
Severity
Error
Description
Implicit signal GUARD may not have a source.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-574
Severity
Error
Description
Illegal unguarded target of guarded signal assignment.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-575
Severity
Error
Description
In a guarded signal assignment, GUARD must be of type boolean.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-576
Severity
Error
Description
A null waveform element may not appear in a waveform of a concurrent signal assignment
statement.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-577
Severity
Error
Description
Illegal choice in record aggregate.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-578
Severity
Error
Description
Name on sensitivity list must be a static signal name.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-579
Severity
Error
Description
A signal may not be of a file type or access type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-580
Severity
Error
Description
A signal may not be of a composite type with a subelement of an access type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-581
Severity
Error
Description
The given expression cannot be interpreted as a subaggregate.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-582
Severity
Error
Description
Invalid subelement (must be indexed, slice, or selected name).
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-583
Severity
Error
Description
Binding mismatch between instances.
What's Next
Error encountered during VHDL parsing.
VHDLPT-584
Severity
Error
Description
Signal associated with BUFFER port has more than one source.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-585
Severity
Error
Description
Keyword BUS not allowed for non-signal interface parameters.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-586
Severity
Error
Description
Illegal token in this context.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-587
Severity
Error
Description
Sequential signal assignment cannot have conditional waveforms.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-589
Severity
Error
Description
Character literal is not visible at this point.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-590
Severity
Error
Description
Type of choice does not match index base type in array aggregate.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-591
Severity
Error
Description
Architecture mismatch in component configuration.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-592
Severity
Error
Description
Configuration may not have same identifier as entity.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-593
Severity
Error
Description
A binding indication within a configuration specification must contain an entity aspect.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-594
Severity
Error
Description
Group template requires constituent of different class.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-595
Severity
Error
Description
Base type of subtype indication does not match constraining base type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-596
Severity
Error
Description
Declaration in statement part.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-597
Severity
Error
Description
Cannot resolve selected name.
What's Next
Error encountered during VHDL parsing.
VHDLPT-598
Severity
Error
Description
Error in default map aspect: formal may not be defaulted.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-599
Severity
Error
Description
Error in default map aspect: entity has no corresponding formal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-600
Severity
Error
Description
Error in default map aspect: formal does not match local.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-601
Severity
Error
Description
A deferred constant declaration may only occur within a package declaration.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-602
Severity
Error
Description
Invalid reference to deferred constant before completion.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-603
Severity
Error
Description
An attribute specification of a design unit must occur immediately within the declarative part of that
design unit.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-605
Severity
Error
Description
The '<>' symbol cannot appear in a discrete_range.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-606
Severity
Error
Description
Signal in disconnection specification is not a guarded signal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-607
Severity
Error
Description
Item in disconnection specification is not a signal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-608
Severity
Error
Description
Signal in disconnection specification is not declared with correct type mark.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-609
Severity
Error
Description
Port connection problem found with given formal port.
What's Next
Correct the port associations for the formal port in the RTL.
VHDLPT-610
Severity
Error
Description
Duplicate attribute specification.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-611
Severity
Error
Description
Duplicate subprogram body.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-612
Severity
Error
Description
Duplicate choice.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-613
Severity
Error
Description
Duplicate completion for deferred constant.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-614
Severity
Error
Description
Duplicate configuration.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-615
Severity
Error
Description
Configuration specification attempts to re-bind instantiation.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-616
Severity
Error
Description
Cannot impose an index constraint on a type mark which denotes a constrained array subtype.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-617
Severity
Error
Description
Duplicate disconnection specification for signal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-618
Severity
Error
Description
Choice has already been associated in aggregate.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-619
Severity
Error
Description
Formal port has already been associated.
What's Next
Remove duplicate associations of the formal port in the RTL.
VHDLPT-620
Severity
Error
Description
Overlap of index specifications in configuration of generate statement.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-622
Severity
Error
Description
Duplication of choices.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-623
Severity
Error
Description
Duplicate subprogram specification found.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-624
Severity
Error
Description
Duplicate or overlapping name in aggregate target.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-626
Severity
Error
Description
Empty '()' is illegal.
What's Next
Unlike C, a VHDL function or procedure with no arguments has no parentheses.
VHDLPT-627
Severity
Error
Description
Record type definition with no element declarations.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-628
Severity
Error
Description
<> option may only appear in with final entity class in entry list.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-629
Severity
Error
Description
An array aggregate with null or non-static choice must have exactly one choice.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-630
Severity
Error
Description
Unexpected construct.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-632
Severity
Error
Description
Association element does not correspond to any formal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-633
Severity
Error
Description
Illegal extra ',' detected.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-634
Severity
Error
Description
Ending label on unlabeled statement.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-635
Severity
Error
Description
Too many element associations in record aggregate.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-636
Severity
Error
Description
Illegal extra ';' detected.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-637
Severity
Error
Description
Illegal type mark in file type definition.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-638
Severity
Error
Description
Wrong number of elements for group template.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-639
Severity
Error
Description
Each scalar subelement of a guarded composite signal must be of a resolved subtype or belong to
a resolved composite subtype.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-640
Severity
Error
Description
A guarded scalar signal must be of a resolved subtype.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-641
Severity
Error
Description
Duplicate declaration.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-642
Severity
Error
Description
Illegal type conversion or conversion function.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-643
Severity
Error
Description
Default expression not allowed.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-644
Severity
Error
Description
Invalid reference to incomplete type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-645
Severity
Error
Description
Wrong number of constraints for array type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-647
Severity
Error
Description
File interface object cannot have default expression.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-648
Severity
Error
Description
Identifier in instantiation list must be a label.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-650
Severity
Error
Description
Invalid actual in subprogram call.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-652
Severity
Error
Description
Configuration specification does not refer to an instantiation of the component.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-653
Severity
Error
Description
A non-array type mark may not have an index constraint.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-654
Severity
Error
Description
Invalid expression.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-655
Severity
Error
Description
A formal conversion is not allowed for a formal of mode IN.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-656
Severity
Error
Description
Invalid open or defaulted association element for formal.
What's Next
A formal may be a generic or port, or a subprogram argument. A generic or a port of mode IN may
be omitted only if it is declared with a default expression. A port of mode other than IN may be
omitted unless it is of an unconstrained array type. A subprogram argument of mode IN may be
omitted if it is declared with a default expression.
VHDLPT-657
Severity
Error
Description
Invalid class.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-658
Severity
Error
Description
Invalid mode.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-659
Severity
Error
Description
Invalid VHDL expression primary.
What's Next
Invalid or unsupported VHDL syntax is encountered.Valid VHDL primary are - name, literal,
aggregate, function_call, qualified_expression, type_conversion, allocator and expression.
VHDLPT-660
Severity
Error
Description
Lexical error.
What's Next
Error encountered during VHDL parsing.
VHDLPT-662
Severity
Error
Description
Math error.
What's Next
Error encountered during VHDL parsing.
VHDLPT-664
Severity
Error
Description
Illegal mix of logical operators, suggest adding parentheses.
What's Next
VHDL disallows unparenthesized sequences of more than two different logical operators or
negating logical operators. For instance:
VHDLPT-665
Severity
Error
Description
Missing association element(s) for formal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-666
Severity
Error
Description
Missing subprogram body.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-667
Severity
Error
Description
Missing choices in aggregate.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-669
Severity
Error
Description
Missing completion for deferred constant.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-670
Severity
Error
Description
Statement must have a label.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-671
Severity
Error
Description
Missing association for record element.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-672
Severity
Error
Description
Missing token.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-673
Severity
Error
Description
Missing completion for incomplete type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-674
Severity
Error
Description
Cannot mix index constraint and index subtype definition in an array type definition.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-675
Severity
Error
Description
Guarded and unguarded signals are mixed in aggregate target.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-676
Severity
Error
Description
Cannot mix named and positional association in aggregate.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-677
Severity
Error
Description
Interface file declaration may not contain a mode keyword.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-678
Severity
Error
Description
Signal has multiple sources.
What's Next
There are two cases in which a VHDL signal is prohibited from having multiple sources. First, any
unresolved signal is not allowed to have multiple sources. Second, any signal declared BUFFER is
not allowed to have multiple sources. (A resolved BUFFER signal is still not allowed to have
multiple sources.)
VHDLPT-679
Severity
Error
Description
This expanded name is not within current construct.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-681
Severity
Error
Description
Block configuration has no corresponding block.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-682
Severity
Error
Description
No common type for left and right bounds of discrete range.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-683
Severity
Error
Description
No architecture for configured entity.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-684
Severity
Error
Description
Non-conforming subtype indication in completion of deferred constant.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-685
Severity
Error
Description
Non-conforming subprogram specification.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-686
Severity
Error
Description
No signal GUARD visible at the point of the guarded signal assignment statement.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-687
Severity
Error
Description
No possible interpretations for expression.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-688
Severity
Error
Description
No such item in package.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-689
Severity
Error
Description
No loop for next or exit statement.
What's Next
A next or exit statement may only be used within a loop statement (including a for loop or while
loop).
VHDLPT-690
Severity
Error
Description
An array aggregate with a non-locally-static choice must have exactly one choice.
What's Next
In an array aggregate with more than one choice, all the choices must be constants. Whether an
OTHERS choice is constant depends on the context in which the aggregate appears. For instance,
in signal s : bit_vector(g1 to g2) := (1 => '1', others => '0'); the OTHERS choice is constant only if g1
and g2 are constant.
VHDLPT-691
Severity
Error
Description
Non-locally-static name in aggregate target.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-692
Severity
Error
Description
Choice must be static.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-693
Severity
Error
Description
Non-static formal name in individual association.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-694
Severity
Error
Description
The condition in an 'if generate' statement must be a static expression.
What's Next
See the 'HDL Modeling Guide' for more information.
VHDLPT-695
Severity
Error
Description
The range in a 'for generate' statement must be a static range.
What's Next
See the 'HDL Modeling Guide' for more information.
VHDLPT-696
Severity
Error
Description
Expression in index specification must be static.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-697
Severity
Error
Description
The default expression of an interface object must be static.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-698
Severity
Error
Description
Static name required.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-699
Severity
Error
Description
Range in numeric type definition must be locally static.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-700
Severity
Error
Description
Time expression must be static.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-701
Severity
Error
Description
Non-unique symbol.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-702
Severity
Error
Description
OTHERS appears in an array aggregate in a non-constraining context.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-703
Severity
Error
Description
No such primary unit in library.
What's Next
There are two typical causes for this error: 1) the unit has not been read in with read_hdl -vhdl; or 2)
it has been read into a different library than the library referenced in this context.
VHDLPT-705
Severity
Error
Description
No prefix matches this signature.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-706
Severity
Error
Description
Unknown attribute.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-707
Severity
Error
Description
Operand base type is not closely related to base type of type mark in type conversion.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-708
Severity
Error
Description
No interpretation as discrete type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-709
Severity
Error
Description
Range must be of a discrete type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-710
Severity
Error
Description
Name is not in entity class.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-711
Severity
Error
Description
No matching declaration in current declarative part.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-712
Severity
Error
Description
There is a prior configuration specification for ALL or OTHERS for this component.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-713
Severity
Error
Description
Range must be of a numeric type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-714
Severity
Error
Description
Illegal null waveform element with non-guarded target.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-716
Severity
Error
Description
An OTHERS in a record aggregate must represent at least one element.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-717
Severity
Error
Description
Parameter association class mismatch.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-718
Severity
Error
Description
A converted formal is not allowed with an actual of OPEN.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-719
Severity
Error
Description
An actual of OPEN may not be associated with a formal that is associated individually.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-720
Severity
Error
Description
An entity aspect of OPEN may not be followed by a generic or port map aspect.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-721
Severity
Error
Description
Wrong number of parameters for operator.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-722
Severity
Error
Description
GUARDED may not follow delay mechanism.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-723
Severity
Error
Description
Choice type mismatch.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-724
Severity
Error
Description
The choice OTHERS must appear by itself.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-725
Severity
Error
Description
OTHERS must be last choice.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-726
Severity
Error
Description
Unit is out of date.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-727
Severity
Error
Description
Expression or slice out of range.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-728
Severity
Error
Description
Analysis of unit invalidates already analyzed units.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-729
Severity
Error
Description
Physical type definition requires bounds of integer types.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-730
Severity
Error
Description
Port mode mismatch in association.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-731
Severity
Error
Description
Positional association cannot follow named association.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-732
Severity
Error
Description
Attribute specification after ALL or OTHERS.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-733
Severity
Error
Description
Illegal declaration of guarded signal after disconnection specification for ALL or OTHERS.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-734
Severity
Error
Description
Inappropriate prefix.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-735
Severity
Error
Description
Procedure mismatch; no procedures matched type profile.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-736
Severity
Error
Description
Illegal reference within pure function.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-737
Severity
Error
Description
A procedure declaration may not contain the keywords PURE or IMPURE.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-739
Severity
Error
Description
Identifier mismatch.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-740
Severity
Error
Description
An alias of a subprogram or enumeration literal requires a signature.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-741
Severity
Error
Description
Error in resolution function.
What's Next
Error encountered during VHDL parsing.
VHDLPT-742
Severity
Error
Description
Illegal use of a resolution function in a subtype indication.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-743
Severity
Error
Description
A return statement with an expression may not appear within a procedure body.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-744
Severity
Error
Description
A return statement in a function must have an expression.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-745
Severity
Error
Description
Each secondary unit of a physical type must be defined, directly or indirectly, in terms of the primary
unit.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-746
Severity
Error
Description
Secondary unit declaration requires an integer literal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-747
Severity
Error
Description
When prefix of selected name denotes a library, suffix must denote a package.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-748
Severity
Error
Description
Element subtype of selector base type is not locally static.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-749
Severity
Error
Description
An object alias may not have a signature.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-750
Severity
Error
Description
Converted association is not allowed for a signal parameter.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-751
Severity
Error
Description
Variable assignment target-source type mismatch.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-752
Severity
Error
Description
Statement in declarative part.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-753
Severity
Error
Description
Mixup in keyword order.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-755
Severity
Error
Description
Attribute of subprogram parameter cannot be read.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-757
Severity
Error
Description
Wrong class for target of assignment statement.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-759
Severity
Error
Description
Invalid type conversion operand.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-760
Severity
Error
Description
Only a type mark is allowed.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-761
Severity
Error
Description
Type mismatch.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-762
Severity
Error
Description
Unassociated local in map aspect.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-763
Severity
Error
Description
The subtype indication in the declaration of a signal of an array type must be constrained.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-764
Severity
Error
Description
Undeclared character literal.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-765
Severity
Error
Description
No such element in record type.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-766
Severity
Error
Description
Undeclared identifier.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-767
Severity
Error
Description
Undeclared library name.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-768
Severity
Error
Description
Undeclared operator symbol.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-769
Severity
Error
Description
Unexpected construct.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-770
Severity
Error
Description
OTHERS choice is required for selector base type of universal_integer.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-771
Severity
Error
Description
Error in use clause syntax.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-772
Severity
Error
Description
Expected package name in use clause.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-773
Severity
Error
Description
The subtype indication in the declaration of a variable of an array type must be constrained.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-775
Severity
Error
Description
Declaration not allowed here.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-776
Severity
Error
Description
An index specification is allowed only for a FOR generate label.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-777
Severity
Error
Description
Statement may not contain keyword POSTPONED.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-778
Severity
Error
Description
Invalid primary unit name.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-779
Severity
Error
Description
A return statement is allowed only within a subprogram body.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-780
Severity
Error
Description
Invalid selected name.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-781
Severity
Error
Description
This specification is not allowed here.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-782
Severity
Error
Description
This statement is not allowed here.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-783
Severity
Error
Description
Inappropriate construct in this context.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-784
Severity
Error
Description
Ignoring illegal token.
What's Next
Error encountered during VHDL parsing.
VHDLPT-785
Severity
Error
Description
Cannot overwrite existing unit.
What's Next
'read_vhdl' will not overwrite a write-protected file. This error typically occurs when a user attempts
to overwrite one of the predefined packages in libraries STD, AMBIT, or IEEE.
VHDLPT-786
Severity
Error
Description
Cannot open unit.
What's Next
Error encountered during VHDL parsing.
VHDLPT-789
Severity
Error
Description
Looks like a Verilog file.
What's Next
'read_hdl -vhdl' has detected an erroneous construct that resembles a piece of Verilog syntax.
VHDLPT-790
Severity
Error
Description
Illegal assignment to non-local signal within procedure.
What's Next
If a signal is assigned within a procedure, then either the signal must be a formal parameter of the
procedure, or the procedure must be declared within a process statement.
VHDLPT-791
Severity
Error
Description
Too many arguments to subprogram.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-792
Severity
Error
Description
Too few arguments to subprogram.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-793
Severity
Error
Description
No actual corresponding to formal in call to subprogram.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-794
Severity
Error
Description
Invalid argument passed to subprogram formal port.
What's Next
Check and redo the port connection to the formal port.
VHDLPT-795
Severity
Error
Description
No subprogram matching argument type profile.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-796
Severity
Error
Description
Missing END.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-798
Severity
Error
Description
Illegal prefix for compound name.
What's Next
The prefix of an indexed name, slice name, selected name, or attribute name must be a function call
or another name. A type conversion or qualified expression cannot be a prefix.
VHDLPT-803
Severity
Error
Description
OTHERS choice required when some choices are not locally static.
What's Next
VHDL requires that each choice in a case statement be a locally static expression. However,
read_hdl allows globally static choices as well, provided that there is a final OTHERS choice.
VHDLPT-804
Severity
Error
Description
Cannot configure entity instantiation.
What's Next
Only a component instantiation may be configured.
VHDLPT-806
Severity
Error
Description
Cannot decrypt block.
What's Next
Error encountered during VHDL parsing.
VHDLPT-809
Severity
Error
Description
Unsupported reference in External Name.
What's Next
Unsupported VHDL syntax is encountered.
VHDLPT-508
VHDLPT-509
VHDLPT-510
VHDLPT-514
VHDLPT-515
VHDLPT-525
VHDLPT-506
Severity
Info
Description
Design unit not stored.
VHDLPT-507
Severity
Info
Description
Upper limit of issues reached, terminating analysis.
VHDLPT-508
Severity
Info
Description
Summary of VHDL issues.
VHDLPT-509
Severity
Info
Description
Analyzing file.
VHDLPT-510
Severity
Info
Description
VHDL parsing terminated due to an internal problem.
VHDLPT-514
Severity
Info
Description
Design unit stored.
VHDLPT-515
Severity
Info
Description
Too many issues in the source RTL.
VHDLPT-525
Severity
Info
Description
Continuation.
VHDLPT-500
VHDLPT-512
VHDLPT-518
VHDLPT-522
VHDLPT-523
VHDLPT-532
VHDLPT-544
VHDLPT-548
VHDLPT-588
VHDLPT-604
VHDLPT-631
VHDLPT-646
VHDLPT-649
VHDLPT-651
VHDLPT-661
VHDLPT-663
VHDLPT-668
VHDLPT-680
VHDLPT-704
VHDLPT-715
VHDLPT-738
VHDLPT-754
VHDLPT-756
VHDLPT-758
VHDLPT-774
VHDLPT-787
VHDLPT-788
VHDLPT-797
VHDLPT-799
VHDLPT-800
VHDLPT-801
VHDLPT-802
VHDLPT-805
VHDLPT-807
VHDLPT-808
VHDLPT-810
VHDLPT-500
Severity
Warning
Description
Cannot open file.
What's Next
The input VHDL file cannot be opened. Make sure that the directory path and file extension (e.g.,
.vhdl) are specified.
VHDLPT-512
Severity
Warning
Description
Library name WORK is defined as a permanent name.
VHDLPT-518
Severity
Warning
Description
Generic warning.
VHDLPT-522
Severity
Warning
Description
VHDL Parser internal warning.
VHDLPT-523
Severity
Warning
Description
VHDL Parser unimplemented feature.
VHDLPT-532
Severity
Warning
Description
Aggregate length mismatch.
VHDLPT-544
Severity
Warning
Description
Non-matching array elements.
VHDLPT-548
Severity
Warning
Description
Overflow in computation of attribute.
VHDLPT-588
Severity
Warning
Description
Character does not belong to string element subtype.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-604
Severity
Warning
Description
Direction mismatch.
VHDLPT-631
Severity
Warning
Description
Expression value is out of range.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-646
Severity
Warning
Description
Process with no sensitivity list, wait statements, or procedure calls will run forever.
VHDLPT-649
Severity
Warning
Description
A negative exponent is allowed only for a left operand of a floating point type.
VHDLPT-651
Severity
Warning
Description
Invalid array selector expression.
What's Next
To increase the severity of this message set its severity attribute to error.
VHDLPT-661
Severity
Warning
Description
Operands have different lengths.
VHDLPT-663
Severity
Warning
Description
Problem with math expression.
VHDLPT-668
Severity
Warning
Description
Missing choices in case statement or selected signal assignment.
What's Next
To increase the severity of this message to Error, do:
VHDLPT-680
Severity
Warning
Description
Time value must be non-negative.
VHDLPT-704
Severity
Warning
Description
Function has no return statement.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-715
Severity
Warning
Description
Illegal null range.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-738
Severity
Warning
Description
Range does not lie within subtype.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-754
Severity
Warning
Description
The string literal length does not match index range length.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-756
Severity
Warning
Description
Subtype mismatch.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-758
Severity
Warning
Description
Too many elements in array aggregate.
What's Next
To increase the severity of this message set its severity attribute to error.
VHDLPT-774
Severity
Warning
Description
Times in successive waveform elements must be increasing.
What's Next
Invalid or unsupported VHDL syntax is encountered.
VHDLPT-787
Severity
Warning
Description
Comment does not match any meta-comment.
VHDLPT-788
Severity
Warning
Description
What's Next
A VHDL file must contain at least one design unit.
VHDLPT-797
Severity
Warning
Description
Signal has multiple sources.
What's Next
There are two cases in which a VHDL signal is prohibited from having multiple sources. First, any
unresolved signal is not allowed to have multiple sources. Second, any signal declared BUFFER is
not allowed to have multiple sources. (A resolved BUFFER signal is still not allowed to have
multiple sources.)
VHDLPT-799
Severity
Warning
Description
Ignoring duplicate pragma.
What's Next
When multiple identical pragmas are applied to a construct, all but the first are ignored.
VHDLPT-800
Severity
Warning
Description
Replacing existing design unit.
What's Next
A previously analyzed unit is being replaced.
VHDLPT-801
Severity
Warning
Description
Marking out-of-date unit as invalid.
What's Next
A unit is considered out-of-date when a unit that it depends on is re-analyzed.
VHDLPT-802
Severity
Warning
Description
Instantiating non-visible entity.
What's Next
The entity being instantiated is not visible. Normally this is illegal, but is allowed when strict lrm
compliance is disabled. Because the referenced entity is not visible to the parser, no checks can be
done on generic and port types, and subelement association is therefore not supported.
VHDLPT-805
Severity
Warning
Description
Missing case choices for type CHARACTER in VHDL-1987 mode.
What's Next
In VHDL-1987, type CHARACTER has 128 values. However, the parser treats type CHARACTER
as having 256 values, as in VHDL-1993.
VHDLPT-807
Severity
Warning
Description
Ignoring illegal empty generic clause.
VHDLPT-808
Severity
Warning
Description
Unimplemented VHDL 2008 IEEE Package, reading VHDL 1993 version instead.
VHDLPT-810
Severity
Warning
Description
Ignoring PSL Construct.
107
VIAP
VIAP-1
Severity
Error
Description
VIA pillar definition not found.
What's Next
Check that the VIA pillar is defined in the lef file.
VIAP-2
Severity
Error
Description
File set in attribute not found.
What's Next
Check that the file location is correct. It is required for Innovus support.
VIAP-3
Severity
Error
Description
Minimum stack layer is not set.
What's Next
It is required for pin/net based stack via setup.
VIAP-4
Severity
Error
Description
Stack via rule name is not provided.
What's Next
It is required for set_stack_via command.
VIAP-5
Severity
Error
Description
No libpin to via pillar filename (phys_libpin_via_pillar_filename).
What's Next
Set attribute phys_libpin_via_pillar_filename to file connecting libpins to list of via pillars .
VIAP-6
Severity
Error
Description
No layer index information found on via layer.
What's Next
Check lef_cut_layer attribute for VIA_LAYER to layer_index mapping.
VIAP-7
Severity
Warning
Description
Format error in phys_libpin_via_pillar_filename, skipping line.
What's Next
Check phys_libpin_via_pillar_filename to make sure there are at least 5 fields: command, libcell,
pin, list of vias, required flag.
VIAP-8
Severity
Warning
Description
No libpin found from phys_libpin_via_pillar_filename, skipping line.
What's Next
Check phys_libpin_via_pillar_filename to make sure libcell/pin exists in design.
108
VLOGPT
VLOGPT-30
VLOGPT-32
VLOGPT-34
VLOGPT-36
VLOGPT-39
VLOGPT-41
VLOGPT-42
VLOGPT-45
VLOGPT-47
VLOGPT-50
VLOGPT-53
VLOGPT-56
VLOGPT-57
VLOGPT-58
VLOGPT-59
VLOGPT-60
VLOGPT-61
VLOGPT-62
VLOGPT-63
VLOGPT-64
VLOGPT-65
VLOGPT-66
VLOGPT-67
VLOGPT-68
VLOGPT-69
VLOGPT-70
VLOGPT-71
VLOGPT-72
VLOGPT-73
VLOGPT-74
VLOGPT-75
VLOGPT-77
VLOGPT-78
VLOGPT-79
VLOGPT-80
VLOGPT-81
VLOGPT-82
VLOGPT-83
VLOGPT-84
VLOGPT-85
VLOGPT-86
VLOGPT-87
VLOGPT-88
VLOGPT-89
VLOGPT-90
VLOGPT-91
VLOGPT-92
VLOGPT-93
VLOGPT-94
VLOGPT-95
VLOGPT-96
VLOGPT-97
VLOGPT-98
VLOGPT-99
VLOGPT-100
VLOGPT-101
VLOGPT-102
VLOGPT-104
VLOGPT-108
VLOGPT-109
VLOGPT-110
VLOGPT-113
VLOGPT-114
VLOGPT-116
VLOGPT-117
VLOGPT-118
VLOGPT-119
VLOGPT-120
VLOGPT-121
VLOGPT-123
VLOGPT-124
VLOGPT-125
VLOGPT-201
VLOGPT-203
VLOGPT-204
VLOGPT-205
VLOGPT-206
VLOGPT-207
VLOGPT-208
VLOGPT-211
VLOGPT-212
VLOGPT-213
VLOGPT-321
VLOGPT-414
VLOGPT-424
VLOGPT-426
VLOGPT-429
VLOGPT-430
VLOGPT-432
VLOGPT-433
VLOGPT-435
VLOGPT-436
VLOGPT-437
VLOGPT-501
VLOGPT-505
VLOGPT-600
VLOGPT-631
VLOGPT-632
VLOGPT-633
VLOGPT-634
VLOGPT-636
VLOGPT-637
VLOGPT-638
VLOGPT-639
VLOGPT-640
VLOGPT-641
VLOGPT-642
VLOGPT-644
VLOGPT-645
VLOGPT-648
VLOGPT-651
VLOGPT-653
VLOGPT-654
VLOGPT-655
VLOGPT-666
VLOGPT-667
VLOGPT-668
VLOGPT-669
VLOGPT-671
VLOGPT-672
VLOGPT-680
VLOGPT-682
VLOGPT-685
VLOGPT-686
VLOGPT-1
Severity
Error
Description
Parsing error.
What's Next
Invalid Verilog syntax is parsed, or unsupported Verilog syntax is encountered.
VLOGPT-3
Severity
Error
Description
Verilog-2001 feature encountered.
What's Next
The design must be read in with 'read_hdl -v2001'.
VLOGPT-5
Severity
Error
Description
Unsupported construct.
What's Next
Unsupported Verilog construct is encountered.
VLOGPT-7
Severity
Error
Description
Illegal use of Verilog-2001 reserved word.
What's Next
Verilog-2001 introduces several new keywords. They are:
VLOGPT-8
Severity
Error
Description
Illegal use of SystemVerilog reserved word.
What's Next
SystemVerilog introduces many new keywords. They are:
VLOGPT-9
Severity
Error
Description
SystemVerilog feature.
What's Next
The design must be read in with 'read_hdl -sv'.
VLOGPT-11
Severity
Error
Description
Unexpected end of file.
What's Next
Unexpected end of file encountered.
VLOGPT-15
Severity
Error
Description
Invalid number.
What's Next
Invalid specification of a number, or the number is too large.
VLOGPT-17
Severity
Error
Description
Invalid based number.
What's Next
A based number may be a binary 'b, octal 'o, hex 'h, or decimal 'd based number.
VLOGPT-19
Severity
Error
Description
Use of 'signed' or 'unsigned' keyword in Verilog-1995 mode.
What's Next
The keywords 'signed' and 'unsigned' are not supported in Verilog-1995 mode.
VLOGPT-20
Severity
Error
Description
Reference to undeclared variable. A variable/parameter must be declared before it is referenced.
What's Next
Verilog module is using a parameter that is not defined in the module. You will get a notification for
this, if you read the verilog file using the read_hdl command. For example, consider the following
RTL: .nf module subM (A, B, clk, Q); input clk; input [size-1:0] A, B; output [size:0] Q; reg [size:0] Q;
always @(posedge clk) begin Q <= A + B; end endmodule For the above RTL, Genus gives the
following message: @genus:root: 46> read_hdl subM.v input [size-1:0] A, B; Error : Reference to
undeclared variable. [VLOGPT-20] [read_hdl] : Symbol 'size' in file 'subM.v' on line 4, column 9. In
the above design, "size" variable is used without declaration. This message is shown for each line
where the undeclared parameter is used. Refer to the following solution: --------------------------------
module subM (A, B, clk, Q); parameter size = 2 ; input clk; input [size-1:0] A, B; output [size:0] Q; reg
[size:0] Q; always @(posedge clk) begin Q <= A + B; end endmodule Here. the default "size" is
defined as 2. Parameter values can be changed in the following two ways: * at instantiation * at
elaboration time Changing parameter value at instantiation ----------------------------------------- In the
below top level example, value of "size" is 3. Therefore, when we elaborate the top level, this value
is passed to the sub-module by the #size construct. As a result, the sub-module will have 3 bit width
busses for its inputs A and B. Example: module top (A, B, clk, Q); parameter size = 3 ; input clk;
input [size-1:0] A, B; output [size:0] Q; wire [size:0] Q; subM #size S1_i (.A(A), .B(B), .clk(clk), .Q(Q));
endmodule Changing parameter value at elaboration --------------------------------------- When you
elaborate the sub-module subM, override the default value for the "size" parameter as mentioned
below: @genus:root: 59> read_hdl subM.v @genus:root: 60> elaborate subM -parameter {{size 3}}
Info : Elaborating Design. [ELAB-1] : Elaborating top-level block 'subM_size3' from file
'subM_fixed.v'. Info : Done Elaborating Design. [ELAB-3] : Done elaborating 'subM_size3'. Instead
of the default value 2, as specified in the sub-module RTL description; a module with busses of size
3 is built. The module is named as "subM_size3" to reflect the same. .fi
VLOGPT-22
Severity
Error
Description
Redeclared variable.
What's Next
A variable cannot be redeclared in the same scope. Check the reported RTL file and remove
duplicate declarations.
VLOGPT-23
Severity
Error
Description
I/O signal not declared in portlist.
What's Next
An declared input, output, or inout signal must also be declared in the port list.
VLOGPT-25
Severity
Error
Description
Inconsistent sign or range on redeclaration.
What's Next
Multiple declarations of the same object must use the same sign and range.
VLOGPT-26
Severity
Error
Description
Invalid struct member reference.
What's Next
Either the prefix does not represent a struct object or the suffix is not a member of the prefix struct.
VLOGPT-28
Severity
Error
Description
The referenced package does not exist..
What's Next
The referenced package does not exist in the same HDL library.
VLOGPT-29
Severity
Error
Description
Cannot import symbol which is already in scope.
What's Next
When importing from a package with 'import pkg::sym;' it is illegal if a declaration matching 'sym' is
visible in the current scope.
VLOGPT-30
Severity
Error
Description
Feature not supported for synthesis.
What's Next
The following features are not supported for synthesis: .SH Related Information The following
features are not supported for synthesis: .nf - primitive - configuration - all 'mos' gates - all 'rtran'
gates - all 'tranif' gates - pullup/pulldown gates. For more information on supported and unsupported
features, refer to "Verilog Constructs and Level of Support" table in HDL Modeling Guide. .fi
VLOGPT-32
Severity
Error
Description
Unsupported declaration.
What's Next
The following declarations are not supported for synthesis:
VLOGPT-34
Severity
Error
Description
Unsynthesizable construct.
What's Next
The following statements are not supported for synthesis:
VLOGPT-36
Severity
Error
Description
Incomplete typedef needs to be completed.
What's Next
An incomplete typedef must be completed in the scope in which it was declared.
VLOGPT-39
Severity
Error
Description
Unsupported procedural assignment.
What's Next
'assign' and 'deassign' statements within a sequential statement are not supported for synthesis.
VLOGPT-41
Severity
Error
Description
Implicit net declaration not allowed with `default_nettype none.
What's Next
When `default_nettype is none, each input, output, and inout declaration requires a corresponding
net or reg specification.
VLOGPT-42
Severity
Error
Description
Unsupported mix of edge and level sensitivity.
What's Next
'always @(non-edged signal or non-edged signal)' is used for latch referencing or asynchronous
set-reset on a latch.
VLOGPT-45
Severity
Error
Description
Unsupported hierarchical reference.
What's Next
Hierarchical reference x.y.x is not supported for synthesis.
VLOGPT-47
Severity
Error
Description
Imported symbol not present in the package.
What's Next
Check the symbol name in import statement.
VLOGPT-50
Severity
Error
Description
Wrong number of arguments to system task or function.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-53
Severity
Error
Description
Illegal multidimensional array element.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-56
Severity
Error
Description
Range given in clone declaration.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-57
Severity
Error
Description
Missing required value in declaration.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-58
Severity
Error
Description
Instance name required for module instance.
What's Next
According to Verilog IEEE standard it is mandatory to give instance name while instantiating a
module. For more details see the 'module instantiation syntax' section in the Verilog IEEE
Reference manual.
VLOGPT-59
Severity
Error
Description
Expecting range in instance name.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-60
Severity
Error
Description
Function cannot call task.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-61
Severity
Error
Description
Array must be indexed.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-62
Severity
Error
Description
Subprogram port not found.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-63
Severity
Error
Description
Positional association may not follow named association.
What's Next
If both positional and named arguments are specified in a single subprogram call, then all positional
arguments must come before the named arguments.
VLOGPT-64
Severity
Error
Description
Too few arguments to subprogram.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-65
Severity
Error
Description
Too many arguments to subprogram.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-66
Severity
Error
Description
Cannot index or slice a scalar port.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-67
Severity
Error
Description
Illegal dependency in port/parameter declaration.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-68
Severity
Error
Description
Defparam requires hierarchical name.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-69
Severity
Error
Description
Defparam refers to nonexistent module instance.
What's Next
Error encountered during Verilog parsing.
VLOGPT-70
Severity
Error
Description
Function may only have input ports.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-71
Severity
Error
Description
System task/function called in inappropriate context.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-72
Severity
Error
Description
Invalid slice.
What's Next
Only a one-dimensional array wire or reg may be sliced.
VLOGPT-73
Severity
Error
Description
Port expression with multidimensional slice/index.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-74
Severity
Error
Description
Cannot index or slice a scalar.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-75
Severity
Error
Description
Prefix/suffix mismatch.
What's Next
Error encountered during Verilog parsing.
VLOGPT-77
Severity
Error
Description
Vectored/scalared keyword must be followed by range.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-78
Severity
Error
Description
Invalid type definition.
What's Next
Error encountered during Verilog parsing.
VLOGPT-79
Severity
Error
Description
Illegal initial assignment for i/o declaration.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-80
Severity
Error
Description
Illegal initial assignment for genvar declaration.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-81
Severity
Error
Description
Wrong number of terminals for gate.
What's Next
Error encountered during Verilog parsing.
VLOGPT-82
Severity
Error
Description
Invalid use of void type.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-83
Severity
Error
Description
Gate output pin must be a single bit.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-84
Severity
Error
Description
Multiple default choices in 'case' statement.
What's Next
See the 'Modeling HDL Designs' chapter in 'HDL Modeling Guide' for examples on modeling 'case'
statements.
VLOGPT-85
Severity
Error
Description
The register declaration is not allowed in this context.
What's Next
See 'HDL Modeling Guide' for examples on modeling the 'reg' data type.
VLOGPT-86
Severity
Error
Description
Net not allowed in this context.
What's Next
A net cannot be assigned within an always block. This situation may occur because an output port
is not explicitly declared as a reg.
VLOGPT-87
Severity
Error
Description
Genvar declaration not allowed in this context.
What's Next
See the 'Genvar Declarations' section in the 'Synthesizing Verilog Designs' chapter in 'HDL
Modeling Guide' for examples on how to model the 'for generate' statement.
VLOGPT-88
Severity
Error
Description
Parameter not allowed in this context.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-89
Severity
Error
Description
Invalid lvalue.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-90
Severity
Error
Description
Invalid expression.
What's Next
Invalid Verilog syntax is encountered.
VLOGPT-91
Severity
Error
Description
Word concatenation may only be an assignment source.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-92
Severity
Error
Description
$flatten/$unflatten may only be an assignment source.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-93
Severity
Error
Description
Unsized number not allowed in concatenation.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-94
Severity
Error
Description
Illegal characters after decimal x/z.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-95
Severity
Error
Description
Digit required on each side of decimal point.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-96
Severity
Error
Description
Illegal use of deferred port.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-97
Severity
Error
Description
Illegal use of deferred type.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-98
Severity
Error
Description
Internal declaration cannot be deferred.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-99
Severity
Error
Description
Unsupported parameter/port dependency.
What's Next
All parameters are processed before any ports are processed. Therefore, a parameter may not
depend on a port's value or other attribute. This restriction does not apply to localparams.
VLOGPT-100
Severity
Error
Description
Illegal port/port dependency.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-101
Severity
Error
Description
Non-structural construct.
What's Next
Invalid structural construct encountered during netlist parsing. If the netlist has any behavioral code
and you read it by using the commands 'read_netlist or read_hdl -netlist' then you see this error. In
such cases read the netlist using the command 'read_hdl'. If the netlist is purely structural then read
the netlist by using the commands 'read_hdl -netlist or read_netlist'. If the RTL has any feed through
assign statements and you consider these statements as structural, then read the netlist using the
command 'read_netlist or read_hdl -netlist'. Note : The command 'read_netlist' automatically
performs elaboration. Whereas in case of 'read_hdl -netlist' command you need to use 'elaborate'
command afterwards. .SH Related Information If the netlist has any behavioral code and you read it
by using the commands read_netlist or read_hdl -netlist then you see this error. In such cases read
the netlist using the command read_hdl. If the netlist is purely structural then read the netlist by
using the commands read_hdl -netlist or read_netlist. If the RTL has any feed through assign
statements and you consider these statements as structural, then read the netlist using the
command read_netlist or read_hdl -netlist. Note: The command read_netlist automatically performs
elaboration. Whereas in case of read_hdl -netlist command you need to use elaborate command
afterwards.
VLOGPT-102
Severity
Error
Description
Division by zero.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-104
Severity
Error
Description
Illegal non-blocking assignment within function.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-108
Severity
Error
Description
Invalid unsized literal.
What's Next
Valid unsized literals are '0, '1, 'x, 'X, 'z and 'Z.
VLOGPT-109
Severity
Error
Description
Unnamed member following named member in literal.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-110
Severity
Error
Description
No match for element of struct literal.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-113
Severity
Error
Description
Replication operator in array context requires single expression in braces.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-114
Severity
Error
Description
Object type for size-casting cannot be unpacked.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-116
Severity
Error
Description
Data type not allowed in this context.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-117
Severity
Error
Description
Bad argument for operator.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-118
Severity
Error
Description
Assignment incompatibility.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-119
Severity
Error
Description
Duplicate named parameter association.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-120
Severity
Error
Description
Duplicate port association.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-121
Severity
Error
Description
Cannot omit a formal argument to a subprogram port without a default value.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-123
Severity
Error
Description
Illegal 'unique', 'unique0' or 'priority' if statement in else clause.
What's Next
The 'unique', 'unique0' or 'priority' keyword must be applied to a top-level if statement, not to an if
statement directly within an else clause of another if statement. An if statement can be made into a
top-level if statement by enclosing it in a begin/end block.
VLOGPT-124
Severity
Error
Description
Illegal use of indexed identifier in named connection.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-125
Severity
Error
Description
Only genvar allowed as index variable in generate loop.
What's Next
Use genvar to declare the index variable of generate loop.
VLOGPT-201
Severity
Error
Description
Port has no type.
What's Next
A port needs to be declared as input, output, or inout.
VLOGPT-203
Severity
Error
Description
Unresolved subprogram reference.
What's Next
Error encountered during Verilog parsing.
VLOGPT-204
Severity
Error
Description
Disable statement must apply to containing block or task.
What's Next
A disable statement may be used to disable the block or task which (directly or indirectly) contains
the disable, but may not be used to disable any other blocks or tasks.
VLOGPT-205
Severity
Error
Description
A break or continue statement must occur within a loop statement.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-206
Severity
Error
Description
A return statement must occur within a task or function.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-207
Severity
Error
Description
A return in a task or void function must not have an expression.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-208
Severity
Error
Description
A return in a non-void function must have an expression.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-211
Severity
Error
Description
Exported symbol not present in the package.
What's Next
Check the symbol name in export statement.
VLOGPT-212
Severity
Error
Description
Exported symbol clashes with a local name present in the context of export.
What's Next
Check the symbol name in export statement.
VLOGPT-213
Severity
Error
Description
Export applies only to the package (or its contents), that has been imported in current context.
What's Next
Check the package/symbol name in export statement.
VLOGPT-321
Severity
Error
Description
Internal error.
What's Next
Error encountered during Verilog parsing.
VLOGPT-414
Severity
Error
Description
An else statement must be specified for the clocked logic.
What's Next
The supported syntax for inferencing an asynchronous set-reset flip-flop is:
VLOGPT-424
Severity
Error
Description
Edge signal must be identifier or bit-select.
What's Next
Only simple identifiers which are single-bit signals are allowed when posedge or negedge is used.
VLOGPT-426
Severity
Error
Description
Unsupported use of 'iff' with multiple events.
What's Next
An 'iff' condition is supported for a combinational process that is sensitive to at most one signal.
VLOGPT-429
Severity
Error
Description
Declarations are not allowed in an unlabeled block statement.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-430
Severity
Error
Description
Constant expression required.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-432
Severity
Error
Description
Dimension mismatch in assignment.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-433
Severity
Error
Description
Inconsistent dimensions in word concatenation.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-435
Severity
Error
Description
Type mismatch.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-436
Severity
Error
Description
Inappropriate context for concat member syntax.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-437
Severity
Error
Description
Unsupported style of sensitivity list in Verilog.
What's Next
Both posedge and negedge of the same signal are not allowed in an always block.
VLOGPT-501
Severity
Error
Description
Enum pragma may only apply to parameter or reg.
What's Next
The correct syntax for enum pragma is:
VLOGPT-505
Severity
Error
Description
Missing comma in identifier list for pragma.
What's Next
Refer to the 'HDL Modeling Guide' on where to place pragmas.
VLOGPT-600
Severity
Error
Description
Unterminated block comment.
What's Next
A block comment beginning with '/*' must be closed with a '*/'.
VLOGPT-631
Severity
Error
Description
Reference to undefined macro.
What's Next
Error encountered during Verilog parsing. Make sure all paths of include files have been specified
in the init_hdl_search_path attribute. Also, check whether you need to specify the -define option of
the read_hdl command.
VLOGPT-632
Severity
Error
Description
Quoted string expected for `include.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-633
Severity
Error
Description
`else/elsif without `ifdef.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-634
Severity
Error
Description
Missing `ifdef directive.
What's Next
See 'Synthesizing Verilog Designs' in 'HDL Modeling Guide' for examples on how to model the
`ifdef directive.
VLOGPT-636
Severity
Error
Description
Argument list required for macro.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-637
Severity
Error
Description
Mismatched parentheses.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-638
Severity
Error
Description
Expecting identifier for macro name.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-639
Severity
Error
Description
Missing formal argument in definition of macro.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-640
Severity
Error
Description
Duplicated formal argument in macro definition.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-641
Severity
Error
Description
Require closing ')' in macro definition.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-642
Severity
Error
Description
Expecting identifier for macro name.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-644
Severity
Error
Description
Invalid directive.
What's Next
Verify the directive to make sure the syntax is written correctly or supported.
VLOGPT-645
Severity
Error
Description
Unterminated `if or `ifdef directive.
What's Next
An `if or `ifdef directive must be matched by a corresponding `endif directive. See `Verilog-2001
Extensions' in 'HDL Modeling Guide' for more information.
VLOGPT-648
Severity
Error
Description
Cannot redefine a compiler directive.
What's Next
A compiler directive, such as 'define' or 'undef' cannot be redefined as a macro name.
VLOGPT-651
Severity
Error
Description
Cannot decrypt protected data.
What's Next
The parser detected an error in decrypting a protected region of the input source. Possibly the
protected data is corrupted.
VLOGPT-653
Severity
Error
Description
Quoted string expected for `begin_keywords.
What's Next
The `begin_keywords directive requires a quoted string version specifier.
VLOGPT-654
Severity
Error
Description
Unsupported version specifier for `begin_keywords.
What's Next
The supported version specifiers for the `begin_keywords directive are:
VLOGPT-655
Severity
Error
Description
Mismatched `end_keywords directive.
What's Next
An `end_keywords directive was encountered without a corresponding `begin_keywords directive.
VLOGPT-666
Severity
Error
Description
Illegal non-blocking assignment within function.
What's Next
The target of the non-blocking assignment, within a function, is not defined in the module or the
global scope.
VLOGPT-667
Severity
Error
Description
Illegal array element declaration.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-668
Severity
Error
Description
Real type not allowed in this context.
What's Next
It is illegal to use a real number as part of a constant expression or for a memory index expression.
VLOGPT-669
Severity
Error
Description
Reserved word 'property' or '#0' or '(' missing after 'assert'.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-671
Severity
Error
Description
The attribute 'hdl_sv_module_wrapper' must be set prior to elaborating the design.
What's Next
Use: ::legacy::set_attribute hdl_sv_module_wrapper true.
VLOGPT-672
Severity
Error
Description
Incorrect use of write_sv_wrapper.
What's Next
Wrapper is generated only for System Verilog design with ports of type struct, array, or interface.
VLOGPT-680
Severity
Error
Description
Port expressions are not supported in netlist flow.
What's Next
Use 'read_hdl -netlist' command to read in a netlist with port expressions.
VLOGPT-682
Severity
Error
Description
The target of the bit-stream casting is not of bit-stream type.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-685
Severity
Error
Description
Let definition not specified.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-686
Severity
Error
Description
Invalid task call.
What's Next
Illegal Verilog syntax is encountered.
VLOGPT-657
Severity
Info
Description
The reference to the module will be removed from the design database.
What's Next
An error occurred during parsing or elaboration of this module.
VLOGPT-673
Severity
Info
Description
The design name has been changed.
VLOGPT-674
Severity
Info
Description
Be sure to use 'change_names' to change the design name to match instantiation in the wrapper.
VLOGPT-676
Severity
Info
Description
Appended '_' to the value of the module_name.
What's Next
Either no wrapper_name and module_name are specified or wrapper_name and module_name are
same. This can cause recursive module instantiation in the written out wrapper module. Hence
appended '_' to the value of module_name.
VLOGPT-678
Severity
Info
Description
Breaking loop on an additional increment and limit condition.
VLOGPT-679
Severity
Info
Description
Definition of macro.
What's Next
A macro definition was found.
VLOGPT-103
VLOGPT-105
VLOGPT-106
VLOGPT-107
VLOGPT-111
VLOGPT-112
VLOGPT-115
VLOGPT-122
VLOGPT-209
VLOGPT-210
VLOGPT-214
VLOGPT-412
VLOGPT-413
VLOGPT-415
VLOGPT-416
VLOGPT-417
VLOGPT-418
VLOGPT-419
VLOGPT-425
VLOGPT-431
VLOGPT-434
VLOGPT-438
VLOGPT-502
VLOGPT-503
VLOGPT-504
VLOGPT-506
VLOGPT-507
VLOGPT-601
VLOGPT-612
VLOGPT-643
VLOGPT-646
VLOGPT-647
VLOGPT-650
VLOGPT-652
VLOGPT-656
VLOGPT-658
VLOGPT-659
VLOGPT-660
VLOGPT-661
VLOGPT-662
VLOGPT-663
VLOGPT-664
VLOGPT-665
VLOGPT-670
VLOGPT-675
VLOGPT-677
VLOGPT-681
VLOGPT-683
VLOGPT-684
VLOGPT-2
Severity
Warning
Description
Ignoring unimplemented construct.
VLOGPT-6
Severity
Warning
Description
Replacing previously read Verilog description.
What's Next
A Verilog description is replaced when a new description of the same name and same library is
read again.
VLOGPT-12
Severity
Warning
Description
Ignoring non-printable character.
What's Next
A non-printing character which is not a whitespace or format control character is ignored.
VLOGPT-13
Severity
Warning
Description
Ignoring Null Statement.
VLOGPT-14
Severity
Warning
Description
Unrecognized escape sequence in string.
VLOGPT-16
Severity
Warning
Description
Truncation in sized number.
What's Next
The number of bits specified is larger than the number of declared bits, e.g. 3'b1001. In this case,
the resulting number will be pruned to 3'b001 which may not be the intent of the user.
VLOGPT-18
Severity
Warning
Description
Ignoring zero width in sized number.
VLOGPT-21
Severity
Warning
Description
Suspicious implicit wire declaration.
What's Next
An implicit wire is declared for an undeclared symbol occurring within a module instance or within
the target of an assign statement. If an undeclared symbol occurs within a subexpression in one of
these contexts, it may be a coding error.
VLOGPT-24
Severity
Warning
Description
Reference to undeclared symbol in pragma.
What's Next
A variable must be declared before it can be referenced.
VLOGPT-27
Severity
Warning
Description
Conflict between reg/wire and instance.
What's Next
Normally a name conflict between a variable (reg or wire) and a module or gate instance label is an
error. To enable the default error behavior, do: set_attribute hdl_allow_instance_name_conflict
false /.
VLOGPT-31
Severity
Warning
Description
Unsupported system task or function: assuming value 1'b1.
What's Next
The $signed and $unsigned system functions are always supported, and synthesizable
SystemVerilog system functions are supported when SystemVerilog parsing is enabled. No other
system functions are supported.
VLOGPT-33
Severity
Warning
Description
Ignoring unsynthesizable declaration.
VLOGPT-35
Severity
Warning
Description
Ignoring unsynthesizable delay specifier (#<n>) mentioned in verilog file. These delay numbers are
for simulation purpose only.
What's Next
All delay numbers assigned or used in behavioral code are for simulation purposes only and are
not synthesizable. These values are ignored during synthesis. This warning is issued only once per
module.
VLOGPT-37
Severity
Warning
Description
Ignoring unsynthesizable construct.
What's Next
For example, the following constructs will be ignored:
VLOGPT-38
Severity
Warning
Description
Port direction defaults to inout.
What's Next
In SystemVerilog, a port declared without any direction defaults to inout.
VLOGPT-40
Severity
Warning
Description
Unsupported expression: assuming value 1'b0.
What's Next
Expressions such as the constructor new() are not supported for synthesis.
VLOGPT-43
Severity
Warning
Description
Implicit net declaration not allowed with `default_nettype none.
What's Next
When `default_nettype is none, each input and inout port declaration requires a corresponding net
type.
VLOGPT-44
Severity
Warning
Description
Truncating large unsized number to 32 bit integer.
VLOGPT-46
Severity
Warning
Description
An 'if' statement is required at the top of an always block to infer a latch or flip-flop.
What's Next
The supported syntax for asynchronous set-reset on a flop-flop is:
VLOGPT-51
Severity
Warning
Description
Ignoring range on integer type.
What's Next
A (packed) range on an integer type is ignored. For instance:
VLOGPT-52
Severity
Warning
Description
Ignoring range and sign attributes.
VLOGPT-76
Severity
Warning
Description
VLOGPT-103
Severity
Warning
Description
Black-boxes found in module.
VLOGPT-105
Severity
Warning
Description
Out-of-range bit-select or part-select.
What's Next
An out-of-range bit-select or part-select was detected. Reading results in an x value, writing has no
effect.
VLOGPT-106
Severity
Warning
Description
Found black-box instance.
VLOGPT-107
Severity
Warning
Description
Using synthesizable equivalent of non-synthesizable operator.
What's Next
Verilog operators === and !== are not synthesizable.
VLOGPT-111
Severity
Warning
Description
What's Next
Sensitivity lists for always_comb and always_latch processes are inferred by the tool. Any explicitly
specified sensitivity list for these processes is ignored.
VLOGPT-112
Severity
Warning
Description
Level-sensitive always_ff process will be treated as non-sequential behavior.
What's Next
The signals listed in the sensitivity list for an always_ff process must be edge-sensitive in order to
model sequential behavior. In the absence of edge-sensitive signals, the behavior within the
always_ff process will be synthesized as either combinational or latched logic.
VLOGPT-115
Severity
Warning
Description
Assignment operation in conditional context.
What's Next
An assignment operator in a conditional context may be a typo. To disable this message, enclose
the assignment in an extra level of parentheses. For instance, this assignment operator in an if
condition:
VLOGPT-122
Severity
Warning
Description
Ignoring 'static' lifetime qualifier.
What's Next
For synthesis, all declarations in subprograms, packages, programs etc are treated as automatic.
Therefore an explicit 'static' lifetime qualifier has no effect.
VLOGPT-209
Severity
Warning
Description
Keyword 'generate' is not allowed within a generate statement.
VLOGPT-210
Severity
Warning
Description
Standalone generate blocks are not supported in the IEEE standard.
What's Next
Keyword 'begin' is not allowed at the beginning of generate region.
VLOGPT-214
Severity
Warning
Description
Clash found among two exported symbols.
What's Next
Check the symbol name in export statement.
VLOGPT-412
Severity
Warning
Description
No clock is defined in the always block.
What's Next
All the signals in the always block are being used as data. A clock signal is needed to infer an
asynchronous set-reset flip-flop.
VLOGPT-413
Severity
Warning
Description
More than one clock has been defined in the always block.
What's Next
More than one signal in the always block are not used as data, and these signals may be
interpreted as clock signals. However, only one clock can be used in inferencing an asynchronous
set-reset flip-flop.
VLOGPT-415
Severity
Warning
Description
Bad use of clock signal as data.
VLOGPT-416
Severity
Warning
Description
Inconsistent reference of edge signal.
What's Next
If the set signal 'set_sig' is a posedge signal, the if statement should use ( set_sig ) as the testing
condition.
VLOGPT-417
Severity
Warning
Description
Ignoring redundant edge check.
VLOGPT-418
Severity
Warning
Description
Inconsistent reference of edge signal.
What's Next
If the set signal 'set_sig' is a posedge signal, the if statement should use ( set_sig ) as the testing
condition.
VLOGPT-419
Severity
Warning
Description
Invalid comparison with edge signal.
What's Next
Edge signals may only be compared with 0 or 1.
VLOGPT-425
Severity
Warning
Description
Implementing 'iff' condition in level-sensitive always block as a latch.
What's Next
This may cause simulation mismatches between the original and synthesized designs.
VLOGPT-431
Severity
Warning
Description
Expecting comparison to a signal in the edge event list.
What's Next
Check all the edge event specifiers in the event list of an always block by an if-condition, except
one. Take as clock, that one unchecked edge event. The following example issues the error on
'if(clk1 && clk2)': .nf module test1(input clk1, clk2, output reg out); always @(posedge clk1 or
negedge clk2) begin if(clk1 && clk2) out <= 1'b1; else out <= 1'b0; end endmodule .fi
VLOGPT-434
Severity
Warning
Description
Ignoring else clause after check for clock.
What's Next
An else clause after an if statement that checks for the clock edge is never executed, and therefore
is ignored for synthesis.
VLOGPT-438
Severity
Warning
Description
Illegal Verilog concatenation syntax is encountered.
VLOGPT-502
Severity
Warning
Description
Unrecognized Synthesis pragma_name found in HDL.
What's Next
Synthesis pragmas are specially-formatted comments or attributes in the HDL that tell Genus how to
synthesize the HDL. .SH Related Information Synthesis pragmas are specially-formatted comments
or attributes in the HDL that tell Genus how to synthesize the HDL. .nf For Verilog, specify synthesis
pragmas as comments using the format: // pragma_keyword pragma_name
[optional_pragma_value] or /* pragma_keyword pragma_name [optional_pragma_value] */ For
VHDL, specify synthesis pragmas as either comments or attributes: -- pragma_keyword
pragma_name [optional_pragma_value] attribute pragma_name of hdl_name : hdl_name_type is
hdl_value Genus supports synthesis pragma_name that begin with the pragma_keywords
"cadence, synopsys, ambit, pragma and synthesis". e.g // reg [1:0] q /* cadence
preserve_sequential*/;
VLOGPT-503
Severity
Warning
Description
Unsupported pragma.
What's Next
This pragma is recognized but not supported.
VLOGPT-504
Severity
Warning
Description
Genus ignores pragma, as it is declared at the wrong place.
What's Next
Genus considers a pragma, only if it is declared at a specific place is. For example: Genus ignores
map_to_mux pragma if it is declared after the conditional operator(?). assign z = s ? // cadence
map_to_mux a : b; For more information on the usage of pragmas, refer to 'Specifying Synthesis
Pragmas' section in 'HDL Modeling' guide.
VLOGPT-506
Severity
Warning
Description
Unused attribute.
VLOGPT-507
Severity
Warning
Description
Incorrect syntax in pragma.
What's Next
Pragma should end correctly with 'script_end' pragma.
VLOGPT-601
Severity
Warning
Description
Ignoring duplicate package definition.
VLOGPT-612
Severity
Warning
Description
VLOGPT-643
Severity
Warning
Description
Incorrect syntax of `line directive.
What's Next
The syntax of the `line directive is: `line <number> <file> <level>.
VLOGPT-646
Severity
Warning
Description
Unterminated translate pragma.
What's Next
A `translate_off' or `synthesis_off' pragma must be matched by a corresponding `translate_on' or
`synthesis_on' pragma. See `Synthesizing Verilog Designs' in 'HDL Modeling Guide' for more
information.
VLOGPT-647
Severity
Warning
Description
Redefinition of macro.
What's Next
The latest definition of the macro will be used.
VLOGPT-650
Severity
Warning
Description
Cannot open file.
What's Next
The specified file could not be opened. Check the value of the init_hdl_search_path attribute.
VLOGPT-652
Severity
Warning
Description
Mixed elaboration Flow - Structural module read in replaces previously read module in default
library with the same name.
What's Next
A Verilog description is replaced when a new description of the same name is read again (in the
same library). Remove the duplicate module definitions from the files being read in.
VLOGPT-656
Severity
Warning
Description
Unterminated `begin_keywords directive.
What's Next
Each `begin_keywords directive may be matched by a corresponding `end_keywords directive.
These directives may be nested.
VLOGPT-658
Severity
Warning
Description
Renamed port.
What's Next
A module port is renamed when it conflicts with another port name. This can happen when a port
name is duplicated in the port list, or when a port of a complex data type is split into sub-ports, and
the name of sub-port conflicts with an existing port.
VLOGPT-659
Severity
Warning
Description
Duplicate Verilog-2001 attribute ignored.
What's Next
The latest Verilog-2001 attribute with the same name for this object would survive, others would be
ignored.
VLOGPT-660
Severity
Warning
Description
Primitive output port has multiple bits.
What's Next
All but the least significant bit are ignored.
VLOGPT-661
Severity
Warning
Description
Duplicate module definition.
What's Next
To modify the behaviour, do : set_attribute hdl_keep_first_module_definition false.
VLOGPT-662
Severity
Warning
Description
Declarations tri0, tri1, trireg.
What's Next
The following declarations will be treated as wire declarations for synthesis:
VLOGPT-663
Severity
Warning
Description
Reference to predefined compiler directive.
VLOGPT-664
Severity
Warning
Description
What's Next
The older version of the wildcard compare was symmetric and could have resulted in post-
synthesis mismatches and hence was dropped from the SystemVerilog LRM in favour of the
asymmetric operator.
VLOGPT-665
Severity
Warning
Description
Retained trailing white space in a macro's actual argument.
What's Next
The tool does not strip the trailing white space in a macro's actual arguments with escaped
identifiers. It is advisable to avoid such a use case as different tools may behave differently w.r.t.
this scenario.
VLOGPT-670
Severity
Warning
Description
Ignoring unexpected semicolon.
VLOGPT-675
Severity
Warning
Description
Mismatch in design name can occur.
What's Next
Make sure to use the correct design name in your scripts. A mismatch can occur when you write out
a wrapper module using the write_sv_wrapper command.
VLOGPT-677
Severity
Warning
Description
Duplicate module definition.
VLOGPT-681
Severity
Warning
Description
Package cannot access items of compilation unit.
VLOGPT-683
Severity
Warning
Description
Duplicate interface definition.
What's Next
Retaining the previously read definition because of the other modules' dependencies.
VLOGPT-684
Severity
Warning
Description
For enum with no base type or with 'bit' base type, we cannot use x or z in constant value
assignment.
109
VRO
VRO-10
Severity
Error
Description
Cannot write generic Verilog for complex libcell.
What's Next
Use the 'write_hdl' command with no option to write out mapped Verilog for complex libcells.
VRO-11
Severity
Error
Description
Cannot bit blast module because of name conflict.
What's Next
Change the bit-blasting naming style or change the name of the conflicting instance/port.
VRO-12
Severity
Error
Description
The design contains a multi-driver net requiring a unidirectional wire.
What's Next
Logic optimization has transformed an illegal multi-driver net into a configuration that cannot be
represented in Verilog. This can occur with parallel-driven nets (i.e. clock nets where the clock-
source drives two buffers which reconverge to drive the same net). In such cases (if the design is
not being unmapped), the parallel-drivers of the net should be preserved. Otherwise, some of the
drivers may be replaced by a wire during optimization. This would result in a circuit description that
cannot legally be described in Verilog (but which is valid in the tools internal representation).
VRO-15
Severity
Error
Description
Cannot write netlist.
What's Next
This error condition in the netlist may have been caused by a command that modifies the netlist,
such as 'edit_netlist', 'ungroup'.
VRO-16
Severity
Error
Description
Cannot write encrypted netlist.
What's Next
This error condition in the netlist may have been caused by some incorrect handling of the
encrypted design.
VRO-21
Severity
Error
Description
Cannot write netlist.
What's Next
This error condition is caused by inconsistent settings of two attributes.
VRO-14
Severity
Warning
Description
Detected a logic abstract in the design.
What's Next
A logic abstract is an unresolved reference with defined port names and directions. By default, such
modules are written in the netlist. Use '::legacy::set_attribute
write_vlog_empty_module_for_logic_abstract false /' to prevent writing empty modules in the netlist
for a logic abstract.
VRO-17
Severity
Warning
Description
Detected a conflict, Found a module having same name as of a libcell in the design.
What's Next
It is recommended to change the name of the conflicting module as it might lead to incorrect
mapping of instances later in the flow.
VRO-18
Severity
Warning
Description
Cannot write named instantiation.
What's Next
The corresponding module definition for the instance contains port expressions (without explicit or
alias port name), which cannot be written out as 'named'.
VRO-19
Severity
Warning
Description
Cannot write positional instantiation.
What's Next
Option to write out PG Netlist is turned ON, corresponding module definition for the instance may
contain PG-Nets with no well-defined ordering. Cannot write 'positional' instantiation.
VRO-20
Severity
Warning
Description
Missing quotes around 'checkpoint_equation' attribute value.
What's Next
Formal verification tools expect the 'checkpoint_equation' attribute value to be surrounded by
quotes.
110
VTIM
VTIM-100
Severity
Error
Description
Unable to open file.
What's Next
Check if the file/directory exists and change permissions if necessary.
VTIM-101
Severity
Error
Description
Unable to find ets executable.
What's Next
Set the value of the ets_executable attribute to the ETS installation path.
VTIM-105
Severity
Error
Description
Error while running ETS.
What's Next
Look at the ETS run script and log file for further information.
VTIM-106
Severity
Error
Description
Invalid command option combination.
What's Next
This option combination is not valid for the command under consideration.
VTIM-107
Severity
Error
Description
File not found.
What's Next
Check if the file/directory exists and change permissions if necessary.
VTIM-104
Severity
Info
Description
ETS timing report generated successfully.
What's Next
Open the timing report to check for timing correlation.
VTIM-108
Severity
Info
Description
ETS log file generated successfully.
What's Next
Open the log file to check for timing correlation.
VTIM-103
VTIM-102
Severity
Warning
Description
Input sdc file not specified.
What's Next
Specify the input sdc if automatic generation is not desired.
VTIM-103
Severity
Warning
Description
Input netlist not specified.
What's Next
Specify the input netlist if automatic generation is not desired.
111
WDO
WDO-101
Severity
Error
Description
Something is wrong with the command-line options.
What's Next
Check options and rerun command.
WDO-102
Severity
Error
Description
There is no design to work on.
What's Next
This command requires a design.
WDO-103
Severity
Error
Description
There are multiple top designs. Specify one.
What's Next
Rerun command with a specific design.
WDO-104
Severity
Error
Description
The specified top design does not exist.
What's Next
Check specified design name and rerun command.
WDO-206
Severity
Error
Description
The clock-gating style is not supported in LEC.
What's Next
There are three styles of clock-gating in Genus. Among them, 'latch' is supported in this Genus-to-
LEC translation, 'ff' and 'none' are not.
WDO-221
Severity
Error
Description
Mention a valid design name.
What's Next
The design name is invalid.
WDO-223
Severity
Error
Description
CPF/1801 file was not read in.
What's Next
CPF/1801 file must be read in to generate a dofile for Conformal Low Power Checks.
WDO-301
Severity
Error
Description
Internal error: Cannot locate a library or design file that was successfully loaded into Genus.
What's Next
A specified file cannot be found.
WDO-302
Severity
Error
Description
Internal error: Unknown HDL file format.
What's Next
The known formats are: -v1995, -v2001, -sv, -vhdl1987, -vhdl1993 and -vhdl2008.
WDO-303
Severity
Error
Description
Internal error: Unknown undriven setting.
What's Next
The known undriven settings are '0', '1', 'X', and 'none'.
WDO-304
Severity
Error
Description
Internal error: Unknown dofile type.
What's Next
The known dofile types are 1, 2, 3, 5, and 6.
WDO-305
Severity
Error
Description
Internal error: Unknown type of clock net.
What's Next
The known types of clock nets are 'port' and 'pin'.
WDO-306
Severity
Error
Description
Internal error: Unknown type of test signal.
What's Next
The known types of DFT test signals are 'shift_enable' and 'test_mode'.
WDO-307
Severity
Error
Description
Internal error: Should not be Type 3 when there is no last_checkpoint netlist.
What's Next
If Type 3 is prescribed that there is no last_checkpoint netlist found, the dofile type is automatically
changed from 3 to 5.
WDO-402
Severity
Error
Description
Something is wrong with the command-line options.
What's Next
Check options and rerun command.
WDO-406
Severity
Error
Description
Design does not exist.
What's Next
Check the design name and rerun the command.
WDO-653
Severity
Error
Description
'write_implementation_information' is obsolete.
What's Next
Use 'write_do_lec' instead.
WDO-112
Severity
Info
Description
The dofile generated by Genus 21.10-b054_1 is compatible with LEC 7.1.
WDO-211
Severity
Info
Description
Design has instantiated ChipWare components.
What's Next
If CDN_SYNTH_ROOT is different while invoking LEC, then uncomment appropriately in the dofile.
WDO-212
Severity
Info
Description
Forcing flat compare.
WDO-222
Severity
Info
Description
No logfile has been specified.
What's Next
Default log file would be generated.
WDO-308
Severity
Info
Description
Scan out pin is not a output port.
What's Next
Only output ports which are scan out of a scan chain can be ignored.
WDO-501
Severity
Info
Description
The dofile generated is compatible with LEC version 'lec.07.20-d315' or later.
WDO-600
Severity
Info
Description
'Conformal LEC15.1-p100' or later builds is recommended for verification.
What's Next
The use of 'Conformal LEC15.1-p100' or later builds is recommended to get better verification
results.
WDO-109
WDO-110
WDO-111
WDO-121
WDO-122
WDO-201
WDO-202
WDO-205
WDO-207
WDO-208
WDO-209
WDO-210
WDO-213
WDO-214
WDO-401
WDO-403
WDO-404
WDO-405
WDO-407
WDO-650
WDO-651
WDO-652
WDO-105
Severity
Warning
Description
Output of the LEC run will not be kept in a logfile.
WDO-106
Severity
Warning
Description
Conflicting specification of hier vs flat compare.
WDO-107
Severity
Warning
Description
Retiming LEC comparison cannot be hierarchical.
WDO-108
Severity
Warning
Description
Cannot identify a checkpoint netlist to generate the last_checkpoint sub-dofile; Will instead use RTL
as golden to generate a one-shot dofile.
What's Next
Golden design of the last sub-dofile has to be a checkpoint netlist file with a .v suffix in the
checkpoint directory. To use the RTL code as the golden design, either set the checkpoint_flow root
attr to false, or do '-golden_design RTL' instead. To use an alternative golden netlist, do '-
golden_design' with its filename.
WDO-109
Severity
Warning
Description
Cannot find an expected LEC sub-dofile.
What's Next
Following the documented naming convention, a sub-dofile of this name is expected but not
available (at the checkpoint directory). Possibly something is wrong.
WDO-110
Severity
Warning
Description
Cannot find an expected LEC logfile.
What's Next
Following the documented naming convention, a LEC logfile of this name is expected but not
available (at the checkpoint directory). Possibly something is wrong.
WDO-111
Severity
Warning
Description
Cannot find simulation model of a ChipWare component.
What's Next
If a ChipWare component is instantiated in the RTL code, the generated dofile needs to read in its
simulation model to verify the design. But this component is not registered with any simulation
model in the ChipWare Developer registration. With loading its simulation model, this component
will become a black box in LEC operation.
WDO-121
Severity
Warning
Description
There is a library filename with .lbr suffix.
What's Next
A .lbr library file is often an RC binary library file, which Conformal LEC does not recognize. If this is
indeed an RC binary library file, the LEC 'read library' command will error out. In such case, update
the generated dofile with an equivalent .lib file or the corresponding simulation library file(s).
WDO-122
Severity
Warning
Description
File exists.
WDO-201
Severity
Warning
Description
There is a library filename with .lbr suffix.
What's Next
A .lbr library file is often an Genus binary library file, which Conformal LEC does not recognize. If
this is indeed an Genus binary library file, the LEC 'read library' command will error out. In such
case, update the generated dofile with an equivalent .lib file or the corresponding simulation library
file(s).
WDO-202
Severity
Warning
Description
The undriven setting in Genus is not uniform.
What's Next
There are three undriven-related attrs in Genus. There is only one undriven setting in LEC. To make
sure Genus and LEC interpret the RTL code consistently, setting of these three Genus attrs must be
uniform.
WDO-205
Severity
Warning
Description
The parameter naming style is not LEC-friendly.
What's Next
To correctly translate the parameter naming style, value of the hdl_parameter_naming_style attr
must end with '%d'. There should not be anything after the '%d' descriptor. Should there be black
boxes in the design, the incorrect translation may induce false negative in LEC comparison.
WDO-207
Severity
Warning
Description
Fail to open a file for writing.
WDO-208
Severity
Warning
Description
Verilog include file assumed to be in v1995.
What's Next
If a loaded HDL file is not found in the hdl_filelist attr, it must be a Verilog include file, and is
assumed to be in Verilog-1995.
WDO-209
Severity
Warning
Description
Multiple LEC pin constraints.
WDO-210
Severity
Warning
Description
Unknown design view.
What's Next
This attr expects a design view specification of 'both', 'golden', or 'revised'.
WDO-213
Severity
Warning
Description
WDO-214
Severity
Warning
Description
Attribute boundary_optimize_invert_hpins_renaming_extension is empty string. No renaming rule
generated for LEC.
WDO-401
Severity
Warning
Description
Cannot find an expected timing report.
What's Next
A timing report is expected but not available. Possibly something is wrong.
WDO-403
Severity
Warning
Description
Initialization sequence file not specified.
What's Next
Specify an initialization sequence file to include MCP validation.
WDO-404
Severity
Warning
Description
There is a library filename with .lbr suffix.
What's Next
A .lbr library file is often an RC binary library file, which CCD does not recognize. If this is indeed an
RC binary library file, the CCD 'read library' command will error out. In such case, update the
generated dofile with an equivalent .lib file or the corresponding simulation library file(s).
WDO-405
Severity
Warning
Description
Output sdc filename is not specified.
What's Next
Use '-out_sdc' option to control the output sdc filename generated by CCD.
WDO-407
Severity
Warning
Description
Rule instance file not specified.
What's Next
Specify a rule instance file with '-rule_instance_file' if default behavior is not desired.
WDO-650
Severity
Warning
Description
Checkpoint/Restart facility is not supported in GUI mode. Review the set of limitations before using
the CHECKPOINT command.
WDO-651
Severity
Warning
Description
CW component simulation model of user choice is not found.
WDO-652
Severity
Warning
Description
Skip writing DFT constraints in the dofile. This might result in non-equivalence.
112
WETT
WETT-1
Severity
Error
Description
The design contains constraints which have no SDC equivalent.
What's Next
Use the '-sdc_only' option of the command used to derived the design, so that only constraints that
can be expressed in SDC are generated.
113
WF
WF Error Messages
WF Error Messages
WF-100
WF-101
WF-102
WF-100
Severity
Error
Description
Output file has not defined.
What's Next
Check options and rerun command.
WF-101
Severity
Error
Description
Output file already exist.
What's Next
Define a new file to avoid overwrite in the existing file.
WF-102
Severity
Error
Description
Failed to 'old_write_foundation'.
What's Next
Unsupported options.
114
WMT
WMT-318
WMT-319
WMT-320
WMT-300
Severity
Error
Description
Could not check out an Encounter_Test_Architect license.
What's Next
Make sure a valid license is available.
WMT-301
Severity
Error
Description
Could not free an Encounter_Test_Architect license.
What's Next
Make sure a valid license is available.
WMT-302
Severity
Error
Description
Exactly 1 top level design is required for write_mbist_testbench.
What's Next
Found more than 1 top level design, make sure there is only one top level design.
WMT-303
Severity
Error
Description
Encounter Test needs to be installed in order to generate patterns.
What's Next
Could not find the et script along PATH, make sure there is a valid Encounter Test installation.
WMT-304
Severity
Error
Description
Could not create output directory.
What's Next
Verify permissions are correct, and that there is enough disk space.
WMT-305
Severity
Error
Description
Could not access file.
What's Next
Verify permissions are correct, and that there is enough disk space.
WMT-306
Severity
Error
Description
At least a single input and output port is required.
What's Next
Make sure at least 1 input and 1 output port exist.
WMT-307
Severity
Error
Description
Could not find the add_eawoffset.sed contrib script. Since bitmap patterns were requested, this
contrib script is required to modify the generated Verilog testbenches.
What's Next
Make sure the script is installed in the contrib directory under the Encounter Test installation area.
WMT-308
Severity
Error
Description
The mbist testbench script ended with an ERROR.
What's Next
If the mbist testbench script was being executed, check the testresults/logs directory for the log for
the last command being executed for additional information, otherwise check for preceding Error
messages.
WMT-309
Severity
Error
Description
Unable to determine the directory containing the interface files generated by add_pmbist.
What's Next
This directory is set when write_dft_pmbist_interface_files is executed. Make sure add_pmbist has
completed successfully, and then run write_dft_pmbist_interface_files.
WMT-310
Severity
Error
Description
Unable to determine the type of flow (topshell or block), and which types of patterns to create.
What's Next
When add_pmbist is executed, the command populates the pmbist_wmt_parameters attribute with
this information. Make sure add_pmbist has completed successfully. If requesting FCU patterns (for
hard repair), the ahr_wmt_parameters attribute is used and add_hard_repair should have been
completed successfully.
WMT-311
Severity
Error
Description
Multiple instruction sets have been detected, and only JTAG patterns have been requested.
What's Next
When multiple instructions exist, and only JTAG patterns have been requested, the instruction set to
be used must be chosen using the create_embedded_test_options keyword.
WMT-312
Severity
Error
Description
Modus needs to be installed in order to generate patterns.
What's Next
Could not find the modus script along PATH, make sure there is a valid Modus installation.
WMT-313
Severity
Error
Description
Modus or Encounter Test needs to be installed in order to generate patterns.
What's Next
Could not find the modus or et executable along PATH and 'dft_atpg_executable' attribute, make
sure there is a valid Modus or Encounter Test installation.
WMT-314
Severity
Error
Description
The platform you are currently running on is not supported by Modus.
What's Next
Make sure the path to the correct version of modus script is in your PATH variable, and choose a
supported platform to run on.
WMT-316
Severity
Error
Description
Unable to generate FCU patterns (patterns for hard repair).
What's Next
You must specify customexperiment and customtestplans keywords in the -
create_embedded_test_options keyword. These keywords specify to create_embedded_test an
experiment name to use (instead of the default), and which testplans to run. Typically the config file
specified to add_hard_repair has several testplans defined. Not all of these should be run at the
same time. For example, the testplans may be defined as tp_read_entry, tp_read_nvm,
tp_read_exit, tp_pgm_entry, tp_pgm_nvm, tp_pgm_exit. If you wanted to select only the read
testplans, you would specify something like: -customexperiment read_nvm -customtestplans
tp_read_entry,tp_read,tp_read_exit.
WMT-317
Severity
Error
Description
More than one pattern type requested and customexperiment keyword used in the -
create_embedded_test_options keyword.
What's Next
When the customexperiment and customtestplans keywords are used in the -
create_embedded_test_options keyword, only one pattern type can be specified. These keywords
specify to create_embedded_test an experiment name to use (instead of the default), and which
testplans to run. Because only one value is supported for customexperiment, only one pattern type
can be requested, as multiple patterns (and therefore multiple experiments) would not be able to be
named uniquely.
WMT-318
Severity
Error
Description
Missing -amu_instance and -fcu_instance options. When creating the ASU testbench or creating
ASU vectors, an AMU or FCU must be specified using the -amu_instance or -fcu_instance options.
What's Next
When creating an ASU testbench (using the -add_asu_testbench option) or creating ASU vectors
(using the asu_vectors=append or asu_vectors=replace keywords in the -
create_embedded_test_options string), an AMU or FCU instance must be specified. The AMU
instance is specified using the -amu_instance option, and the FCU is specified using the -
fcu_instance option.
WMT-319
Severity
Error
Description
The ASU vectors have not been generated successfully.
What's Next
Check for error messages in the create_embedded_test log to find out more information.
WMT-320
Severity
Error
Description
The ASU vectors file from create_embedded_test could not be found.
What's Next
Check for error messages in the create_embedded_test log to find out more information.
WMT-17
WMT-18
WMT-19
WMT-1
Severity
Info
Description
Command write_dft_pmbist_testbench started.
WMT-2
Severity
Info
Description
Creation of MBIST testbench(es) complete.
WMT-3
Severity
Info
Description
Creation of MBIST testbench(es) did not complete successfully.
WMT-4
Severity
Info
Description
Directory not specified, creating default directory: ./wmt.
WMT-5
Severity
Info
Description
Created the script to execute build_model, create_embedded_test and write_vectors.
WMT-6
Severity
Info
Description
Executing the script to run build_model, create_embedded_test and write_vectors.
WMT-10
Severity
Info
Description
The write_vectors command completed successfully. The 1149 testmode is used for JTAG patterns
(production, bypass, diagnostic, redundancy and bitmap), and the mda testmode is used for MDA
patterns (poweron/directaccess, burnin).
WMT-11
Severity
Info
Description
Directory for generated testbenches not specified, creating default directory: mbist_testbench.
WMT-12
Severity
Info
Description
If executing simulation using ncsim, add the following lines to your script to point to the patterns
created by write_mbist_testbench.
WMT-13
Severity
Info
Description
If there are ROMs in the design, ensure the rompath and romcontentsfile keywords are passed to
create_embedded_test via the '-create_embedded_test_options' keyword.
WMT-14
Severity
Info
Description
Created ncsim script for MBIST patterns.
WMT-15
Severity
Info
Description
Creation of script to generate MBIST testbench(es) complete.
WMT-16
Severity
Info
Description
Creating deposit script for simulation.
WMT-17
Severity
Info
Description
Migrating block level Genus deposit script to testbench directory for simulation. If this file is large,
migration may take some time to do. Each instance in the file is verified to exist in the current Genus
session.
WMT-18
Severity
Info
Description
The ASU vectors have been generated successfully.
WMT-19
Severity
Info
Description
Created driver for ASU patterns.
WMT-100
Severity
Warning
Description
Could not determine test mode signal.
What's Next
No test mode signal present, make sure a test mode signal has been specified.
WMT-101
Severity
Warning
Description
Could not determine JTAG pin.
What's Next
A chip level flow was detected (a JTAG_MACRO was found), but a jtag pin could not be found.
WMT-102
Severity
Warning
Description
Could not determine JTAG pin.
What's Next
A block level flow was detected (no JTAG_MACRO was found), and a required pin could not be
found.
WMT-103
Severity
Warning
Description
The dft_hdl_filelist attribute is empty, using hdl_filelist instead.
What's Next
For an RTL flow (indicated by the attribute dft_rtl_insertion being true), the netlist normally used for
simulation is gotten from the dft_hdl_filelist attribute. In this case, the attribute is empty, so
hdl_filelist will be used instead.
WMT-104
Severity
Warning
Description
Multiple instructions have been defined for MBIST, and both MDA (direct access) and JTAG
patterns have been requested. Only MDA patterns will be created.
What's Next
Both pattern types cant be created by create_embedded_test at the same time. Only MDA patterns
will be created.
WMT-105
Severity
Warning
Description
Pin found in deposit script not found in current design.
What's Next
Ensure that this is expected behavior.
WMT-106
Severity
Warning
Description
AMU patterns have been specified along with the -create_fcu_patterns keyword.
What's Next
Only FCU patterns can be generated, specify jtag_fcu_repair or pmda_fcu_repair.
WMT-107
Severity
Warning
Description
When customexperiment and customtestplans keywords are used, only one pattern type can be
specified.
What's Next
Forcing one pattern type.
115
WRTV
WRTV-1
Severity
Error
Description
Invalid value of depth.
What's Next
Specify a non-negative value.
WRTV-2
Severity
Error
Description
Cannot specify both -abstract and -depth switches.
What's Next
Rerun the command specifying only one of the options.
WRTV-3
Severity
Error
Description
Cannot undo Bus-Net-Blasting.
What's Next
Rerun the command by setting the TCL var for undo bit blasting to 0.
WRTV-4
Severity
Error
Description
Invalid language option.
What's Next
Rerun the command by setting -language either v1995 or v2001.
WRTV-5
Severity
Error
Description
Invalid command.
What's Next
Use 'write_hdl' command in legacy mode.
116
WSDF
WSDF-101
Severity
Error
Description
The specified top design does not exist.
What's Next
Check specified design name and rerun command.
WSDF-102
Severity
Error
Description
The value specified for a command option is incorrect.
What's Next
Specify one of the possible values.
WSDF-103
Severity
Error
Description
Something is wrong with the command options.
What's Next
Check the usage of the command.
WSDF-104
Severity
Warning
Description
Default value for an option has changed in this release.
What's Next
Specify the option explicitly.
WSDF-201
Severity
Warning
Description
No delay description exists for an instance.
What's Next
The timing arc of the instance does not exist or is disabled by disable_timing or constant value. The
delay information will not be generated for the instance. The instance could be a loop breaker or its
inputs could be driven by constant
117
WT
WT Error Messages
WT Error Messages
WT-1
WT-2
WT-101
WT-102
WT-103
WT-1
Severity
Error
Description
Invalid option.
What's Next
Use '-simple' option to write out a simple template script.
WT-2
Severity
Error
Description
Invalid option.
What's Next
Failed on 'write_template'.
WT-101
Severity
Error
Description
Unsupported option(s).
What's Next
This option(s) is not supported in the current version of this command.
WT-102
Severity
Error
Description
Missing file.
What's Next
The file is not present in the path specified.
WT-103
Severity
Error
Description
Unable to open file.
What's Next
An error occurred while opening the file.
118
XORCG
XORCG-106
Severity
Error
Description
Failed to meet the threshold for xor gating.
What's Next
Lower the threshold value and rerun the command.
XORCG-105
XORCG-107
XORCG-102
Severity
Warning
Description
Ignoring -do_placement option as the design has no physical information.
What's Next
Ensure that the design is placed before using this option.
XORCG-103
Severity
Warning
Description
Ignoring -max_distance option as value passed cannot be negative.
What's Next
Give positive value for -max_distance option.
XORCG-104
Severity
Warning
Description
Ignoring -max_distance option as the design has no physical information.
What's Next
Ensure that the design is placed before using this option.
XORCG-105
Severity
Warning
Description
Skipping XOR clock-gating for a flop.
XORCG-107
Severity
Warning
Description
What's Next
Ensure that the design is placed before using this option.