Scratch Formation and Its Mechanism in Chemical Mechanical Planarization (CMP)
Scratch Formation and Its Mechanism in Chemical Mechanical Planarization (CMP)
Scratch Formation and Its Mechanism in Chemical Mechanical Planarization (CMP)
Abstract: Chemical mechanical planarization (CMP) has become one of the most critical processes in
semiconductor device fabrication to achieve global planarization. To achieve an efficient global planarization
for device node dimensions of less than 32 nm, a comprehensive understanding of the physical, chemical, and
tribo-mechanical/chemical action at the interface between the pad and wafer in the presence of a slurry medium is
essential. During the CMP process, some issues such as film delamination, scratching, dishing, erosion, and
corrosion can generate defects which can adversely affect the yield and reliability. In this article, an overview of
material removal mechanism of CMP process, investigation of the scratch formation behavior based on polishing
process conditions and consumables, scratch formation mechanism and the scratch inspection tools were
extensively reviewed. The advantages of adopting the filtration unit and the jet spraying of water to reduce the
scratch formation have been reviewed. The current research trends in the scratch formation, based on modeling
perspective were also discussed.
Keywords: Chemical mechanical planarization (CMP); defects, scratch; post-CMP cleaning; defect source
Table 1 Advantages of the CMP process (Reproduced from Ref. [1], with permission from Elsevier).
Advantages Remarks
Planarization Achieves global planarization
Planarize different materials Wide range of wafer surfaces can be planarized
Planarize multimaterial surfaces Useful for planarizing multiple materials during the same polishing step
Reduces severe topography to allow fabrication with tighter design rules and additional
Reduce severe topography
interconnection levels
Provides an alternate means of patterning metal, eliminating the need to plasma etch,
Alternative method of metal patterning
difficult to etch metals and alloys
Improved metal step coverage Improves metal step coverage due to reduction in topography
Increased IC reliability Contributes to increasing IC reliability, speed, yield (lower defect density)
Reduced defects CMP is a subtractive process and can remove surface defects
No hazardous gases Does not use hazardous gas, which is common in dry etch process
Friction 1(4): 279–305 (2013) 281
for delivery of the slurry [14]. Hence, the mechanisms Removal rate has a non-zero intercept at both zero
for CMP are lubrication behavior and abrasion, such velocity and pressure and has a greater dependence on
as direct contact between the wafer and polishing the velocity compared to the pressure. Thus, Luo et al.
pad (two body abrasion) and contact between the [18] proposed a modified Preston equation as follows:
wafer, the pad, and the abrasive in the presence of a
MRR K(P P0 )(V V0 ) or MRR
slurry film occurring in the asperity region (three body (2)
abrasion) [14]. The role of the diamond conditioner is KPV aP bV Rc
to excise the pad surface in order to maintain its
where, P0, V0, a, b, and Rc are constants. However,
roughness against the plastic deformation and to
Eq. (2) predicts that the removal rate increases with
prevent glazing due to the accumulation of polishing
the pressure even at zero velocity, which was not
residues in the pad pores [8, 15, 16]. This review article
consistent with their experimental data.
is divided into the following sections: Section 2,
The final, modified form of the equation, according
modeling of CMP; Section 3, scratch issues in CMP
to Luo et al. [18] is given as follows
process; Section 4, scratch inspection tools; Section 5,
scratch formation source; and Section 6, scratch MRR= (KP B)V Rc (3)
formation mechanism. This review focuses on the
where K, B, and Rc are constants and were obtained
latest developments and current status of research
by a least squares procedure. The Preston coefficient
on CMP scratches and possible solution to avoid the
and other constants can be obtained from experimental
scratches and outline the scopes for future research.
data.
Cook [19] developed a MRR model based on
2 Modeling of chemical mechanical Hertzian elastic penetration of a spherical particle
planarization with pressure in which the interaction between the
abrasive particle and wafer surface occurs. Also, Liu
The mechanism of CMP based on the mechanical et al. [20] proposed a model which is based on a
interactions between the wafer, pad, and abrasive statistical method and elastic theory to describe the
particle has been studied by several groups. The MRR mechanism of silicon wafer surface during
most fundamental and basic material removal model the CMP process. In this model, the parameters of
in CMP is the Preston model, which is applicable for removal rate are hardness of wafer film and pad, and
glass polishing [17]. This equation states that the Young’s modulus of abrasive and film material. The
material removal rate (MRR) is directly proportional advantage of Cook’s and Liu’s MRR model, based on
to the pressure and relative velocity as follows: Hertzian contact, is the importance given to the role
MRR Kp P V (1) and interactions of the consumable.
Runnels [21] proposed a model by considering the
where MRR is the material removal rate in m/min, P slurry fluid film. The importance of wafer curvature,
is the down pressure in N/m2, V is the relative velocity slurry viscosity, and thickness of the fluid film was
between the pad and wafer in m/min and Kp is the described in the model. The stresses induced by the
Preston coefficient in m2/N. The Preston coefficient flowing slurry on feature surfaces were computed and
depends on various factors that can affect the removal used in erosion models that empirically incorporated
rate such as friction force, chemical reaction, heating the fracture mechanics and chemistry. Tseng and
and so on. This is an empirical equation for under- Wang [22] proposed a MRR model for the CMP
standing mechanical action during the CMP process, process through the combination of solid and fluid
which shows the linear dependency. mechanics. This model is given by MRR = MP 5/6V 1/2 ,
However, MRR is not zero for some materials, even where M is a constant associated with material pro-
when P and V are zero. Such behavior is most com- perties such as abrasive concentration and chemical
monly seen in metal CMP. Hence, a modified Preston’s processes during CMP. Also, this model was obtained
equation was proposed based on the Cu CMP [18]. using a non-linear relationship between the material
282 Friction 1(4): 279–305 (2013)
Fig. 6 Various scratches formed in Cu CMP [33]. In the CMP process, several possible reasons for scratch
formation have been proposed in the literature [43−74]
and can be broadly classified into process conditions with a POU filter. Based on their results, the defects
(down pressure, velocity, etc.) based scratches and were remarkably reduced after installation of the POU
consumables (slurries include abrasive particles, pads, filter. Also, they showed that the slurry filter plays an
conditioners, etc) based scratches. CMP consumables important role in the determination of pad lifetime.
can cause surface scratches due to particle agglomera- The effect of a high spray bar (HSB) method, i.e.,
tion, release of diamonds from the conditioner, or pad de-ionized water (DIW) with high pressure during
debris. Several reports discussing the effects of these CMP was evaluated. High spray bar can prevent the
factors on scratch formation have been published accumulation of large particles on the pad. As a result,
[44−74]. Lin et al. [75] evaluated the number of scratches the defect density was significantly reduced when
formed during CMP on various film surfaces in the compared with an un-installed high spray method.
manufacturing of DRAM devices. The micro-scratch Figure 9 [43] shows the defect density trend obtained
number on the SiN cap layer was much lower, which with and without a high pressure DI water spray bar
might be due to the higher hardness. Also, they during CMP.
optimized the film thickness of filled oxide and SiN Teo et al. [44] characterized the scratches generated
cap layer to reduce micro-scratches, based on the during Cu CMP as a function of process pressure
difference in material hardness. and velocity with different abrasive particles. In their
Typically, a CMP process consists of chemical results, scratches generated on the Cu surface were
and mechanical interactions between the wafer and classified into two types, long scratches and triangular
polishing pad with a slurry. The mechanical action scratches. A likely cause for a long scratch is that
is attributed to the abrasive particle and polishing abrasive particles become embedded in the polishing
pad interactions. Hence, scratches resulting from pad during the polishing process. On the other hand,
mechanical polishing are inevitable. The abrasive a possible cause for triangle scratches could be due to
particle size distribution influences the number and freely suspended abrasive particles being driven onto
size of the active abrasives [76]. Seo and Kim focused the Cu surface. Also, it was found that deeper scratches
on micro-scratch generation caused by agglomerated were detected when larger and harder abrasive particles,
particles, which are solidified and attached in the like alumina particles, were used for Cu CMP.
pipeline of a slurry supply system [30, 40, 43]. They Also, it was noted that the occurrence of scratches
evaluated the effect of abrasive particle size distribu- can increase due to the agglomeration of the abrasive
tion and controlled the large particle concentration by particles. Flushing the stagnant slurry in the slurry pipe
installing a point of use (POU) slurry filter. Figure 7
line might remove the agglomerated abrasive particles.
shows a schematic diagram of the CMP tool with a
For example, the flushing procedure effectively reduced
POU filter. Figure 8 shows a comparison of defect
scratch generation (Fig. 10).
densities as a function of number of wafers polished
Ahn et al. [45] evaluated the surface roughness of Al
after CMP performed using the optimum conditions
of a silica based slurry and compared these with the
conventional alumina based slurry. The agglomeration
of particles induced by zeta-potential and oxide layer
thickness of Al, which are a function of pH, could
also affect the surface roughness. Also, the surface
roughness of Al increased with an increase in abrasive
concentration. The reason for this seems to be that
friction was more severe at high abrasive concentrations.
Kim et al. [46] focused on controlling the agglomeration
of ceria particles using the organic additives and pH
Fig. 7 Schematic diagram of the CMP tool with a POU filter adjusters to reduce micro-scratches. Remsen et al. [47]
and high pressure spray bar (HSB) of DI water [30].
used a dual-sensor single particle optical sensing (SPOS)
286 Friction 1(4): 279–305 (2013)
Fig. 8 Defect density as a function of polished wafer counts (a) without filter and (b) with 0.5 μm filter [30].
Fig. 9 Defect density trend (a) with pre-wet flow rate of 700 ml/min and without the high spray bar of DI water and (b) pre-wet flow
rate of 200 ml/min and high spray bar of DI water (Reproduced from Ref. [43], with permission from Elsevier).
Fig. 14 Optical microscopy images of silicon dioxide films polished with slurry containing (a) 0.5 wt% composite particles, (b) 0.5 wt%
CeO2 nanoparticles, and (c) 0.25 wt% CeO2 nanoparticles (Reproduced from Ref. [48], with permission from Elsevier).
Fig. 15 SEM image of alumina particles (a) before and (b) after coating (Reproduced from Ref. [51], with permission from Elsevier).
Fig. 16 Optical microscope images of disk substrates polished in slurries containing different abrasives (a) before polishing (200×),
(b) polished using pure alumina slurry (200×) and (c) polished using composite abrasive (with 10 wt% coating) slurry (200×) (Reproduced
from Ref. [51], with permission from Elsevier).
process of soft materials due to the cushion-like effect oxide thickness loss after 1 min of CMP using different
arising from the elastic properties of the core, which abrasive particles. For the silica abrasive, thickness
allow the composites to easily adapt to the pad loss decreased with increasing particle size. In the case
asperities (Fig. 17). of two composite particles, total defect counts were
Oxide removal rate and scratch generation were different. Composite B particles are spherical in shape
evaluated using four types of abrasive particles (30 and are more similar to the colloidal silica particle.
and 90 nm colloidal silica particles, 350 nm polymer Also, the larger size of colloidal silica shows a higher
particles, composite A and B). Figure 18 shows the number of defects level than the smaller size of
Friction 1(4): 279–305 (2013) 289
Fig. 18 (a) Thickness loss vs. abrasive type and (b) total defect Fig. 19 Schematic illustration of the slurry distribution system
count vs. abrasive type after oxide CMP at pH 10 [52]. [55].
290 Friction 1(4): 279–305 (2013)
which considered the shear flow and the electrostatic are greater than the repulsive inter-particle force,
interaction between particles. It was assumed that particle agglomeration occurs. The degree of particle
particle collisions were binary and proportional to the agglomeration is influenced by the slurry properties
particle concentration. Chang et al. [55] simulated the (e.g., interparticle forces), external shear stress (i.e.,
aggregation rate of k-fold aggregates, dNk/dt, which type of pump), and the number of turnovers of the
is given by the time evolution of the cluster size slurry. They found that a magnetically levitated
aggregates, i and j-fold. centrifugal pump resulted in lower stress effects on
particle agglomeration and did not increase the
l k -1
dN k 1
dt
2
(k
i jk
ij /Wi j )N i N j N k (kki /Wki )N i
k 1
(4) concentration of oversized particles, as shown in
Fig. 20 [55]. Also, the defectivity was evaluated using
the low-k dielectric CMP. Optical microscopy images
4 of the low-k dielectric film are shown in Fig. 21.
kij G( ai a j ) (5)
3
5.2 Pad surface properties and pad debris
where the aggregation constant, kij, is a function of
the shear rate (G) and particle size (a). The stability CMP is a complex interaction process between the
ratio (W) is the ratio of the rapid aggregation rate wafer surface and the consumables. The CMP polishing
without electrostatic interaction to the slow aggregation pad is an important consumable among all other
rate in the presence of electrostatic interactions consumables, and has a dominating effect on the
between particles. According to this model, the material removal rate [59]. The structure and material
shear flow causes particles to approach each other properties determine the material removal rate and
during slurry delivery. When van der Waals forces planarization ability [1, 60]. Usually, the polishing
Fig. 20 Cumulative concentration vs. particle size at 0, 250, and 500 turnovers for (a) bellows, (b) diaphragm, and (c) magnetically
levitated centrifugal pump system (Reprinted with permission from Ref. [55]. Copyright 2009, The Electrochemical Society).
Fig. 21 Optical microscopy images of BD1 wafers polished by circulated slurries using (a) bellows, (b) diaphragm and (c) magnetically
levitated centrifugal pump system (Reprinted with permission from Ref. [55]. Copyright 2009, The Electrochemical Society).
Friction 1(4): 279–305 (2013) 291
pad contains both pores and grooves, which help for ratio (percentage of scratches/defective die, i.e., the
better planarization [61, 62]. The pores of a pad act number of scratches formed on 100 defective dies) and
as a lake, store the slurry particles, and enhance the removal rate during the STI CMP process. Scratch
contact time between slurry particles and the wafer. formation was found to be higher in the contact regime
Grooves provide a channel for efficient and uniform and lower in the lubricating regime. The contact regime
slurry distribution across the pad surface to the wafer exists when the pad contains only grooves [63], and
surface. These parameters determine the slurry tran- the lubricating regime exists when the pad contains
sportation and contact area at the pad/wafer interface pores [64]. Optimum conditions were obtained in the
[1, 5, 7, 59, 62]. Choi et al. [62] studied the synergistic presence of a lubricating regime with fewer scratch
role of pores and grooves of a pad in forming the sources present on the pad [62]. Also, the presence of
scratches (especially chatter mark scratches) using grooves helps to discharge most of the scratch sources
three types of pads. Pad with only pores, only grooves, generated during the process away from the wafer–
and both pores and grooves were investigated to pad contact [65].
understand its effect on scratch formation. Figure 22 Both the structure of polishing pads, such as pores
shows the SEM images of scratch shapes formed on and grooves, and the hardness of the pad affect the
the STI patterned wafers polished using three types MRR and generate the scratches. Hsien et al. [66]
of pads. Different types of pads generated different reported scratch generation by comparing the hard
types of scratches. Pad-3 (containing both pores and and soft pads. It was reported that the soft pad with
grooves) generated short chatter mark-shaped scratches lower pressure generated fewer scratches [66, 67].
compared with the other types of pads. Furthermore, Eusner et al. [68] quantitatively analyzed
Figure 23 shows the effect of pad type on scratch the topography and material properties of fresh and
Fig. 22 SEM images of scratches formed on STI-patterned wafers after CMP using pads with (a) only grooves (pad-1), (b) only pores (pad-2),
and (c) pores and grooves (pad-3) [62].
Fig. 23 (a) Scratch ratio on the STI-patterned wafer, and (b) MRR of blanket oxide wafer with ceria slurry as a function of pad type [63].
292 Friction 1(4): 279–305 (2013)
Fig. 27 SEM image and EDX analysis of (a) fresh pad, (b) pad
debris with only DI water, and (c) pad debris with silica slurry [72].
Fig. 26 The effect of diamond size on (a) removal rate and (b)
scratch generation (Reproduced from Ref. [70], with permission
from Elsevier).
to understand the surface damage caused during and particles impurities, which were not spherical
CMP. The resulting failure was predicted by various but angular in shape. Also, the distribution of radii of
mechanical wear (or scratching) equations depending curvature for the point of the impurity particle in
upon the assumption of plastic deformation or brittle contact with the wafer surface was considered. Hence,
fracture (Fig. 34). The wear rate goes from reasonably the plastic deformation scratch depth is given by
low rates for plastic wear to rates with higher orders of
L E
12
b N 2 cot
13
magnitude for brittle fracture. The wear rate transition (9)
occurs at a threshold normal load, i.e., H
Here, E’ is the relative modulus of elasticity and φ is
LNc ~ 2 10 5 KIc4 H 3 (6)
the angle between opposite edges of the indenter. The
where H is the hardness of the surface being damaged depth of the radial cracks, gives the scratch depth for
and KIc is its fracture toughness. In the case of plastic brittle fracture as follows:
deformation, the differential volume, dV, of material 23
E 1 2 L 23
removed per unit length, dx, of the scratch depends c CR r N cot (10)
upon the load of the abrasive point normal to the H KIc
surface, LN, and the mechanical properties of the where r is a dimensionless constant. There is a transi-
materials comprising the surface as follows: tion between plastic and brittle fracture scratching
dV dx ~(LN H ) (7) that takes place as the load is increased. Therefore,
when the load on an impurity is less than LNc, plastic
This equation assumes that the abrasive point is harder deformation will take place; when the load on an
than the material comprising the surface. In the case impurity particle is greater than LNc, brittle fracture
of brittle fracture, the fracture wear rate could be will take place.
represented as follows: Particle impurities are forced by pad asperities to
be in contact with the wafer surface. The asperities
dV dx ~(E H )4 5 KIc-1 2 H -5 8 L9N8 (8)
press the impurity particles into the wafer surface,
where E is Young’s modulus. Ring et al. considered creating a normal load that allows the depth of the
each of these scratching particles to be attached to the surface damage to be predicted using Greenwood and
tip of an asperity or, if larger than an asperity, to be Williamson’s [82, 83] and Yu’s theories [26]. Figure 35
pressed into the pad to determine the depth distri- shows the size distribution of scratches produced
bution of the scratches due to both abrasive particles by the impurity particles. The deepest scratches were
formed by the large impurity particles and the po-
pulation of scratches decreased as the scratch depth
increases for a given size of particle impurities.
Saka et al. [84] estimated the scratch formation at
lower and upper-bound loads based on contact
mechanics models. Additionally, the width and depth
of scratches are dependent on process parameters
such as particle size, abrasive volume fraction, and
mechanical and geometric properties of the pad and
surface coatings. In their study, interactions between
the Al2O3 abrasive particles and the Cu/low-k surface
were described. They assumed that the Young’s
modulus and hardness of abrasive particles are greater
Fig. 34 Schematic of (a) plastic deformation and (b) brittle than the coated films. Particles were assumed to be
fracture (Reprinted with permission from Ref. [29]. Copyright spherical and rigid with smooth and sufficiently thick.
2007, The Electrochemical Society). The radius of the contact on the coated film at yield
Friction 1(4): 279–305 (2013) 297
RUB RUB
Hc (14)
A π 2
ac
2
where PUB is the applied load, A is the projected con-
tact area, and ac is the semi-width of a scratch. Based on
the geometry of the scratch, the relation between the
depth of the scratch (δc) and the semi-width is given by
Fig. 35 Size distribution of scratches produced in (a) ILD and
(b) copper by particle impurities (Reprinted with permission from
c
2
1 ac
Ref. [29]. Copyright 2007, The Electrochemical Society). c ac (15)
R 2 R
(aY,c), the depth of the indentation in the film at yield
load (δY,c), the yield load (PY,c) as a function of the
particle radius (R) and the mechanical properties of the
coating were represented based on Hertzian analysis
and the Tresca criterion for yielding as follows [84−86]:
π Hc
aY,c R (11)
4 Ec
π 2 H c2
Y,c R (12)
16 Ec2
π 3 H c3 2
PY,c R (13)
48 Ec2
For a fully plastic contact, the semi-width and Chandra et al. [89] proposed a multi-scale model
the depth of a scratch, and the upper-bound load, encompassing the pad response and slurry behavior
respectively, are to predict the scratch propensity in CMP. The pad
response delineates the interplay between the local
12
2P particle-level deformation and the cell-level bending
ac UB (16)
πH c of the pad. Although the agglomeration process is
traditionally classified into two separate regimes,
PUB diffusion-limited agglomeration (DLA) and reaction-
c (17)
πRH c limited agglomeration (RLA), DLA occurs near the
iso-electric point of the slurry particles, while RLA
PUB π c RH c c ac ≪ R (18) occurs when the pH of the slurry is away from the
iso-electric point [89]. For the general case, the
Figure 38 shows the normalized experimental load agglomeration process can be modeled using the
versus the normalized scratch depth. The solid line Smoluchowski rate equation [89, 90], which gives the
represents the normalized upper-bound load. Therefore, time rate of change of the number of particle clusters
all the points on the graph should be below the line of with volume M, N(M), as follows:
the upper bound load according to Eq. (18). In Fig. 38,
d 1 M -1
all the points were below the solid line; therefore, the N ( M ) a (M , K )N (M -K )N (K )
load per particle can be related to the scratch width dt 2 K 1
(19)
and depth, according to Eq. (18). Based on the above a (M ,K )N (M )N (K )
modeling and experimental results, multi-particle K 1
contact behavior and the effect of pad asperity geometry The agglomeration kernel, a(M,K), is the rate at which
for the initiation of scratches were analyzed [84, 88]. clusters of volume M agglomerate with particles of
The various regimes of scratching by polishing pads volume K. It has been shown that most agglomeration
in CMP have been delineated by contact mechanics results from smaller particles sticking themselves onto
based theoretical. a larger cluster [91]. The spatial distribution of the
MRR is also affected by pad wear, which takes place
mainly at the asperity level. The probability density
function of the asperity height z at any time t is given
as follows:
4C E* s
d
dt
(z ,t ) a
3π z
z-d(t ) (z ,t ) (20)
E* s
W (i , j) z-d(t )X(i , j ) (21)
Hπ
Fig. 38 Normalized experimental load versus the normalized
scratch depth (Reproduced from Ref. [84], with permission from Using the above equations, the cumulative density
Elsevier). function of the scratch depth can be calculated. The
Friction 1(4): 279–305 (2013) 299
probability per active particle, P(W ω), a scratch of the probability density of scratch depth, which was
depth W, which is less than a prescribed threshold ω, simulated from the proposed equations. It was
will be created and is given by observed that the scratch depth increased while scratch
frequency decreased for harder pads as well as for
Xmax w2 H 2
P(W ≤ w) f z (z)f x (x)dzdx (22) softer wafer surfaces.
0 0
Typically, chatter mark-type scratches, which have
The model predictions were compared with the a repetitive C-shaped crack, were generated in inter-
experimental results in Fig. 39. The maximum scratch level dielectric (ILD) materials (Fig. 40). In this image,
depth predicted by the model was much lower. This the cracks are larger at one end and smaller at the other
discrepancy was thought to be caused by inaccuracies end of the repetitive line. Furthermore, the repetitive
in the assumed initial particle distribution in the slurry. C-shaped surface showed damage that is tens of nm
This might be due to the contamination of the slurry deep with some individual cracks that were deeper
with a very low percentage of relatively large particles. than others, in atomic force microscope (AFM) images.
Additionally, the model was adopted as a function Ring et al. [29] explained this phenomenon based on
of pad modulus and wafer surface hardness. The bouncing particle model. The springiness of the pad
scratch depth was affected by pad modulus, and hence causes the particle to bounce against the wafer surface.
Bouncing may be initiated by a particle impurity that
is sliding across the surface of the wafer. After the first
bounce, the particles have sufficient force to indent
the surface of the wafer. This force is supplied by
the elastic properties of the pad when the particle is
pushed into it and then rebounds. The frequency of
bounces can be determined by the simple physics of a
mass (the particle) on a spring (the pad). The governing
equation is given by
d2 x
F k1 x m (23)
dt 2
Fig. 40 Chatter surface damage showing repetitive, 40-nm-deep indentations in the wafer surface (Reprinted with permission from
Ref. [29]. Copyright 2007, The Electrochemical Society).
300 Friction 1(4): 279–305 (2013)
solution to the above equation is given by spring constant k of the model connecting the step
motor (moving at a constant speed V0) to the slider
x Asin( t 0 ) (24) can be obtained from the slope of the horizontal force
versus time curve (Fig. 42) during the sticking stage.
where A is the amplitude, which is given by
The total mass of the slider and sample is m. L is the
normal load applied to the specimen and x is the real
2
Fig. 41 A simple model of the scratch system (Reproduced from Fig. 42 The horizontal force measured by the load cell, k(V0t–x)
Ref. [94], with permission from Elsevier). (Reproduced from Ref. [94], with permission from Elsevier).
Friction 1(4): 279–305 (2013) 301
was predicted by controlling the applied velocity to permits any use, distribution, and reproduction in any
characterize the chatter scratch formation. Thus, the medium, provided the original author(s) and source
particle position from the starting point increased are credited.
with increased oscillatory motion and sliding time
(or distance).
References
[1] Zantye P B, Kumar A, Sikder A K. Chemical mechanical
7 Concluding Remarks
planarization for microelectronics applications. Mater Sci
CMP is a unique technology in the fabrication of Eng R Rep 45(3−6): 89−220 (2004)
semiconductor devices. Also, CMP is very important [2] DeJulie R. CMP grows in sophistication. Semiconductor
in achieving the uniform global planarity without any International 21(13): 56−80 (1998)
defects. Several models were introduced to understand [3] Oliver M R. Chemical-Mechanical Planarization of Semicon-
the material removal rate based on the Preston equation. ductor Materials. Berlin (Germany): Springer, 2004.
However, several defects, such as particle adhesion, [4] Fury M A. The early days of CMP. Solid State Technol 40:
deposition of slurry residue, scratching, and pitting, 81 (1997)
occur on the surface. Among these, the most detrimental [5] Steigerwald J M, Murarka S P, Gutmann R J. Chemical
defects were found to be scratches, as these directly Mechanical Planarization of Microelectronic Materials.
affect the yield and potential reliability of the devices. New York (USA): John Wiley & Sons, 1997.
In this review, various scratch detection methods as [6] Ali I, Roy S R, Shinn G. Chemical mechanical polishing of
interlayer dielectric: A review. Solid State Technol 10:
well as sources of scratch formation were described in
63−70 (1994)
detail. Typically, scratches are generated by byproducts
[7] Li Y. Microelectronic Applications of Chemical Mechanical
such as large particles and agglomerated particles in
Planarization. New Jersey (USA): John Wiley Sons, 2007.
a slurry, and pad debris with abrasion between the
[8] Liang H, Kaufman F, Sevilla R, Anjur S. Wear phenomena in
wafer and pad in the slurry. Filtration was introduced
chemical mechanical polishing. Wear 211(2): 271−279 (1997)
to reduce or to control the large particles and
[9] Palla B J, Shah D O. Correlation of observed stability and
agglomerated particles in the slurry. Additionally, the
polishing performance to abrasive particle size for CMP. In
effect of abrasive particle type, size, hardness and
Proceedings of the IEEE/CPMT International Electronics
surface modification methods were discussed. Particle
Manufacturing Technology (IEMT) Symposium, 1999: 362−
agglomeration behavior during slurry circulation in
369.
the slurry supply system and its effect on scratch
[10] Luo J, Dornfeld D A. Effects of abrasive size distribution in
formation were discussed. The polishing pad is a
chemical mechanical planarization: Modeling and verification.
main consumable in the CMP process. The effect of IEEE T Semiconduct M 16(3): 469−476 (2003)
pad groove and pores for MRR and scratch formation [11] Gokhale K S, Moudgil B M. Particle technology in chemical
was explained and new pads were introduced with mechanical planarization. KONA 25: 88−96 (2007)
various diamond conditioner types. As a result of [12] Zhao B, Shi F G. Chemical mechanical polishing: Threshold
pad conditioning, pad debris can be generated, which pressure and mechanism. Electrochem Solid-State Lett 2(3):
is adsorbed with the abrasive particles, and can 145−147 (1999)
therefore also act as a scratch generation source. Lastly, [13] Basim G B, Adler J J, Mahajan U, Singh R K, Moudgil B
the scratch formation mechanism and experimental M. Effect of particle size of chemical mechanical polishing
results based on basic contact theory and fracture slurries for enhanced polishing with minimal defects. J
mechanisms were discussed, and the particle bouncing Electrochem Soc 147(9): 3523−3528 (2000)
model and stick-slip models for chatter mark scratch [14] Inaba S, Katsuyama T, Tanaka M. Study of CMP polishing
formation, the main scratch type, were reviewed. pad control method. In 1998 Proceedings of the Third
International Chemical-Mechanical Planarization for VLSI
Open Access: This article is distributed under the terms Multilevel Interconnection Conference (CMP-MIC), 1998:
of the Creative Commons Attribution License which 44−51.
302 Friction 1(4): 279–305 (2013)
challenges for ULSI manufacturing. In AIP Conference [55] Chang F C, Tanawade S, Singh R K. Effects of stress-induced
Proceedings, Gaithersburg, Maryland, USA, 1998: 405. particle agglomeration on defectivity during CMP of low-k
[43] Seo Y J, Kim S Y, Lee W S. Advantages of point of use dielectrics. J Electrochem Soc 156(1): H39−H42 (2009)
(POU) slurry filter and high spray method for reduction of [56] Yi C, Tsai C F, Wang J F. Stabilization of slurry used in
CMP process defects. Microelectron Eng 70: 1−6 (2003) chemical mechanical polishing of semiconductor wafers by
[44] Teo T Y, Goh W L, Leong L S, Lim V S K, Tse T Y, Chan adjustment of pH of deionized water. U.S. Patent 6 130 163,
L. Characterization and reduction of copper chemical Oct. 2000.
mechanical polishing induced scratches. In Proc. SPIE 5041, [57] Chang F C, Singh R K. Method for quantifying the degree of
Process and Materials Characterization and Diagnostics in agglomeration in highly stable chemical mechanical polishing
IC Manufacturing, Santa Clara, CA, USA, 2003: 61−69. slurries. Electrochem Solid-State Lett 12(4): H127−H130
[45] Ahn Y, Yoon J Y, Baek C W, Kim Y K. Chemical mechanical (2009)
polishing by colloidal silica-based slurry for micro-scratch [58] Donis R, Fisher M, Bauck L. Effect of slurry distribution
reduction. Wear 257(7−8): 785−789 (2004) using diaphragm and centrifugal pupms on the defectivity
[46] Kim D H, Kang H G, Kim S K, Paik U, Park J G. in a Cu CMP process. ECS Trans 25(38): 47−54 (2010)
Agglomerated large particles under various slurry preparation [59] Hooper B J, Byrne G, Galligan S. Pad conditioning in
conditions and their influence on shallow trench isolation chemical mechanical polishing. J Mater Process Tech 123(1):
chemical mechanical polishing. Jpn J Appl Phys Part 1 107−113 (2002)
44(11): 7770−7776 (2005) [60] Landis H, Burke P, Cote W, Hill W, Hoffman C, Kaanta C,
[47] Remsen E E, Anjur S, Boldridge D, Kamiti M, Li S, Johns Koburger C, Lange W, Leach M, Luce S. Integration of
T, Dowell C, Kasthurirangan J, Feeney P. Analysis of large chemical-mechanical polishing into CMOS integrated circuit
particle count in fumed silica slurries and Its Correlation manufacturing. Thin Solid Films 220(1−2): 1−7 (1992)
with scratch defects generated by CMP. J Electrochem Soc [61] Lee W J, Park H S, Shin H C. Enhancement of CMP pad
153(5): G453−G461 (2006) lifetime for shallow trench isolation process using profile
[48] Coutinho C A, Mudhivarthi S R, Kumar A, Gupta V K. Novel simulation. Curr Appl Phys 9(1): S134−S137 (2009)
ceria-polymer microcomposites for chemical mechanical [62] Choi J G, Prasad Y N, Kim I K, Kim W J, Park J G. The
polishing. Appl Surf Sci 255(5 Part 2): 3090−3096 (2008) synergetic role of pores and grooves of the pad on the
[49] Jindal A, Hegde S, Babu S V. Chemical mechanical polishing scratch formation during STI CMP. J Electrochem Soc
using mixed abrasive slurries. Electrochem Solid-State Lett 157(8): H806−H809 (2010)
5(7): G48−G50 (2002) [63] Wang Y C, Yang T S. Effects of pad grooves on chemical
[50] Wrschka P, Hernandez J, Oehrlein G S, Negrych J A, Haag mechanical planarization. J Electrochem Soc 154(6): H486−
G, Rau P, Currie J E. Development of a slurry employing H494 (2007)
a unique silica abrasive for the CMP of Cu damascene [64] Thakurta D G, Borst C L, Schwendeman D W, Gutmann R J,
structures. J Electrochem Soc 148(6): G321–G325 (2001) Gill W N. Pad porosity, compressibility and slurry delivery
[51] Lei H, Zhang P. Preparation of alumina/silica core-shell effects in chemical-mechanical planarization: Modeling and
abrasives and their CMP behavior. Appl Surf Sci 253(21): experiments. Thin Solid Films 366(1−2): 181−190 (2000)
8754−8761 (2007) [65] Rosales-Yeomans D, Doi T, Kinoshita M, Suzuki T,
[52] Armini S, Whelan C M, Maex K, Hernandez J L, Moinpour Philipossian A. Effect of pad groove designs on the frictional
M. Composite polymer-core silica-shell abrasive particles and removal rate characteristics of ILD CMP. J Electrochem
during oxide CMP: A defectivity study. J Electrochem Soc Soc 152(1): G62−G67 (2005)
154(8): H667−H671 (2007) [66] Hsien Y H, Hsu H K, Tsai T C, Lin W, Huang R P, Chen C H,
[53] Armini S, Burtovyy R, Moinpour M, Luzinov I, De Yang C L, Wu J Y. Process development of high-k metal gate
Messemaeker J, Whelan C M, Maex K. Interaction forces aluminum CMP at 28 nm technology node. Microelectron
between a glass surface and ceria modified PMMA based Eng 92: 19−23 (2012)
abrasives for CMP measured by colloidal probe AFM. J [67] Choi J G, Prasad Y N, Kim I K, Kim I G, Kim W J,
Electrochem Soc 155(4): H218−H223 (2008) Busnaina A A, Park J G. Analysis of scratches formed on
[54] Armini S, Whelan C M, Moinpour M, Maex K. Composite oxide surface during chemical mechanical planarization. J
polymer core-silica shell abrasives: The effect of the shape Electrochem Soc 157(2): H186−H191 (2010)
of the silica particles on oxide CMP. J Electrochem Soc [68] Eusner T, Saka N, Chun J H. Breaking-in a pad for
155(6): H401−H406 (2008) scratch-free, Cu chemical-mechanical polishing. J Electrochem
304 Friction 1(4): 279–305 (2013)
Soc 158(4): H379−H389 (2011) Materials. London (UK): Butterworth-Heinemann Ltd., 1992.
[69] Tsai M Y, Chen W K. Effect of CMP conditioner diamond [81] Suratwala T, Steele R, Feit M D, Wong L, Miller P,
shape on pad topography and oxide wafer performances. Int Menapace J, Davis P. Effect of rogue particles on the sub-
J Adv Manuf Tech 55(1−4): 253−262 (2011) surface damage of fused silica during grinding/polishing.
[70] Yang J C, Choi J H, Hwang, Lee C G, Kim T. Effects of J Non-cryst Solids 354(18): 2023−2037 (2008)
diamond size of CMP conditioner on wafer removal rates and [82] Greenwood J A. The area of contact between rough surfaces
defects for solid (non-porous) CMP pad with micro-holes. and flats. J Lubr Technol Trans ASME 89: 81 (1967)
Int J Mach Tool Manu 50(10): 860−868 (2010) [83] Greenwood J A, Williamson J B P. Contact of nominally flat
[71] Yang J C, Kim H, Lee C G, Lee H D, Kim T. Optimization surfaces. Proc R Soc Lond A 295(1442): 300−319 (1966)
of CMP pad surface by laser induced micro hole. J [84] Saka N, Eusner T, Chun J H. Nano-scale scratching in
Electrochem Soc 158(1): H15−H20 (2011) chemical-mechanical polishing. CIRP Ann Manuf Technol
[72] Prasad Y N, Kwon T Y, Kim I K, Kim I G, Park J G. 57(1): 341−344 (2008)
Generation of Pad debris during oxide CMP process and [85] Johnson K L. Contact Mechanics. New York (USA):
Its role in scratch formation. J Electrochem Soc 158(4): Cambridge university press, 1987.
H394−H400 (2011) [86] Suh N P. Tribophysics. New Jersey (USA): Prentice-Hall,
[73] Kwon T Y, Cho B J, Ramachandran M, Busnaina A A, Park Englewood Cliffs, 1986.
J G. Investigation of Source-Based Scratch Formation During [87] Maugis D, Pollock H M. Surface forces, deformation and
Oxide Chemical Mechanical Planarization. Tribol Lett 50(2): adherence at metal microcontacts. ACTA Metall 32(9):
169−175 (2013) 1323−1334 (1984)
[74] Yang J C, Oh D W, Kim H J, Kim T. Investigation on [88] Saka N, Eusner T, Chun J H. Scratching by pad asperities in
surface hardening of polyurethane pads during chemical chemical-mechanical polishing. CIRP Ann Manuf Technol
mechanical polishing (CMP). J Electron Mater 39(3): 59(1): 329−332 (2010)
338−346 (2010) [89] Chandra A, Karra P, Bastawros A F, Biswas R, Sherman
[75] Lin M, Chang C Y, Liao D C, Wang B, Henderson A. P J, Armini S, Lucca D A. Prediction of scratch generation
Improved STI CMP technology for micro-scratch issue. In in chemical mechanical planarization. CIRP Ann Manuf
Proceedings of Chemical Mechanical Polishing-Multilevel Technol 57(1): 559−562 (2008)
Interconnection Conference, Santa Clara, 1999: 322. [90] Ball R C, Weitz D A, Witten T A, Leyvraz F. Universal
[76] Huang J, Chen H C, Wu J Y, Lur W. Investigation of CMP kinetics in reaction-limited aggregation. Phys Rev Lett 58(3):
micro-scratch in the fabrication of sub-quarter micron VLSI 274–277 (1987)
circuit. In Proceedings of Chemical Mechanical Polishing- [91] Che W, Guo Y, Chandra A, Bastawros A. A scratch
Multilevel Interconnection Conference, Santa Clara, 1999: intersection model of material removal during chemical
77−79. mechanical planarization (CMP). J Manuf Sci Eng 127(3):
[77] Benner S J, Perez G, Peters D W, Hue K, O’Hagan P. 545–554 (2005)
Reduction of CMP-induced wafer defects through in-situ [92] Gao C, Kuhlmann-Wilsdorf D, Makel D D. Fundamentals
removal of process debris. In Advanced Semiconductor of stick-slip. Wear 162−164(PART B): 1139−1149 (1993)
Manufacturing Conference (ASMC), 2011 22nd Annual [93] Gao C, Kuhlmann-Wilsdorf D, Makel D D. The dynamic
IEEE/SEMI. IEEE, 2011: 1−4. analysis of stick-slip motion. Wear 173(1−2): 1−12 (1994)
[78] Suratwala T, Wong L, Miller P, Feit M D, Menapace J, [94] Zhang S L, Li J C M. Slip process of stick-slip motion
Steele R, Davis P, Walmer D. Sub-surface mechanical damage in the scratching of a polymer. Mater Sci Eng A 344(1−2):
distributions during grinding of fused silica. J Non-cryst 182−189 (2003)
Solids 352(52−54): 5601−5617 (2006) [95] Kim H J, Yang J C, Yoon B U, Lee H D, Kim T. Nano-scale
[79] Lawn B. Fracture of Brittle Solids. New York (USA): stick-slip friction model for the chatter scratch generated by
Cambridge university press, 1993. chemical mechanical polishing process. J Nanosci Nanotech
[80] Hutchings I M. Tribology: Friction and Wear of Engineering 12(7): 5683−5686 (2012)
Friction 1(4): 279–305 (2013) 305
Jin-Goo PARK. He received PhD directors of Micro Biochip Center and Nano-bio
degree in materials science and Electronic Materials and Processing Lab. (NEMPL,
engineering from University of www.nempl.net). His research interests include
Arizona in 1993. From 1992 to 1994, wafer cleanings and chemical mechanical polishing
he was with Texas Instruments, as well as nano-bio MEMS. He is now president of
Dallas, TX, where he was Korea CMPUGM (www.cmpugm.com) and a
responsible for microcontamination founder and president of International Conference on
control in semiconductor wet processing and DMD Planarization/CMP Technology (ICPT) which is the
development. In 1994, he joined Hanyang University largest CMP conference in the world. He is also a
at Ansan, where he is now a professor in the founder of Korea Surface Cleaning Users Group
Department of Materials Engineering as well as Meeting (www.scugm.com).
Tae-Young KWON. He received and Ph.D. student at the same university. He has
his Bachelor degree in Department recently obtained his Ph.D. degree in Department of
of Materials Engineering in 2006 Materials Engineering at Hanyang University. His
from Hanyang University, Ansan, research interests include Chemical Mechanical
Korea. After then, he was a M.S. Planarization process and its tribology.