Proyecto Parte 1
Proyecto Parte 1
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity semaforo is
port(
clk: in bit;
count: in std_logic_vector(7 downto 0);
q: out std_logic_vector(2 downto 0);--semaforo E O || R A V
y: out std_logic_vector(2 downto 0);--semaforo N S || R A V
a: out std_logic_vector(3 downto 0));--Calles
end semaforo;
when X"07" => q <= "001"; y <= "100"; a <= "0101";--5 E O verde 20 seg
when X"08" => q <= "001"; y <= "100"; a <= "0101";--5
when X"09" => q <= "001"; y <= "100"; a <= "0101";--5
when X"0A" => q <= "001"; y <= "100"; a <= "0101";--5
when X"0B" => q <= "001"; y <= "100"; a <= "0101";--5
when X"0C" => q <= "001"; y <= "100"; a <= "0101";--5
when X"0D" => q <= "001"; y <= "100"; a <= "0101";--5
when X"0E" => q <= "001"; y <= "100"; a <= "0101";--5
when X"0F" => q <= "001"; y <= "100"; a <= "0101";--5
when X"10" => q <= "001"; y <= "100"; a <= "0101";--5
when X"11" => q <= "001"; y <= "100"; a <= "0101";--5
when X"12" => q <= "001"; y <= "100"; a <= "0101";--5
when X"13" => q <= "001"; y <= "100"; a <= "0101";--5
when X"14" => q <= "001"; y <= "100"; a <= "0101";--5
when X"15" => q <= "001"; y <= "100"; a <= "0101";--5
when X"16" => q <= "001"; y <= "100"; a <= "0101";--5
when X"17" => q <= "001"; y <= "100"; a <= "0101";--5
when X"18" => q <= "001"; y <= "100"; a <= "0101";--5
when X"19" => q <= "001"; y <= "100"; a <= "0101";--5
when X"1A" => q <= "001"; y <= "100"; a <= "0101";--5
when X"1B" => q <= "010"; y <= "100"; a <= "0101";--5 AMARILLO
when X"1C" => q <= "100"; y <= "001"; a <= "0101";--5 N S verde 5 seg
when X"1D" => q <= "100"; y <= "001"; a <= "0101";--5
when X"1E" => q <= "100"; y <= "001"; a <= "0101";--5
when X"1F" => q <= "100"; y <= "001"; a <= "0101";--5
when X"20" => q <= "100"; y <= "001"; a <= "0101";--5
end arc;