Pico VHDL
Pico VHDL
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity pico is
Port ( fclk : in std_logic;
rst_btn : in std_logic;
go_btn : in std_logic;
sw : in std_logic_vector(1 to 8);
led : out std_logic_vector(1 to 8);
anode : out std_logic_vector(1 to 4);
CA : out std_logic;
CB : out std_logic;
CC : out std_logic;
CD : out std_logic;
CE : out std_logic;
CF : out std_logic;
CG : out std_logic);
end pico;
component alu
Port ( rega : in std_logic_vector(3 downto 0);
regb : in std_logic_vector(3 downto 0);
controls : in std_logic_vector(3 downto 0);
alu_out : out std_logic_vector(3 downto 0);
ccr_out : out std_logic_vector(3 downto 0));
end component alu;
component pico_cntrl
Port ( clk : in std_logic;
rst : in std_logic;
go : in std_logic;
ir : in std_logic_vector(3 downto 0);
ld_in : out std_logic;
ld_out : out std_logic;
sel : out std_logic;
ld_ir : out std_logic;
ld_a : out std_logic;
ld_b : out std_logic;
ld_ccr : out std_logic;
ld_tmp : out std_logic);
end component pico_cntrl;
begin
end Behavioral;