Large-Scale 3D Chips: Challenges and Solutions For Design Automation, Testing, and Trustworthy Integration
Large-Scale 3D Chips: Challenges and Solutions For Design Automation, Testing, and Trustworthy Integration
2017)
[DOI: 10.2197/ipsjtsldm.10.45]
Invited Paper
Abstract: Three-dimensional (3D) integration of electronic chips has been advocated by both industry and academia
for many years. It is acknowledged as one of the most promising approaches to meet ever-increasing demands on
performance, functionality, and power consumption. Furthermore, 3D integration has been shown to be most effective
and efficient once large-scale integration is targeted for. However, a multitude of challenges has thus far obstructed
the mainstream transition from “classical 2D chips” to such large-scale 3D chips. In this paper, we survey all popular
3D integration options available and advocate that using an interposer as system-level integration backbone would
be the most practical for large-scale industrial applications and design reuse. We review major design (automation)
challenges and related promising solutions for interposer-based 3D chips in particular, among the other 3D options.
Thereby we outline (i) the need for a unified workflow, especially once full-custom design is considered, (ii) the current
design-automation solutions and future prospects for both classical (digital) and advanced (heterogeneous) interposer
stacks, (iii) the state-of-art and open challenges for testing of 3D chips, and (iv) the challenges of securing hardware in
general and the prospects for large-scale and trustworthy 3D chips in particular.
Keywords: 3D chips, large-scale integration, system-level integration, heterogeneous integration, design automation,
testing, hardware security, trustworthy integration
1. Introduction
3D chips—multiple vertically (and/or laterally) stacked and in-
terconnected layers of active components (and/or whole chips)—
are often claimed to meet current and future requirements for
electronic devices. By their stacked and densely integrated na-
ture, 3D chips offer shorter interconnects and, thus, reduced de-
lays and power, and increased performance [1], [2], [3]. At the
same time, both digital and heterogeneous components spread
across multiple chips/dies are relatively easy to integrate into one
common 3D stack. Note that such heterogeneous 3D chips, if tai-
lored for small footprints and low power consumption, are also
essential for widely-anticipated applications such as the Inter- Fig. 1 The well-known “More Moore” trend for down-scaling the nodes is
net of Things (IoT). Two prominent design paradigms, namely slowly but surely reaching its limits for CMOS technology. New
“More Moore” (shrinking device nodes and leveraging new ma- technologies and materials are being investigated, but most are not
mature yet for high-volume manufacturing. “More than Moore”,
terials) and “More-than-Moore” (heterogeneous integration), ad- which targets for heterogeneous integration, has been identified as
vocate both for 3D chips in particular [4] (Fig. 1). another important direction. The concept of 3D chips offers the po-
tential to meet both trends at the same time.
Despite the significant benefits projected over 2D chips in
general, and the recent high-volume emergence of 3D mem-
ory stacks (such as High-Bandwidth Memory, HBM [5], [6]) in particular, the overall adoption of 3D chips still lags behind
1 expectations—academic and industry leaders have been promot-
New York University Abu Dhabi, PO Box 129188, Abu Dhabi, UAE
2
Masdar Institute, Khalifa University of Science and Technology, PO Box ing 3D integration for more than one decade now [1], [2], [7], [8].
54224, Abu Dhabi, UAE Successful adoption of 3D chips requires addressing different
3
TU Dresden, 01062 Dresden, Germany
4
Google Inc., Austin, Texas 78705, USA
classical and novel challenges which simultaneously affect the
a)
[email protected] manufacturing processes, design practices and physical design
b)
[email protected] tools [3], [9], [10], [11], [12], [13]. If not properly addressed,
c)
[email protected]
d)
[email protected] these fairly complex challenges (such as adverse coupling ef-
e)
[email protected] fects [14], [15]) may render 3D chips commercially unviable.
c 2017 Information Processing Society of Japan 45
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
Fig. 2 Implementation options for 3D chips. Originating with traditional and package stacking using
mainly flip-chip and wire bonding, 3D integration has evolved towards interposer stacks (also
known as “2.5D integration”) as well as towards more encapsulated options: through silicon-via
(TSV)-based 3D ICs and monolithic 3D ICs. While the latter two options provide the highest inte-
gration densities and connectivity, the other options, especially modern interposer stacks, facilitate
large-scale, system-level integration and chip-level design reuse.
Physical design automation, among other stages such as testing, flip-chip and wire bonding) allow for reuse of legacy 2D chips,
partially meets these challenges already at present, but further ef- but only with limited integration and interconnectivity rates.
forts are needed to exploit the full potential of 3D chips and to In the following, key aspects of the 3D implementation op-
facilitate their wide-scale commercial breakthrough. tions are reviewed and design challenges are outlined. Further
In this paper, we elaborate on these challenges and review technical details have been reviewed, e.g., by imec’s Eric Beyne
promising solutions. A key observation is that most challenges in Ref. [23], here along with the related 2D and 3D interconnect
can be eased once system-level 3D integration (of 2D chips) is topologies.
pursued. The related concept of interposer-based 3D integra- Traditional and package stacking has been widely adopted in
tion is widely accepted nowadays [8], [16], [17], [18], [19], [20], the past; it is thus not reviewed in detail in our paper*1 .
[21], [22]; it is a practical, flexible, and cost-effective alterna- 1.1.1 TSV-based 3D ICs
tive to the previously more anticipated full-custom and native 3D This option has initially attracted the most attention and re-
integration. search and development efforts; many prototypes and products
Here we initially provide an overview on 3D integration in gen- nowadays are based on TSV technology [2], [5], [6], [18], [28],
eral and its design-automation challenges in particular (in the re- [29], [30], [31]. The key element, the through-silicon vias (TSVs)
mainder of this Section 1). In Sections 2 and 3, we then discuss are metal plugs (typically copper or tungsten) that penetrate
the respective challenges and solutions for design automation of whole stacked dies in order to interconnect those dies. Differ-
interposer in general and heterogeneous interposer in particular. ent options for stacking of the dies are applicable [23], [32]; for
In Section 4, we review the state-of-art for testing of 3D chips and example, face-to-back stacking is where the metal layers (the
we outline open challenges. In Section 5, we address hardware “face”) of one die are bonded to the substrate (the “back”) of
security, an important aspect for modern chip design, especially another die.
for advanced and complex devices such as 3D chips. Finally, we Depending on the TSV process (Fig. 3), different design chal-
summarize and conclude in Section 6. lenges arise: via-first TSVs and via-middle TSVs obstruct the
device layer and result in placement obstacles; via-last TSVs ob-
1.1 Implementation Options for 3D Chips struct the device layer and the metal layers, resulting in place-
3D chips can be classified into four categories (Fig. 2): (i) tra- ment and routing obstacles. Due to their relatively large diameter
ditional and package stacking, (ii) interposer stacks, (iii) through- and intrusive character, TSVs can neither be deployed excessively
silicon via (TSV)-based 3D ICs, and (iv) monolithic 3D ICs. Note nor arbitrarily; they have to be optimized in count and arrange-
that advanced 3D stacks may cross different categories, such as *1 Even though they are not strictly stacking-centric, there are modern pack-
when multiple TSV-based 3D ICs are integrated on an interposer. aging approaches still worth mentioning for large-scale integration. One
Each option has its scope of application, with distinctive bene- such approach is fan-out-wafer-level packaging (FOWLP) [12], and it is
currently widely applied, e.g., in Apple’s iPhone 7 [24], for its higher in-
fits and drawbacks, as well as requirements for design and man- tegration level and a greater number of external contacts than traditional
ufacturing processes. On the one end of the scale, monolithic 3D wafer-level packaging. Another approach is that of the embedded multi-
ICs enable the highest integration density (i.e., transistor-level die interconnect bridge (EMIB) [25], [26]. Here a small chip slice with
metal layers, called “bridge”, is embedded into the package substrate
3D integration), but this requires full-custom design and dedi- such that dies bonded above can be interconnected through it. Similarly
cated manufacturing steps, which both hinders system-level inte- as an interposer, an EMIB enables chip-level and high-bandwidth inter-
connectivity. An EMIB is less costly than an interposer, but it cannot
gration and design reuse. On the other end of the scale, interposer offer a system-level integration platform like an interposer. The Stratix
stacks as well as traditional and package stacking (originated with 10 FPGA [27] is a prominent high-end package using multiple EMIBs.
c 2017 Information Processing Society of Japan 46
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 47
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 48
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 49
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
holistic estimation of thermal behavior during early design stages. lenges are compounded with additional complexities pertaining
Data structures for large and heterogeneous 3D chips to the multi-physics nature of the heterogeneous case.
Recall that data structures have been proposed for 3D physical-
design automation. However, the heterogeneous structure of 3.2 MEMS Integration
interposer-based 3D chips requires new and efficient data struc- One possible way of dealing with these additional complexi-
tures which take the special properties of interposer designs into ties is to “package them away” within the die itself, and to subse-
account. Specifically, data structures that are capable of consid- quently incorporate (on the interposer) an accordingly packaged
ering a multitude of constraints, such as inter-die thermal rela- die that has only electrical ports. This approach can be taken,
tionships, are needed. The concept of an assembly design kit e.g., for MEMS sensors where the electromechanical interface is
(ADK) [75], which is analogous to the well-known PDK but tai- encapsulated in the packaged die itself using wafer-scale, mono-
lored for 3D chips, is an interesting option towards this end. lithic integration processes. Such MEMS processes are described
in Refs. [84] and [77] for motion sensing, in Refs. [85] and [86]
3. Heterogeneous Interposer Stacks: Practical
for ultrasound sensing, and in Ref. [87] for piezoelectric energy
Solutions for Advanced Design Automation harvesting. Taking the latter process as a representative exam-
One major benefit of the interposer architecture is that it ple, it is comprised of three bonded wafers with the middle one
enables a low-cost approach to heterogeneous integration with containing the mechanical element and the other two wafers con-
the possibility of placing photonics [76], MEMS [77], integrated stituting capping structures, bonded to the device wafer, with
power sources [78], imaging sensors [79] or acoustic transduc- etched cavities to allow the mechanical element unconstrained
ers [80] on the same substrate as the IC dies. Furthermore, the movement.
interposer architecture enables novel ways for system integration In such MEMS devices where only their electrical pads are
based on vertical interconnect technologies that are not necessar- exposed to the interposer (e.g., capacitive accelerometers and
ily exclusively electrical [81], [82]. gyroscopes, ultrasound transducers, piezoresistive pressure sen-
sors, and piezoelectric energy harvesters), a physical and logi-
3.1 CAD Requirements cal CAD methodology similar to the one advocated in Ref. [83]
The major challenge in such heterogeneous system integration can be used. However, even under these favourable conditions,
is that, by its very nature, it spans multiple physical domains. As such a methodology will have to be adopted to the specific case
a result, the design, analysis and verification of the heterogeneous of interposer-based MEMS integration, considering the following
system require that we augment the traditional VLSI CAD envi- two caveats:
ronment with several physics-aware features, including: ( 1 ) The mechanical integrity of the MEMS devices in the pres-
( 1 ) Cross-domain design capabilities in general, with seamless ence of an interposer must be verified. Indeed, residual
interfaces between the various signal domains, be they elec- stresses induced by interposer bonding are bound to impact
trical, mechanical, optical, acoustic, or fluidic. the mechanical figures of merit of the MEMS devices. In
( 2 ) A rigorous methodology for signal-port definition and place- the case of resonant structures such as gyroscopes or magne-
ment, capable of addressing each of the physical subsystems, tometers, both the resonant frequency and the Q factor can
to enable consistent interlocking between the state spaces of be impacted. In the case of an accelerometer, the maximum
the various physical domains. g acceleration rating of the device can be affected.
( 3 ) A unified system-level language for describing the connec- ( 2 ) In a bulk-machined, multi-wafer MEMS process, the MEMS
tivity between various multi-port components belonging to devices are typically packaged and hermetically sealed un-
different physical domains. der vacuum. The interposer-device assembly must be tested
( 4 ) A physics-aware verification framework enabling domain- to verify that the MEMS device continues to meet design
aware design-rule checking and post-layout validation. specifications post-bonding and that the device is still her-
While the above features are needed even for 2D heteroge- metically sealed.
neous integration, the technological variety provided by the in- Obviously, CMOS foundries have preference for MEMS pro-
terposer architecture makes their incorporation in related CAD cesses that are CMOS-compatible, and the PDKs released for
frameworks even more pressing. The interposer itself has addi- such processes are necessarily CMOS-centric. Due to the signif-
tional requirements of its own that can be summarized as follows: icant market opportunity of the Internet of Things (IoT), a con-
( 1 ) Domain-aware planning and placement of vertical TSVs, be sistent effort is being made by foundries and CAD vendors alike
they electrical, optical, acoustic, or fluidic. to provide the designers with comprehensive PDKs that include
( 2 ) Domain-aware design-rule checking of vertical intercon- parameterized libraries for both IC and MEMS elements. Fur-
nects, including keep-out zones, critical dimensions, and thermore, the MEMS library elements are made visible to the IC
mechanical integrity rules. design interface so that system-level co-simulations of the MEMS
( 3 ) Domain-aware compact models of vertical interconnects to device and its interface ICs (i.e., the driver and readout) are en-
enable system-level performance evaluation. abled. This is for instance the case of the MEMS compact mod-
An up-to-date account of the challenges faced in existing EDA els produced by the Coventor MEMS+ tool, which can be co-
environments in interposer-based, electronic integration is given simulated with their respective ICs using Cadence Spectre within
in Ref. [83]. When such integration is heterogeneous, these chal- the Virtuoso analog design environment [88].
c 2017 Information Processing Society of Japan 50
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 51
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
affect the overall cost of the product. At the same time, detecting
4. Design-for-Test and Testing in 3D Chips
a defective die/interposer early on helps save the excessive cost
In this section, we elaborate on the challenges in testing 3D of good dies stacked/connected with bad ones. An interposer, for
chips and the recent efforts in tackling these challenges. Nat- example, is typically cheaper than dies, which necessitates the
urally, the research developments in 3D chip testing have been identification of a defective interposer to prevent it from being
mostly in the form of adopting 2D chip testing methods, while connected to good and valuable dies. Pre-bond and final testing
there are particular aspects unique to 3D chips that have necessi- are almost considered standard practice for 3D chips; mid-bond
tated the development of novel solutions. and post-bond tests are optional. Detailed test cost modeling and
optimization techniques have been proposed in Ref. [102].
4.1 From 2D to 3D Chip Testing
Regardless of the underlying chip architecture, testing is fun- 4.3 Pre-Bond Testing
damentally an access problem. The parts of a circuit that are most To ensure the stacking/connection of known-good dies, pre-
challenging to test are typically those that are buried deep inside bond testing is necessary. One key challenge thereby is probing
the circuit. For 2D chips, Design-for-Testability (DfT) structures the micro-bumps; they are difficult to access using the probing
such as test points, scan cells, and wrapper cells have been used technology available today. Another challenge is the handling of
to improve access, and thus, testability. These structures help wafers at intermediate stages.
to (i) control nets that are otherwise difficult to reach from the Various techniques have been proposed for the pre-bond testing
primary inputs and (ii) observe nets that are otherwise difficult of interposer. The use of e-fuses inside interposer has been pro-
to monitor through the primary outputs. This way, deeply em- posed in Ref. [103] to connect/disconnect functional paths; test
bedded logic can be “isolated” from its environment. Yet phys- paths are then created to test the interposer through a small num-
ical structures are further needed to effect the connection be- ber of added test pads that can be probed. Other approaches
tween this logic and the primary inputs/outputs. For this purpose, include the use of additional dummy metal layers to create test
scan chains, Test Access Ports (TAPs) and Test Access Mecha- loops [104] or contactless testing using thermal images [105].
nisms (TAMs) have been used in 2D chips. These solutions have These techniques aim at testing the vertical and horizontal inter-
also been standardized via IEEE Std 1149.1 [98] and IEEE Std connects within the interposer. Vertical interconnects may have
1500 [99]. Through these structures, 2D chips have been tested break, void and pin-hole faults [106], while horizontal intercon-
by applying test stimuli and observing the responses. The test nects may have open, inter-bridge and inner-bridge faults [107].
stimuli is obtained via automated test pattern generation (ATPG) The pre-bond testing of TSVs can be performed contactless via
tools, which target for faults representing physical defects. ring oscillators [108]. This way, the potential TSV defects, such
Development of the test techniques in the context of 3D chips as micro-voids and pin-holes, can be tested for.
has necessitated an understanding of what is the same and what The pre-bond testing of dies, in order to detect the defects in-
is different for 3D chips with respect to 2D chips. Only then side a die, is similarly hampered by the challenge of probing
can the structures or techniques from 2D chips be adopted for micro-bumps. Solutions include contactless test [109] or insert-
3D chips and novel ones be developed as needed. For example, ing additional probing pads to non-bottom dies at the cost of in-
isolation and access for 3D chips can be effected by adopting so- creased area [110]. Another concern is whether to perform the
lutions from IEEE Std 1149.1 [98] and IEEE Std 1500 [99], albeit test before or after wafer thinning [111]. Running tests before
with slight modifications. Tester probe access for wafers is sig- wafer thinning excludes defects due to thinning. Also, TSVs are
nificantly more challenging in 3D chips than in 2D chips due to still buried inside the substrate, and thus, cannot be tested easily.
structures such as micro-bumps, which are too small, too dense Testing after thinning, however, necessitates delicate probing.
and too numerous. New defects emerge for 3D chips due to pro-
cessing steps that did not exist in 2D chips, e.g., wafer thinning, 4.4 Mid-Bond, Post-Bond, and Final Testing
alignment and bonding [100]. Micro-bumps in 3D chips are sus- During mid-bond and post-bond tests, mainly the TSV-based
ceptible to open/bridging defects [101]. New decisions specific to interconnects are targeted. Final testing, on the other hand, is the
3D chips also complicate the test flow; there are multiple points at last quality screening step prior to shipping the product to cus-
which 3D chips may have to be tested. These are pre-bond, mid- tomers; any part of the 3D chip should remain testable here [111].
bond (partial stack), post-bond (pre-packaging) and final tests TSV-based interconnects can be tested via dedicated test
(post-packaging; final product), each with its own challenges. pattern generator structures to cover transition faults and
shorts [112]. Though the number of interconnects is large, a
4.2 Test Flow few patterns can potentially test for all these faults. Direct face-
In large-scale 3D chips, known-good dies are stacked together to-face BEOL bonding, another bonding option implemented
or are connected through an interposer. A single defective die in without TSVs [23], can be tested via dedicated built-in-self-test
the stack or a defective interposer results in an unusable 3D chip. (BIST) transceivers [113]. These transceivers help to sense high-
It is therefore crucial to determine the points in which test needs resistive interconnects, which indicate bonding failures [113].
to be conducted, preventing the stacking/connection of good dies Dies and the interposer can be tested only if die isolation and
on top of defective dies/interposer. As each test incurs cost, the access mechanisms are in place. External test access is obtained
decisions as to at what point and how much testing is conducted via probing, typically at wafer-level, for pre-bond, mid-bond and
c 2017 Information Processing Society of Japan 52
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
post-bond tests, and via package pins in final test. Further on-chip test features, such as IEEE Std 1149.1 [98], to limit the cost and
structures are needed to isolate and access the interposer and the need for novel tools when testing 3D chips [107], [114]. An in-
dies from the external I/Os. This access is defined by IEEE Std teresting consideration is whether these efforts allow to stream-
P1838 [114], which is reviewed next. line the test of heterogeneous 3D interposer. That is, how to first
standardize and then implement access mechanisms for dies with
4.5 Test Access: IEEE Std P1838 [114] diverse analog, photonics, MEMS or other components, and how
IEEE Std P1838 is a standard under development that aims at to synchronize these mechanisms with those on the logic dies—
providing a standardized 3D-DfT, to ensure the inter-operability these are all open challenges.
of dies possibly obtained from different vendors. The standard Another challenge yet to be addressed is the potential for se-
has been largely developed by adopting structures from IEEE Std curity breaches via the test infrastructure. That is, a malicious
1149.1 [98] and IEEE Std 1500 [99]. Figure 7 illustrates the test tester or end-user may try to misuse that infrastructure, seeking
access mechanisms in 3D and 2.5D/interposer chips. access to sensitive on-chip assets such as hard-coded software IP
All dies are assumed to have wrappers around them similar to or security tokens [115]. Such potential misuse of the test infras-
the wrapping of cores in IEEE Std 1500. The wrappers support tructure is only one security concern among others; in the next
INTEST operations where the internal die is tested, and EXTEST section we elaborate on the related challenges and opportunities
operations where the die interconnects (i.e., micro-bumps, TSVs, for 3D chips in more detail.
interposer connections) are tested while bypassing the dies. To
5. Towards Trustworthy 3D Integration
do so, the wrappers support shift, capture, and apply operations.
Every die is assumed to have its TAP controller as in IEEE Std Hardware is at the base of any information processing and,
1149.1; this serial control mechanism connects the dies along the thus, hardware is per se the root of trust (Fig. 8). Among other
stack (or through the interposer), providing them a one-bit band- considerations, this suggests that any chip can only be considered
width for testing as well. Bypass registers inside the dies allow trustworthy if all the individual hardware components as well as
the quick access of other dies or interconnects. The standard also the whole (2D/3D) chip have been thoroughly evaluated in terms
supports a flexible n-bit parallel port to provide an optional par- of their actual, implemented functionality versus their intended,
allel n-bit access to dies, enabling a high-bandwidth test as well. specified functionality [116], [117], [118], [119]*3 . One crucial
concern here is the economics-driven trend to increasingly out-
4.6 Summary and Outlook source various steps of the manufacturing flow, e.g., to outsourced
Testing of 3D (and 2D) chips is essentially characterized by semiconductor assembly and test (OSAT) parties [122]. We ex-
the quest for speedy, comprehensive, yet low-cost access to all pect this trend to further intensify for the complex and diverse
the internal circuitry. In contrast to 2D chips, 3D chips contain 3D integration landscape, thereby increasing the risk exposure
more components to be tested both individually and for the whole for 3D chips. To address and manage this challenge of verifi-
stack, rendering the test procedures more complex, costly, and it- cation and other security-centric challenges, the notions of “se-
erative in nature. Furthermore, novel 3D interconnects (mainly cure by design” and “design-for-trust” have been promoted for
the TSVs) introduce new types of faults. System-level integration some years now for “regular” 2D chips [116], [117], [118], [119],
on an interposer notably eases testing since individual dies, which [123], [124], [125], [126], [127], [128]. Similar studies are re-
are typically fully functional legacy dies, can be easily tested be- cently focusing on 3D chips as well [129], [130], [131], [132],
fore bonding them onto the interposer. Besides, probing an inter- [133], [134], [135], [136], [137], [138]. Note that early stud-
poser may be facilitated by dedicated test pads; highly-integrated,
small-footprint 3D ICs are harder to probe in comparison.
Most testing efforts leverage and extend well-established 2D
c 2017 Information Processing Society of Japan 53
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 54
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
5.4 Detection of Hardware Trojans that any split of FEOL/BEOL parts across the 3D stack shall
Hardware Trojans are another major concern for reliable and maintain the testability of individual dies; this is an open
trustworthy chips; they are hardware modifications inserted by challenge. As of now, classical known-good die testing lim-
an untrustworthy third party in order to alter the chip’s function- its 3D SM towards 2D SM and possibly easy to resolve lay-
ality, leak critical information, or degrade the chip’s reliability outs, which is contradicting the original promise of 3D SM.
and/or performance [145]. The detection of hardware Trojans, • There is an inherent trade-off between security and cost im-
both at design and runtime, has recently gained more interest, posed by 2D/3D SM. When FEOL and BEOL parts are split
and promising techniques have been proposed [116], [117], [118], across large distances among multiple dies and/or an inter-
[119], [145], [148], [152], [153]. For example, simulation-based poser, the impact on power, performance, and area will be
Trojan detection cannot guarantee full coverage within polyno- more exaggerated than for 2D SM. Previous work on 3D SM
mial runtime, but Wei et al. [153] demonstrate full coverage for either oversimplified this challenge [158] or explored only
industrial circuits within minutes by combining reverse engineer- the scope of secure-but-excessive-overhead solutions [155].
ing and formal verification. Still, advanced Trojans will be ex- • For up-and-coming monolithic 3D chips, manufacturing is
tremely hard to detect; they may, for example, exhibit no distin- typically conducted in a single high-end fab, precluding 3D
guishable patterns at all during functional analysis [127]. SM altogether. Similarly, for 3D SM with advanced TSV-
Note that hardware monitors (Section 5.3) may also be used based 3D chips, the requirements on high-precision align-
for the runtime detection of Trojans. As indicated, this is espe- ment, bonding, and stacking may be met only by a few, po-
cially attractive for 3D chips where such monitors can be imple- tentially untrustworthy OSAT parties.
mented in trustworthy dies, separated from the potentially Trojan- In essence, 3D SM may not be superior to “classical” 2D SM, at
infected legacy chips [127], [129], [130], [139]. Nevertheless, least not unless it is performed holistically, considering the trade-
some Trojans may be crafted specifically for 3D integration and offs for cost and security as well as the prospects for splitting at
end up being “buried somewhere in the midst of the 3D chip”; the chip- and/or the system-level of 3D stacks.
they are harder to detect during runtime [134], and may also ex-
ploit distinct trigger mechanisms such as increased internal heat- 5.6 Summary and Outlook
ing [154]. Notwithstanding the claims made in prior work regarding se-
curity (by allegedly providing a proper root of trust), most work
5.5 Split Manufacturing relies on naive, overly optimistic assumptions regarding their de-
Another recent approach towards trustworthy chips is split sign and implementation. For example, it is easy to see that
manufacturing [124], [135], [140], [155], [156], [157], [158], hardware monitors/wrappers (Section 5.3) are particularly prone
[159], [160], [161], [162]. It seeks to prevent the insertion of to Trojan-based attacks. The moment third parties are involved
Trojans and/or the theft of IP in the first place. in the design and/or manufacturing process of chips containing
More specifically, the key idea is to split the manufacturing such monitors/wrappers, these parties must be trustworthy. Oth-
process into several parts, typically as follows: (i) the advanced erwise, the implementation and functionality of the security fea-
and high-end FEOL parts, which are costly to manufacture and tures themselves cannot be trusted in the first place.
are thus typically outsourced; and (ii) the “modest” BEOL parts, Remarkably, this concern also applies to 3D integration
which are relatively cheap to manufacture in low-end but trusted where untrustworthy commodity components and trusted moni-
fabs. To the untrusted FEOL party, the outsourced design parts tor/supervisor components can be easily manufactured in differ-
merely appear as a “sea of gates,” where the missing intercon- ent dies (or across an interposer) for security reasons [129], [130],
nects may prevent one from (i) inferring any of the actual func- [135], [139]. In order to monitor an untrusted die (without lever-
tionality and/or (ii) localizing particular circuitry prone or fruitful aging side-channel information), the separate supervisor die has
for Trojan attacks. How exactly such splitting can be rendered to rely on the proper physical and functional implementation of
truly secure yet practical (in terms of reasonably low manufactur- some introspective interfaces built within the commodity die. For
ing and layout-level cost) is currently still under broad and vivid example, recall that Valamehr et al. [129], [130] propose several
investigation [155], [156], [157], [159], [160], [161]. security features which all rely on such interfaces (Fig. 9). These
Note that split manufacturing for 3D chips (3D SM) is more features may easily fail or be mislead with false data/signals in
flexible and, thus, potentially more secure than for 2D chips, at case the interfaces are manipulated by untrusted third parties in-
least in theory [162]. That is because 3D integration allows to volved for the design and manufacturing of the commodity dies.
split a design into multiple 2D dies, which then represent inde- In essence, it is arguably difficult yet essential to avoid insecure
pendent FEOL/BEOL parts. Some or all of the BEOL parts may physical and functional dependencies where security features rely
also be manufactured only by the trusted party [124], [140]. Espe- on untrusted components and/or third parties to perform their in-
cially interposer-based 3D SM is hence promising, since it allows tended security functions. If this key requirement fails, the whole
to keep some BEOL parts confidential for the final stacking pro- root of trust is inevitably undermined (Fig. 8).
cess in the trusted fab [135], [155], [158]. In practice, however, System-level 3D integration appears promising towards this
there are some constraints for 3D SM: end. Here, any untrustworthy component/die shall depend on a
• Test and diagnosis of 3D chips (Section 4) typically man- trustworthy system platform (e.g., an actively secured interposer,
dates that individual dies be pre-bond tested. This implies see Fig. 10) for its system-level applicability, and not vice versa.
c 2017 Information Processing Society of Japan 55
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 56
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 57
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
DOI: 10.1145/2966986.2967013 (2016). Proc. Great Lakes Symp. VLSI, pp.337–342 (online), DOI: 10.1145/
[49] Samal, S.K., Nayak, D., Ichihashi, M., et al.: Monolithic 3D IC 1973009.1973076 (2011).
vs. TSV-based 3D IC in 14nm FinFET technology, Proc. SOI- [71] Minz, J.R. and Lim, S.K.: Block-level 3-D Global Routing With an
3D-Subthresh. Microel. Tech. Unified Conf., pp.1–2 (online), DOI: Application to 3-D Packaging, Trans. Comp.-Aided Des. Integ. Circ.
10.1109/S3S.2016.7804405 (2016). Sys., Vol.25, No.10, pp.2248–2257 (online), DOI: 10.1109/TCAD.
[50] Heinig, A., Fischbach, R. and Dittrich, M.: Thermal analysis and op- 2005.860952 (2006).
timization of 2.5D and 3D integrated systems with Wide I/O mem- [72] Fang, E.J.W., Shih, T.C.-J. and Huang, D.S.-Y.: IR to routing chal-
ory, Proc. Therm. Thermomech. Phen. Elect. Syst. Conf., pp.86–91 lenge and solution for interposer-based design, Proc. Asia South Pa-
(online), DOI: 10.1109/ITHERM.2014.6892268 (2014). cific Des. Autom. Conf., pp.226–230 (online), DOI: 10.1109/ASP-
[51] Fischbach, R., Lienig, J. and Meister, T.: From 3D circuit technolo- DAC.2015.7059009 (2015).
gies and data structures to interconnect prediction, Proc. Int. Worksh. [73] Liu, W.-H., Chien, T.-K. and Wang, T.-C.: Metal Layer Planning for
Sys.-Level Interconn. Pred., pp.77–84 (online), DOI: 10.1145/ Silicon Interposers with Consideration of Routability and Manufac-
1572471.1572485 (2009). turing Cost, Proc. Des. Autom. Test Europe, pp.359:1–359:6 (online),
[52] Lenihan, T.G. and Vardaman, E.J.: Challenges to Consider in Or- DOI: 10.7873/DATE.2014.372 (2014).
ganic Interposer HVM, TechSearch Int. for iNEMI Substrate & [74] Wu, S.-T., Chien, H.-C., Lau, J.H., et al.: Thermal and mechani-
Packaging Workshop, (online), available from http://thor.inemi.org/ cal design and analysis of 3D IC interposer with double-sided active
webdownload/2014/Substrate Pkg WS Apr/08 TechSearch.pdf chips, Proc. Elec. Compon. Technol. Conf., pp.1471–1479 (online),
(2014). DOI: 10.1109/ECTC.2013.6575766 (2013).
[53] Iyer, S.S.: Three-dimensional integration: An industry perspective, [75] Heinig, A. and Fischbach, R.: Enabling automatic system design op-
MRS Bulletin, Vol.40, No.3, pp.225–232 (online), DOI: 10.1557/ timization through Assembly Design Kits, Proc. 3D Sys. Integ. Conf.,
mrs.2015.32 (2015). pp.TS8.31.1–TS8.31.5 (online), DOI: 10.1109/3DIC.2015.7334602
[54] Khan, N., Yu, L.H., Pin, T.S., et al.: 3-D Packaging With Through- (2015).
Silicon Via (TSV) for Electrical and Fluidic Interconnections, Trans. [76] Hosseini, S., Haas, M., Plettemeier, D., et al.: Integrated Optical
Compon., Packag., Manuf. Technol., Vol.3, No.2, pp.221–228 (on- Devices for 3D Photonic Transceivers, 3D Stacked Chips – From
line), DOI: 10.1109/TCPMT.2012.2186297 (2013). Emerging Processes to Heterogeneous Systems, Elfadel, I.A.M. and
[55] Akgun, I., Zhan, J., Wang, Y., et al.: Scalable Memory Fabric for Sil- Fettweis, G. (Eds.), chapter 13, Springer (online), DOI: 10.1007/978-
icon Interposer-Based Multi-Core Systems, Proc. Int. Conf. Comp. 3-319-20481-9 (2016).
Des., pp.33–40 (online), DOI: 10.1109/ICCD.2016.7753258 (2016). [77] Ocak, I.E., Cheam, D.D., Fernando, S.N., et al.: A monolithic 9 de-
[56] Macri, J.: AMD’s next generation GPU and high bandwidth mem- gree of freedom (DOF) capacitive inertial MEMS platform, Proc.
ory architecture: FURY, Hot Chips Symp., pp.1–26 (online), DOI: Int. Elec. Devices Meeting, pp.22.6.1–22.6.4 (online), DOI: 10.1109/
10.1109/HOTCHIPS.2015.7477461 (2015). IEDM.2014.7047103 (2014).
[57] Smith, R.: The Fiji GPU: Go Big or Go Home, (online), avail- [78] Nesro, M.S., Sun, L. and Elfadel, I.M.: Compact modeling of micro-
able from http://www.anandtech.com/print/9390/the-amd-radeon- batteries using behavioral linearization and model-order reduction,
r9-fury-x-review (2015). Proc. Asia South Pacific Des. Autom. Conf., pp.713–718 (online),
[58] Lee, C.C., Hung, C., Cheung, C., et al.: An Overview of the De- DOI: 10.1109/ASPDAC.2015.7059094 (2015).
velopment of a GPU with Integrated HBM on Silicon Interposer, [79] Takemoto, Y., Kato, H., Kondo, T., et al.: An efficient method
Proc. Elec. Compon. Technol. Conf., pp.1439–1444 (online), DOI: to evaluate 4 million micro-bump interconnection resistances for
10.1109/ECTC.2016.348 (2016). 3D stacked 16-mpixel image sensor, Proc. Int. Conf. Microelec.
[59] Dorsey, P.: Xilinx Stacked Silicon Interconnect Technology Deliv- Test Struct., pp.2–5 (online), DOI: 10.1109/ICMTS.2016.7476162
ers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency, (2016).
Technical report, Xilinc, Inc. (online), available from https://www. [80] Tang, H.Y., Lu, Y., Jiang, X., et al.: 3-D Ultrasonic Fingerprint
xilinx.com/support/documentation/white papers/wp380 Stacked Sensor-on-a-Chip, J. Solid-State Circ., Vol.51, No.11, pp.2522–2533
Silicon Interconnect Technology.pdf (2010). (online), DOI: 10.1109/JSSC.2016.2604291 (2016).
[60] Milojevic, D., Marchal, P., Marinissen, E.J., et al.: Design is- [81] Killge, S., Neumann, N., Plettemeier, D., et al.: Optical Through-
sues in heterogeneous 3D/2.5D integration, Proc. Asia South Pac. Silicon Vias, 3D Stacked Chips – From Emerging Processes to Het-
Des. Autom. Conf., pp.403–410 (online), DOI: 10.1109/ASPDAC. erogeneous Systems, Elfadel, I.A.M. and Fettweis, G. (Eds.), chap-
2013.6509630 (2013). ter 12, Springer (online), DOI: 10.1007/978-3-319-20481-9 (2016).
[61] Loh, G.H., Jerger, N.E., Kannan, A., et al.: Interconnect-Memory [82] Odeh, M., Voort, B., Anjum, A., et al.: Gradient-index optofluidic
Challenges for Multi-chip, Silicon Interposer Systems, Proc. MEM- waveguide in polydimethylsiloxane, Applied Optics, Vol.56, No.4,
SYS, pp.3–10 (online), DOI: 10.1145/2818950.2818951 (2015). pp.1202–1206 (online), DOI: AO.56.001202 (2017).
[62] Yao, W., Pan, S., Achkir, B., et al.: Modeling and Applica- [83] Cederström, L.: EDA Environments for 3D Chip Stacks, 3D
tion of Multi-Port TSV Networks in 3-D IC, Trans. Comp.-Aided Stacked Chips – From Emerging Processes to Heterogeneous Sys-
Des. Integ. Circ. Sys., Vol.32, No.4, pp.487–496 (online), DOI: tems, Elfadel, I.A.M. and Fettweis, G. (Eds.), chapter 9, Springer
10.1109/TCAD.2012.2228740 (2013). (online), DOI: 10.1007/978-3-319-20481-9 (2016).
[63] Martin, B., Han, K. and Swaminathan, M.: A Path Finding Based SI [84] Shaeffer, D.K.: MEMS inertial sensors: A tutorial overview, IEEE
Design Methodology for 3D Integration, Proc. Elec. Compon. Tech. Communications Magazine, Vol.51, No.4, pp.100–109 (online),
Conf., pp.2124–2130 (online), DOI: 10.1109/ECTC.2014.6897596 DOI: 10.1109/MCOM.2013.6495768 (2013).
(2014). [85] Tsai, J.M., Daneman, M., Boser, B., et al.: Versatile CMOS-MEMS
[64] Chan, W.-T., Du, Y., Kahng, A., et al.: 3DIC Benefit Estima- integrated piezoelectric platform, Proc. Int. Conf. Solid-State Sens.
tion and Implementation Guidance from 2DIC Implementation, Act. Microsys., pp.2248–2251 (online), DOI: 10.1109/TRANSDUC-
Proc. Des. Autom. Conf., pp.30:1–30:6 (online), DOI: 10.1145/ ERS.2015.7181409 (2015).
2744769.2744771 (2015). [86] Horsley, D.A., Lu, Y., Tang, H.Y., et al.: Ultrasonic fingerprint
[65] Ho, Y.-K. and Chang, Y.-W.: Multiple chip planning for chip- sensor based on a PMUT array bonded to CMOS circuitry, Proc.
interposer codesign, Proc. Des. Autom. Conf., pp.27:1–27:6 (online), Int. Ultrason. Symp., pp.1–4 (online), DOI: 10.1109/ULTSYM.
DOI: 10.1145/2463209.2488767 (2013). 2016.7728817 (2016).
[66] Seemuth, D., Davoodi, A. and Morrow, K.: Automatic die place- [87] Wang, N., Siow, L.Y., Ji, H., et al.: AlN Wideband Energy Har-
ment and flexible I/O assignment in 2.5D IC design, Proc. Int. vesters with Wafer-Level Vacuum Packaging Utilizing Three-Wafer
Symp. Quality Elec. Des., pp.524–527 (online), DOI: 10.1109/ Bonding, Proc. Int. Conf. Micro Elec. Mech. Sys., (online), DOI:
ISQED.2015.7085480 (2015). 10.1109/MEMSYS.2017.7863539 (2017).
[67] Liu, W.-H., Chang, M.-S. and Wang, T.-C.: Floorplanning and Signal [88] Coventor Inc.: MEMS+IC Co-simulation in Cadence Viruoso,
Assignment for Silicon Interposer-based 3D ICs, Proc. Des. Autom. (online), available from http://www.coventor.com/mems-solutions/
Conf., pp.5:1–5:6 (online), DOI: 10.1145/2593069.2593142 (2014). products/mems/mems-for-cadence (2016).
[68] Mao, F., Zhang, W., Feng, B., et al.: Modular placement for inter- [89] Orcutt, J.S., Gill, D.M., Proesel, J., et al.: Monolithic silicon photon-
poser based multi-FPGA systems, Proc. Great Lakes Symp. VLSI, ics at 25 Gb/s, Proc. Opt. Fiber Comm. Conf. Exhib., pp.1–3 (online),
pp.93–98 (online), DOI: 10.1145/2902961.2903025 (2016). DOI: 10.1364/OFC.2016.Th4H.1 (2016).
[69] Wang, R., Young, E.F.Y. and Cheng, C.-K.: Complexity of 3-D floor- [90] Boeuf, F. and Ouellette, K.: Industrialization of Si-Photonics into a
plans by analysis of graph cuboidal dual hardness, Trans. Des. Au- 300mm CMOS fab, Proc. Int. Symp. VLSI Tech. Sys. App., pp.1–2
tom. Elec. Sys., Vol.15, No.4, pp.33:1–33:22 (online), DOI: 10.1145/ (online), DOI: 10.1109/VLSI-TSA.2016.7480504 (2016).
1835420.1835426 (2010). [91] Lim, A.E.J., Song, J., Fang, Q., et al.: Review of Silicon Photon-
[70] Fischbach, R., Lienig, J. and Knechtel, J.: Investigating mod- ics Foundry Efforts, J. Sel. Topics Quantum Electr., Vol.20, No.4,
ern layout representations for improved 3D design automation, pp.405–416 (online), DOI: 10.1109/JSTQE.2013.2293274 (2014).
c 2017 Information Processing Society of Japan 58
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
[92] Denoyer, G., Cole, C., Santipo, A., et al.: Hybrid Silicon Photonic ment of Face-to-Face Cu–Cu Bonding With Dual-Mode Transceivers
Circuits and Transceiver for 50 Gb/s NRZ Transmission Over Single- in 3DICs, Trans. VLSI Syst., Vol.25, No.3, pp.1023–1031 (online),
Mode Fiber, J. Lightwave Technol., Vol.33, No.6, pp.1247–1254 DOI: 10.1109/TVLSI.2016.2623659 (2017).
(online), available from http://jlt.osa.org/abstract.cfm?URI=jlt-33- [114] Marinissen, E.J., McLaurin, T. and Jiao, H.: IEEE Std P1838:
6-1247 (2015). DfT Standard-under-Development for 2.5D-, 3D-, and 5.5D-SICs,
[93] Xing, P. and Viegas, J.: Athermal Photonic Circuits for Optical Proc. Europe Test. Symp., pp.1–10 (online), DOI: 10.1109/ETS.
On-Chip Interconnects, 3D Stacked Chips – From Emerging Pro- 2016.7519330 (2016).
cesses to Heterogeneous Systems, Elfadel, I.A.M. and Fettweis, [115] Saeed, S.M. and Sinanoglu, O.: A Comprehensive Design-for-
G. (Eds.), chapter 15, Springer (online), DOI: 10.1007/978-3-319- Test Infrastructure in the Context of Security-Critical Applica-
20481-9 (2016). tions, J. Des. Test, Vol.34, No.1, pp.57–64 (online), DOI: 10.1109/
[94] Cadence Inc.: Integrated electronics/photonic design automation MDAT.2016.2527708 (2017).
environment, (online), available from https://www.cadence.com/ [116] Subramanyan, P., Tsiskaridze, N., Li, W., et al.: Reverse Engi-
content/cadence-www/global/en US/home/solutions/photonics. neering Digital Circuits Using Structural and Functional Analyses,
html (2016). Trans. Emerg. Top. Comp., Vol.2, No.1, pp.63–80 (online), DOI:
[95] Chang, H.H., Hsiao, Z.C., Wang, J.C., et al.: Process integration and 10.1109/TETC.2013.2294918 (2014).
3D chip stacking for low cost backside illuminated CMOS image [117] Wu, T.F., Ganesan, K., Hu, Y.A., et al.: TPAD: Hardware Trojan Pre-
sensor, Proc. Int. Symp. VLSI Tech. Sys. App., pp.1–2 (online), DOI: vention and Detection for Trusted Integrated Circuits, Trans. Comp.-
10.1109/VLSI-TSA.2015.7117587 (2015). Aided Des. Integ. Circ. Sys., Vol.35, No.4, pp.521–534 (online), DOI:
[96] Pham, N.P., Tutunjyan, N., Volkaerts, D., et al.: 3D integration tech- 10.1109/TCAD.2015.2474373 (2016).
nology using W2W direct bonding and TSV for CMOS based image [118] Meade, T., Zhang, S. and Jin, Y.: IP protection through gate-level
sensors, pp.1–5 (online), DOI: 10.1109/EPTC.2015.7412378 (2015). netlist security enhancement, Integration, the VLSI Journal, Vol.PP,
[97] Yole Développement: 3D IC and 2,5D TSV Interconnect for No.99, pp.1–8 (online), DOI: 10.1016/j.vlsi.2016.10.014 (2016).
Advanced Packaging: From Technologies to Market, (online), [119] Fern, N., San, I. and Cheng, K.-T.T.: Detecting Hardware Trojans in
available from http://www.yole.fr/iso upload/News/2014/ Unspecified Functionality Through Solving Satisfiability Problems,
PR 3DICBusinessUpdate YOLE July2014.pdf (2014). Proc. Asia South Pac. Des. Autom. Conf., pp.598–604 (online), DOI:
[98] IEEE: IEEE Standard for Test Access Port and Boundary-Scan Ar- 10.1109/ASPDAC.2017.7858389 (2017).
chitecture, IEEE Std 1149.1-2013 (Revision of IEEE Std 1149.1- [120] Bryant, R.E., Cheng, K.-T., Kahng, A.B., et al.: Limitations and
2001), pp.1–444 (online), DOI: 10.1109/IEEESTD.2013.6515989 challenges of computer-aided design technology for CMOS VLSI,
(2013). Proc. IEEE, Vol.89, No.3, pp.341–365 (online), DOI: 10.1109/5.
[99] IEEE: IEEE Standard Testability Method for Embedded Core-based 915378 (2001).
Integrated Circuits, IEEE Std 1500-2005, pp.1–136 (online), DOI: [121] Wang, L.C.: Experience of Data Analytics in EDA and Test -
10.1109/IEEESTD.2005.96465 (2005). Principles, Promises, and Challenges, Trans. Comp.-Aided Des.
[100] Lee, H.-H.S. and Chakrabarty, K.: Test Challenges for 3D Inte- Integ. Circ. Sys., Vol.PP, No.99, pp.1–1 (online), DOI: 10.1109/
grated Circuits, J. Des. Test, Vol.26, No.5, pp.26–35 (online), DOI: TCAD.2016.2621883 (2017).
10.1109/MDT.2009.125 (2009). [122] Wood, L.: Research and Markets: Outsourced Semiconductor As-
[101] Wright, S.L., Polastre, R., Gan, H., et al.: Characterization of sembly and Test Market (OSAT) Trends, (online), available from
micro-bump C4 interconnects for Si-carrier SOP applications, Proc. http://www.businesswire.com/news/home/20140324005628/en/
Elec. Compon. Technol. Conf., p.8 (online), DOI: 10.1109/ECTC. (2014).
2006.1645716 (2006). [123] Roy, J.A., Koushanfar, F. and Markov, I.L.: Ending Piracy of Inte-
[102] Agrawal, M. and Chakrabarty, K.: Test-Cost Modeling and Opti- grated Circuits, Computer, Vol.43, No.10, pp.30–38 (online), DOI:
mal Test-Flow Selection of 3-D-Stacked ICs, Trans. Comput.-Aided 10.1109/MC.2010.284 (2010).
Des. Integr. Circuits Sys., Vol.34, No.9, pp.1523–1536 (online), DOI: [124] McCants, C.: Trusted Integrated Chips (TIC), Technical report, In-
10.1109/TCAD.2015.2419227 (2015). telligence Advanced Research Projects Activity (IARPA) (online),
[103] Wang, R., Li, Z., Kannan, S., et al.: Pre-Bond Testing and Test- available from https://www.iarpa.gov/index.php/research-programs/
Path Design for the Silicon Interposer in 2.5D ICs, Trans. Comp.- tic (2011).
Aided Des. Integ. Circ. Sys., Vol.PP, No.99, pp.1–11 (online), DOI: [125] Rajendran, J., Sinanoglu, O. and Karri, R.: Regaining Trust in
10.1109/TCAD.2016.2629422 (2017). VLSI Design: Design-for-Trust Techniques, Proc. IEEE, Vol.102,
[104] Lu, H., Lin, C. and Hung, W.: Interposer testing using dummy con- No.8, pp.1266–1282 (online), DOI: 10.1109/JPROC.2014.2332154
nections, Taiwan Semiconductor Manufacturing Company, Ltd., (on- (2014).
line), available from https://www.google.com/patents/US8664540 [126] Rajendran, J.J., Sinanoglu, O. and Karri, R.: Building Trustwor-
(2014). thy Systems Using Untrusted Components: A High-Level Synthesis
[105] Chien, J.-H., Hsu, R.-S., Lin, H.-J., et al.: Contactless Stacked-die Approach, Trans. VLSI Syst., Vol.24, No.9, pp.2946–2959 (online),
Testing for Pre-bond Interposers, Proc. Des. Autom. Conf., pp.8:1– DOI: 10.1109/TVLSI.2016.2530092 (2016).
8:6 (online), DOI: 10.1145/2593069.2593111 (2014). [127] Yang, K., Hicks, M., Dong, Q., et al.: A2: Analog Malicious
[106] Huang, L.R., Huang, S.Y., Sunter, S., et al.: Oscillation-Based Pre- Hardware, Proc. Symp. Sec. Priv., pp.18–37 (online), DOI: 10.1109/
bond TSV Test, Trans. Comput.-Aided Des. Integr. Circuits Sys., SP.2016.10 (2016).
Vol.32, No.9, pp.1440–1444 (online), DOI: 10.1109/TCAD.2013. [128] Mishra, P., Bhunia, S. and Tehranipoor, M. (Eds.): Hardware IP Se-
2259626 (2013). curity and Trust, Springer (online), DOI: 10.1007/978-3-319-49025-
[107] Wang, R., Deutsch, S., Agrawal, M., et al.: The Hype, Myths, and 0 (2017).
Realities of Testing 3D Integrated Circuits, Proc. Int. Conf. Comp.- [129] Valamehr, J., Tiwari, M., Sherwood, T., et al.: Hardware As-
Aided Des., pp.58:1–58:8 (online), DOI: 10.1145/2966986.2980097 sistance for Trustworthy Systems Through 3-D Integration, Proc.
(2016). Ann. Comp. Sec. App. Conf., pp.199–210 (online), DOI: 10.1145/
[108] Deutsch, S. and Chakrabarty, K.: Contactless pre-bond TSV fault di- 1920261.1920292 (2010).
agnosis using duty-cycle detectors and ring oscillators, Proc. Int. Test [130] Valamehr, J., Sherwood, T., Kastner, R., et al.: A 3-D Split Manufac-
Conf., pp.1–10 (online), DOI: 10.1109/TEST.2015.7342389 (2015). turing Approach to Trustworthy System Development, Trans. Comp.-
[109] Moore, B., Sellathamby, C., Cauvet, P., et al.: High throughput non- Aided Des. Integ. Circ. Sys., Vol.32, No.4, pp.611–615 (online), DOI:
contact SiP testing, Proc. Int. Test Conf., pp.1–10 (online), DOI: 10.1109/TCAD.2012.2227257 (2013).
10.1109/TEST.2007.4437595 (2007). [131] Cioranesco, J.M., Danger, J.L., Graba, T., et al.: Cryptographically
[110] Kim, J.S., Oh, C.S., Lee, H., et al.: A 1.2V 12.8GB/s 2Gb mo- secure shields, Proc. Int. Symp. Hardw.-Orient. Sec. Trust, pp.25–31
bile Wide-I/O DRAM with 4x128 I/Os using TSV-based stack- (online), DOI: 10.1109/HST.2014.6855563 (2014).
ing, Proc. Int. Solid-State Circ. Conf., pp.496–498 (online), DOI: [132] Bao, C. and Srivastava, A.: 3D Integration: New opportunities
10.1109/ISSCC.2011.5746413 (2011). in defense against cache-timing side-channel attacks, Proc. Int.
[111] Marinissen, E.J.: Challenges and Emerging Solutions in Testing Conf. Comp. Des., pp.273–280 (online), DOI: 10.1109/ICCD.2015.
TSV-based 2 1/2D- and 3D-stacked ICs, Proc. Des. Autom. Test 7357114 (2015).
Europe, pp.1277–1282 (online), DOI: 10.1109/DATE.2012.6176689 [133] Sepúlveda, J., Gogniat, G., Flórez, D., et al.: TSV protection: To-
(2012). wards secure 3D-MPSoC, Proc. Latin Amer. Symp. Circ. Sys., pp.1–4
[112] Marinissen, E.J., Vermeulen, B., Hollmann, H., et al.: Mini- (online), DOI: 10.1109/LASCAS.2015.7250419 (2015).
mizing pattern count for interconnect test under a ground bounce [134] Xie, Y., Bao, C., Serafy, C., et al.: Security and Vulnerability Im-
constraint, J. Des. Test, Vol.20, No.2, pp.8–18 (online), DOI: plications of 3D ICs, Trans. Multi-Scale Comp. Sys., Vol.2, No.2,
10.1109/MDT.2003.1188257 (2003). pp.108–122 (online), DOI: 10.1109/TMSCS.2016.2550460 (2016).
[113] Aung, M.T.L., Yoshikawa, T., Tan, C.S., et al.: Yield Enhance- [135] Gu, P., Li, S., Stow, D., et al.: Leveraging 3D Technolo-
c 2017 Information Processing Society of Japan 59
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
gies for Hardware Security: Opportunities and Challenges, Proc. 510 (online), available from https://www.usenix.org/system/files/
Great Lakes Symp. VLSI, pp.347–352 (online), DOI: 10.1145/ conference/usenixsecurity13/sec13-paper imeson.pdf (2013).
2902961.2903512 (2016). [156] Rajendran, J., Sinanoglu, O. and Karri, R.: Is split manufacturing se-
[136] Dofe, J., Yu, Q., Wang, H., et al.: Hardware Security Threats and cure?, Proc. Des. Autom. Test Europe, pp.1259–1264 (online), DOI:
Potential Countermeasures in Emerging 3D ICs, Proc. Great Lakes 10.7873/DATE.2013.261 (2013).
Symp. VLSI, pp.69–74 (online), DOI: 10.1145/2902961.2903014 [157] Xiao, K., Forte, D. and Tehranipoor, M.M.: Efficient and se-
(2016). cure split manufacturing via obfuscated built-in self-authentication,
[137] Dofe, J., Yan, C., Kontak, S., et al.: Transistor-Level Camouflaged Proc. Int. Symp. Hardw.-Orient. Sec. Trust, pp.14–19 (online), DOI:
Logic Locking Method for Monolithic 3D IC Security, Proc. Asian 10.1109/HST.2015.7140229 (2015).
Hardw.-Orient. Sec. Trust Symp., pp.1–6 (online), DOI: 10.1109/ [158] Xie, Y., Bao, C. and Srivastava, A.: Security-Aware Design Flow for
AsianHOST.2016.7835570 (2016). 2.5D IC Technology, Proc. Int. Worksh. Trustw. Emb. Dev., pp.31–38
[138] Knechtel, J. and Sinanoglu, O.: On Mitigation of Side-Channel At- (online), DOI: 10.1145/2808414.2808420 (2015).
tacks in 3D ICs: Decorrelating Thermal Patterns from Power and [159] Yang, P.-L. and Marek-Sadowska, M.: Making Split-fabrication
Activity, Proc. Des. Autom. Conf., pp.1–6 (online), DOI: 10.1145/ More Secure, Proc. Int. Conf. Comp.-Aided Des., pp.91:1–91:8 (on-
3061639.3062293 (2017). line), DOI: 10.1145/2966986.2967053 (2016).
[139] Mysore, S., Agrawal, B., Srivastava, N., et al.: Introspective 3D [160] Wang, Y., Chen, P., Hu, J., et al.: The Cat and Mouse in Split Man-
chips, SIGOPS Operat. Sys. Rev., Vol.40, No.5, pp.264–273 (online), ufacturing, Proc. Des. Autom. Conf., pp.165:1–165:6 (online), DOI:
DOI: 10.1145/1168857.1168890 (2006). 10.1145/2897937.2898104 (2016).
[140] Tezzaron Semiconductor: 3D-ICs and Integrated Circuit Security, [161] Magaña, J., Shi, D. and Davoodi, A.: Are Proximity Attacks a
Technical report, Tezzaron Semiconductor (online), available from Threat to the Security of Split Manufacturing of Integrated Cir-
http://tezzaron.com/media/3D-ICs and Integrated Circuit Security. cuits?, Proc. Int. Conf. Comp.-Aided Des., pp.90:1–90:7 (online),
pdf (2008). DOI: 10.1145/2966986.2967006 (2016).
[141] Peng, Y., Petranovic, D. and Lim, S.K.: Multi-TSV and E-Field [162] DeVale, J., Rakvic, R. and Rudd, K.: Another dimension in in-
Sharing Aware Full-chip Extraction and Mitigation of TSV-to-Wire tegrated circuit trust, J. Cryptogr. Eng., pp.1–12 (online), DOI:
Coupling, Trans. Comp.-Aided Des. Integ. Circ. Sys., Vol.34, No.12, 10.1007/s13389-017-0164-7 (2017).
pp.1964–1976 (online), DOI: 10.1109/TCAD.2015.2446934 (2015).
[142] Rack, M., Raskin, J.P., Sun, X., et al.: Fast and Accurate Mod-
elling of Large TSV Arrays in 3D-ICs Using a 3D Circuit Model
Validated Against Full-Wave FEM Simulations and RF Measure-
ments, Proc. Elec. Compon. Tech. Conf., pp.966–971 (online), DOI:
10.1109/ECTC.2016.227 (2016). Johann Knechtel received his M.Sc.
[143] Rosenfeld, K. and Karri, R.: Security-aware SoC test access mech- in Information Systems Engineering
anisms, VLSI Test Symp., pp.100–104 (online), DOI: 10.1109/VTS.
2011.5783765 (2011). (Dipl.-Ing.) in 2010 and Ph.D. in Com-
[144] van der Veen, V., Fratantonio, Y., Lindorfer, M., et al.: Dram- puter Engineering (Dr.-Ing.) in 2014,
mer: Deterministic Rowhammer Attacks on Mobile Platforms,
Proc. Comp. Comm. Sec., pp.1675–1689 (online), DOI: 10.1145/ both from TU Dresden, Germany. He is
2976749.2978406 (2016). a Postdoctoral Associate with the Design
[145] Xiao, K., Forte, D., Jin, Y., et al.: Hardware Trojans: Lessons
Learned After One Decade of Research, Trans. Des. Autom. Elec.
for Excellence Lab, in the Department
Sys., Vol.22, No.1, pp.6:1–6:23 (online), DOI: 10.1145/2906147 of Electrical and Computer Engineering,
(2016).
at the New York University Abu Dhabi (NYUAD), UAE. Dr.
[146] Hutter, M. and Schmidt, J.-M.: The Temperature Side Channel and
Heating Fault Attacks, Smart Card Research and Advanced Applica- Knechtel was a Postdoctoral Researcher in 2015–2016 at the
tions, Lect. Notes Comp. Sci., Vol.8419, Springer, pp.219–235 (on- Masdar Institute of Science and Technology, Abu Dhabi. From
line), DOI: 10.1007/978-3-319-08302-5 15 (2014).
[147] Masti, R.J., Rai, D., Ranganathan, A., et al.: Thermal Covert Chan- 2010 to 2014, he was a Research Associate and Scholar with
nels on Multi-core Platforms, Proc. USENIX Sec. Symp., pp.865– the DFG Graduate School on “Nano- and Biotechnologies for
880 (online), available from https://www.usenix.org/conference/
usenixsecurity15/technical-sessions/presentation/masti (2015). Packaging of Electronic Systems” and the Institute of Electrome-
[148] Kim, L.W. and Villasenor, J.D.: A System-On-Chip Bus Architec- chanical and Electronic Design, both hosted at the TU Dresden.
ture for Thwarting Integrated Circuit Trojan Horses, Trans. VLSI
Syst., Vol.19, No.10, pp.1921–1926 (online), DOI: 10.1109/TVLSI. In 2012, he was a Research Assistant with the Department of
2010.2060375 (2011). Computer Science and Engineering, Chinese University of Hong
[149] Bhunia, S., Abramovici, M., Agrawal, D., et al.: Protection
Against Hardware Trojan Attacks: Towards a Comprehensive So- Kong, China. In 2010, he was a Visiting Research Student with
lution, J. Des. Test, Vol.30, No.3, pp.6–17 (online), DOI: 10.1109/ the Department of Electrical Engineering and Computer Science,
MDT.2012.2196252 (2013).
[150] Sepúlveda, J., Flórez, D. and Gogniat, G.: Reconfigurable se- University of Michigan, USA. His research interests cover VLSI
curity architecture for disrupted protection zones in NoC-based Physical Design Automation, with particular focus on 3D Inte-
MPSoCs, Proc. ReCoSoc, pp.1–8 (online), DOI: 10.1109/ReCoSoC.
2015.7238098 (2015). gration and Hardware Security. In addition to various conference
[151] Chandrasekharan, A., Schmitz, K., Kuhne, U., et al.: Ensuring safety papers, he has authored 8 journal papers, invited papers and
and reliability of IP-based system design – A container approach,
Proc. Int. Symp. Rapid System Prototyping, pp.76–82 (online), DOI:
book chapters on these topics. Dr. Knechtel is an active member
10.1109/RSP.2015.7416550 (2015). of the community, serving as reviewer for Elsevier Integration,
[152] Chen, X., Wang, L., Wang, Y., et al.: A General Framework for Hard- the VLSI journal, IEEE Transactions on Computers (TC), IEEE
ware Trojan Detection in Digital Circuits by Statistical Learning Al-
gorithms, Trans. Comp.-Aided Des. Integ. Circ. Sys., Vol.PP, No.99, Transactions on Computer-Aided Design of Integrated Circuits
p.1 (online), DOI: 10.1109/TCAD.2016.2638442 (2017). and Systems (TCAD), ACM Transactions on Design Automation
[153] Wei, X., Diao, Y. and Wu, Y.L.: To Detect, Locate, and Mask Hard-
ware Trojans in digital circuits by reverse engineering and functional of Electronic Systems (TODAES), IEEE Transactions on Very
ECO, Proc. Asia South Pac. Des. Autom. Conf., pp.623–630 (online), Large Scale Integration Systems (TVLSI), as well as for various
DOI: 10.1109/ASPDAC.2016.7428081 (2016).
[154] Hasan, S.R., Mossa, S.F., Elkeelany, O.S.A., et al.: Tenacious hard- conferences: ASPDAC, DAC, DATE, GLSVLSI, ICCAD, ISPD,
ware trojans due to high temperature in middle tiers of 3-D ICs, Proc. MWSCAS, SLIP, and IOLTS. He is a member of IEEE and
Midwest Symp. Circ. Sys., pp.1–4 (online), DOI: 10.1109/MWSCAS.
2015.7282148 (2015). ACM.
[155] Imeson, F., Emtenan, A., Garg, S., et al.: Securing Computer
Hardware Using 3D Integrated Circuit (IC) Technology and Split
Manufacturing for Obfuscation, Proc. USENIX Sec. Symp., pp.495–
c 2017 Information Processing Society of Japan 60
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 61
IPSJ Transactions on System LSI Design Methodology Vol.10 45–62 (Aug. 2017)
c 2017 Information Processing Society of Japan 62