0% found this document useful (0 votes)
105 views5 pages

(Subthreshold) Boosted Write Wordline and Negative Write Bitline Write-Assist

Uploaded by

Sneha
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
105 views5 pages

(Subthreshold) Boosted Write Wordline and Negative Write Bitline Write-Assist

Uploaded by

Sneha
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 5

958 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO.

5, MAY 2015

Transactions Briefs
A 0.325 V, 600-kHz, 40-nm 72-kb 9T Subthreshold SRAM with Aligned
Boosted Write Wordline and Negative Write Bitline Write-Assist
Chien-Yu Lu, Ching-Te Chuang, Shyh-Jye Jou, Ming-Hsien Tu, Ya-Ping Wu, Chung-Ping Huang,
Paul-Sen Kan, Huan-Shun Huang, Kuen-Di Lee, and Yung-Shin Kao
Abstract— This brief presents a two-port disturb-free 9T subthreshold
static random access memory (SRAM) cell with independent single-ended
read bitline and write bitline (WBL) and cross-point data-aware write
structure to facilitate robust subthreshold operation and bit-interleaving
architecture for enhanced soft error immunity. The design employs a
variation-tolerant line-up write-assist scheme where the timing of area-
efficient boosted write wordline and negative WBL are aligned and
triggered/initiated by the same low-going global WBL to maximize
the write-ability enhancement. A 72-kb test chip is implemented in
United Microelectronics Corp. 40-nm low-power (40LP) CMOS. Full
functionality is achieved for V DD ranging from 1.5 to 0.32 V without
redundancy. The measured maximum operation frequency is 260 MHz
(450 kHz) at 1.1 V (0.32 V) and 25 °C. At 0.325 V and 25 °C, the chip
operates at 600 kHz with 5.78 µW total power and 4.69 µW leakage
power, offering 2× frequency improvement compared with 300 kHz of
our previous 72-kb 9T subthreshold SRAM design in the same 40LP
technology. The energy efficiency (power/frequency/IO) at 0.325 V and
25 °C is 0.267 pJ/bit, a 23.7% improvement over the 0.350 pJ/bit of our
previous design.

Index Terms— 9T static random access memory (SRAM),


boosted wordline, line-up write-assist (LUWA), negative bitline,
subthreshold, ultralow voltage.
I. I NTRODUCTION
Near-threshold/subthreshold embedded memory is desired to
reduce overall system power dissipation and prolong battery life for
ultralow power biomedical and wireless sensor applications. Various
static random access memory (SRAM) cells have been proposed to Fig. 1. (a) Schematic of the proposed disturb-free 9T cell. (b) Layout of the
mitigate the deteriorated design window and functional margins of 9T cell in UMC 40LP CMOS technology.
the conventional 6T SRAM cell [1]–[3] for low-voltage operation This brief presents a two-port disturb-free 9T SRAM cell for
[4]–[22]. Independent read port that isolates the cell storage node subthreshold operation. Section II describes the features and operation
from the read current path to eliminate the read disturb has been of the proposed 9T SRAM cell, and stability evaluation and com-
commonly used to improve read VMIN [5]–[18]. However, most parison with the prior 9T subthreshold SRAM cell in [21] and [22].
of the cells [5]–[17] still suffer write half-select disturb and thus Section III presents the variation-tolerant line-up write-assist (LUWA)
cannot support bit-interleaving architecture for soft error immunity scheme to maximize the write-ability enhancement. Section IV
improvement with error correction code (ECC) [23]–[25]. Various discusses the 72-kb test chip implementation in United Microelec-
cross-point addressing schemes [19]–[22] have been proposed to tronics Corp. (UMC) 40LP CMOS and measurement results. The
achieve disturb-free cell characteristics and facilitate low VMIN and conclusion of this brief is given in Section V.
bit-interleaving architecture simultaneously.
Manuscript received October 18, 2013; revised February 6, 2014; accepted
II. P ROPOSED 9T SRAM C ELL
March 30, 2014. Date of publication May 8, 2014; date of current version The proposed 9T SRAM cell is shown in Fig. 1(a). M4-M5 form
April 22, 2015. This work was supported in part by the Ministry of Economic the dedicated two-stacked read port with row-based Virtual Ground
Affairs in Taiwan under Contract 100-EC-17-A-01-S1-124, in part by the
National Science Council of Taiwan under Contract NSC 102-2218-E-009-
(VGND) control. M1-M2 are write access transistors controlled
025, and in part by the Ministry of Education in Taiwan under ATU Program. by data-aware column-based write wordline (WWL) WWLA and
C.-Y. Lu, C.-T. Chuang, and S.-J. Jou are with the Department of Electronics WWLB, respectively. The source nodes of M1-M2 connect to the
Engineering, Institute of Electronics, National Chiao Tung University, Hsinchu drain node of M3. The gate of M3 is controlled by row-based WWL
300, Taiwan (e-mail: [email protected]; [email protected]; enable (WWLE) signal, while the source node of M3 connects to
[email protected]).
M.-H. Tu, Y.-P. Wu, C.-P. Huang, P.-S. Kan, H.-S. Huang, the single-ended column-based write bitline (WBL). The column-
K.-D. Lee, and Y.-S. Kao are with Faraday Technology Corporation, Hsinchu based WWLA and WWLB control M1-M2 based on data-in to form
300, Taiwan (e-mail: [email protected]; [email protected]; cross-point write access with row-based WWLE. The layout of the
[email protected]; [email protected]; huanshun@faraday- proposed 9T cell based on UMC 40LP logic rules is shown in Fig.
tech.com; [email protected]; [email protected]).
Color versions of one or more of the figures in this paper are available
1(b). The cell size is 0.82 μm × 1.03 μm, same as the previous 9T
online at http://ieeexplore.ieee.org. cell in [21] and [22], and about 1.53× of the conventional 6T cell
Digital Object Identifier 10.1109/TVLSI.2014.2318518 and 1.24× of the conventional 8T cell under the same logic rules.
1063-8210 © 2014 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Authorized licensed use limited to: Indraprastha Institute of Information Technology. Downloaded on February 02,2021 at 21:18:25 UTC from IEEE Xplore. Restrictions apply.
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 5, MAY 2015 959

Fig. 3. (a) Data-dependent RBL leakage. (b) RBL read 1 leakage of the
proposed 9T cell, foundry-based 6T cell, and prior 9T cell [21], [22] in 40LP
CMOS.

pattern is considered where all unselected cells in the selected column


store 0 at the right cell storage node. In the prior 9T cell, the
cross-point write structure is formed by two series-connected pass
Fig. 2. (a) Write operation of the proposed 9T cell. (b) Disturb-free transistors. As such, leakage paths are present from the RBL to the
characteristics of row and column half-selected cells during write operation. right cell storage nodes of unselected cells, similar to the conventional
6T cell. In the proposed 9T cell, the cross-point write structure is
formed by the row-based WWLE and column-based WWLA/WWLB,
allowing the read port current path to be isolated from the cell storage
For read operation, the row-based high-going Read Wordline and node. Furthermore, due to the row-based VGND control, there is
row-based low-going VGND enable the two-stacked read port, and no voltage drop between RBL and VGND for unselected cells on
if QB is 1, read bitline (RBL) would be discharged (Sense Q = 0). the selected column. Consequently, there is no leakage path from
Fig. 2(a) illustrates the selected cell during write operation. RBL to the unselected cell storage nodes or through the read stacks,
Depending on data-in, either WWLA or WWLB goes high. The row- thus providing solid read 1 holding capability regardless of data
based WWLE goes high to turn ON M3 to pass WBL voltage into pattern. As shown in Fig. 3(b), the RBL leakage (under worst case
Q or QB through two-stacked nMOS (M3 and one of M1/M2). The data pattern) for the proposed 9T cell is one order of magnitude lower
cross-point write structure formed by column-base WWLA/B and than the prior 9T cell across all process corners, resulting in superior
row-based WWLE eliminates write half-select disturb [Fig. 2(b)]. The functionality for low-voltage operation. Notice that in Fig. 3(b), the
row half-selected cells are protected by unselected WWLA/B, while RBL leakage for the prior 9T cell (through two series-connected pass
the column half-selected cells are protected by unselected WWLE. transistors) is higher than the conventional 6T cell (through one pass
The influence of the floating node joining M1/M2/M3 on the stability transistor). This is because the prior 9T cell and the proposed 9T cell
of half-selected cells on the selected column is minimized through are laid out using logic rules, hence the cell transistors and cell size
careful/optimized physical layout. Extensive transient Monte Carlo are substantially larger than the conventional 6T cell offered by the
simulations across all Process, Voltage and Temperature corners and foundry (0.303 μm2 ).
measurement results indicate that the floating node does not produce
appreciable impact on the cell’s column half-select stability. III. L INEUP W RITE -A SSIST
Monte Carlo simulations with 17 000 samples at 0.35 V, PMOS
The design employs a variation-tolerant LUWA scheme where the
Slow, NMOS Fast (where Read Static Noise Margin and write half-
select SNM are the worst) with 3σ local random variation at 25 °C timing of area-efficient boosted WWL and negative WBL are aligned
and triggered/initiated by the same low-going global WBL (GWBL)
show that the proposed 9T cell exhibits 3× larger mean write half-
to maximize the write-ability enhancement.
select SNM (98 mV versus 33.37 mV) with much tighter distribution
(μ/σ = 7.61 versus 3.37) compared with the conventional 6T cell.
The proposed 9T cell offers better data-dependent RBL leakage A. Area-Efficient Boosted WWL
suppression compared with the prior 9T cell in [21] and [22]. Fig. 3 Fig. 4(a) shows the proposed area-efficient boosting structure.
illustrates the data-dependent RBL leakage and RBL read 1 leakage Notice that Fig. 4(a) uses the boosting of worldline (WL) as an
comparison of the proposed 9T cell, the foundry-based conventional example, while in the proposed 9T SRAM design the boosting
6T cell and the prior 9T cell [21], [22] at 0.35 V, 25 °C across structure is used to boost the column-based WWL. The boost-
various process corners with 64 cells per RBL. The worst case data ing circuitry consists of 3 parts: driver, booster and floodgate.

Authorized licensed use limited to: Indraprastha Institute of Information Technology. Downloaded on February 02,2021 at 21:18:25 UTC from IEEE Xplore. Restrictions apply.
960 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 5, MAY 2015

Fig. 4. (a) Proposed area-efficient boosting structure. (b) Pertinent wave-


forms. A single Booster is shared by all WLs in a local bank. Notice that
in the proposed 9T SRAM design the boosting structure is used to boost the
column-based WWL. Fig. 5. Proposed LUWA scheme and pertinent waveforms. Both boosted
WWL and negative WBL are initiated/triggered by the low-going GWBL.

Driver swings WL to full VDD and is then isolated from WL during


boosting stage. Booster contains the boosting capacitor (CB ) to store
charges for coupling node VST to a higher than VDD voltage. The
booster is shared by all WLs in a local bank to minimize the area
and energy overhead. Floodgate transfers the charges at the boosted
Voltage Boosting (VST) node to the selected WL. For the selected
WL [WL(ON)], charges at boosted VST node would pass through
MPG1 in floodgate(ON), charging WL(ON) to higher than VDD . For
unselected WL [WL(OFF) in Fig. 4(a)], the corresponding MPG2 is
OFF, thus isolating node VST from the unselected WL.
Fig. 4(b) shows the pertinent waveforms. Boosting enable bar
(BSTEN) is initially at high to precharge booster (precharge VST
to VDD ) and power up the driver (precharge VDD1/VDD2 to VDD ).
When the selected WL1 goes low, WL(ON) is charged to full VDD
by Inv1 (in driver). Once boosting commences, BSTEN goes low
Fig. 6. Layout view and die photo of the 72-kb test chip. The macro size is
to turn ON M2 and turn OFF M1 [in driver(ON)], thus equalizing 446 μm × 441 μm in UMC 40LP CMOS.
node N1 and VDD1 (N1 ≈ VDD1 ≈ VDD ). The unselected WLs
[WL(OFF) × N] stay at low. At the same time, BSTEN triggers the
booster, boosting VST from VDD to a higher voltage by capacitor CB .
B. Timing Alignment of Boosted WWL and Negative WBL
The boosted voltage/charge at VST is shared with WL(ON) and its
related parasitic loading (at node N1 and VDD1 via the ON Fig. 5 illustrates the proposed LUWA scheme and pertinent wave-
pull-up pMOS of Inv1) through MPG1 of floodgate(ON), forms where the timing of boosted WWL write-assist and negative
thus boosting WL(ON) from VDD to VDD+ . Notice that WBL write-assist [21], [22], [26] are aligned and triggered/initiated
VST ≈ WL(ON), hence the pMOS of G1 is completely OFF. by the same low-going GWBL to maximize the write-ability enhance-
Similarly, WL(ON) ≈ VDD1 ≈ N1 ≈ VDD+ , hence M1 is also ment. GWBL sources the WBL node [Fig. 1(a)] of cells in the
completely OFF. As such, the selected WL(ON) could efficiently selected column through column MUX (MUX[0] in Fig. 5). GWBL
keep its VDD+ without any discharging path. is also buffered through inverter Y1, and together with bank-select
The 72-kb test chip is organized into 32 banks. Each bank consists signal (BankSel) initiate/trigger the booster of the selected bank
of 32 rows and 72 columns with interleaving four architecture. There through NAND gate Y2. Furthermore, GWBL initiates/triggers the
is one boosting capacitor for each bank, so each boosting capacitor Negative Bitline circuit (at Global DIDO) of the selected bank
is driving 72/4 = 18 selected WWLs. through NAND_UD→X1→X2→X3 to beget the negative transient

Authorized licensed use limited to: Indraprastha Institute of Information Technology. Downloaded on February 02,2021 at 21:18:25 UTC from IEEE Xplore. Restrictions apply.
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 5, MAY 2015 961

Fig. 7. Measured error-free full-functionality die yield versus VDD without


redundancy at room temperature. Sixty-five dies are measured.

Fig. 9. (a) Measured bit failure rate (BFR) versus VDD . (b) Comparison of
measured BFR of negative WBL and LUWA for VDD below 0.3 V.

TABLE I
F EATURES OF THE 72-kb T EST C HIP

Fig. 8. (a) Measured maximum operation frequency, leakage power, and total
power of the 72-kb test chip versus VDD . In addition, shown is the maximum
operation frequency of a 72-kb SRAM macro using the previous 9T cell [22].
(b) Comparison of measured maximum operation frequency and performance
improvement of the present design with that using the previous 9T cell [22]
at low voltage.

pulse at GWBL and pass it into WBL of the selected column. The (from 0.75 V without write-assist to 0.6 V). The introduction of
timing difference of boosting WWL and negative WBL is contained negative WBL improves VMIN by another 200 mV (from 0.6 to 0.4
to within two local delays, with only few gates and local wire V). At 0.35 V, the die yield of the present design is 2.75× of the
connection. Thus, LUWA offers variation-tolerant alignment of the previous design [22]. The present design also extends the error-free
timing of WWL boosting and negative WBL to maximize the write- full functionality VMIN (of the best two dies) to 0.325 V from 0.35 V
ability enhancement. for the previous design.
The measured maximum operation frequency, leakage power and
IV. T EST C HIP I MPLEMENTATION AND M EASUREMENT total power versus VDD of the 72-kb test chip are shown in Fig. 8(a).
A 72-kb test chip is implemented in UMC 40LP CMOS. The layout The maximum operation frequency is 260 MHz (450 kHz) at 1.1 V
view and die photo are shown in Fig. 6. The 72-kb macro is organized (0.32 V) and 25 °C. In addition, shown for comparison is the
into 32 banks. Each bank consists of 32 rows and 72 columns with maximum operation frequency versus VDD of a 72-kb SRAM macro
interleaving four architecture for soft error immunity improvement using the previous 9T cell [22] with the same organization in the same
with ECC [23]–[25]. The local RBL/WBL length is 32 bit. The data 40LP technology. The present design achieves 25% (100%) frequency
I/O width is 36 bit. The macro size is 446 μm × 441 μm. In the test improvement at 0.4 V (0.325 V) compared with the previous 9T
chip, the boosted WWL write-assist and negative WBL write-assist design [Fig. 8(b)]. At 0.325 V, the present design operates at 600 kHz
can be enabled individually for testing. with 5.78-μW total power and 4.69-μW leakage power with an
Sixty-five packaged dies are tested with Credence SC312 tester. energy efficiency (power/frequency/IO) of 0.267 pJ/bit, compared
Dies are tested with full suits of industry standard SRAM compiler with 300 kHz and 0.350 pJ/bit of the previous 9T design [22],
product qualification patterns, including CHECKBOARD, MATS+, representing a 23.7% energy efficiency improvement.
MARCH C−, and MARCH C+ with all high/low-read/write com- Fig. 9(a) shows the measured bit failure rate (BFR) versus VDD
binations. Fig. 7 shows the measured error-free full-functionality die without/with write-assist techniques. Without any write-assist, VMIN
yield versus VDD without redundancy. It can be seen that boosted is limited at 0.65 V. With boosted WWL only, VMIN improves by
WWL improves VMIN (defined at 90% die yield) by about 150 mV about 125 mV to 0.525 V. With either negative WBL or LUWA,

Authorized licensed use limited to: Indraprastha Institute of Information Technology. Downloaded on February 02,2021 at 21:18:25 UTC from IEEE Xplore. Restrictions apply.
962 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 5, MAY 2015

error-free VMIN is improved to 0.32 V. From 0.3 to 0.275 V, [10] N. Verma and A. P. Chandrakasan, “A 256 kb 65 nm 8T subthreshold
the BFR is less than 0.1% with LUWA. Compared with the case SRAM employing sense-amplifier redundancy,” IEEE J. Solid-State
Circuits, vol. 43, no. 1, pp. 141–149, Jan. 2008.
without write-assist, LUWA improves BFR by 154× (34×) at 0.3 V
[11] M. E. Sinangil, N. Verma, and A. P. Chandrakasan, “A reconfigurable
(0.275 V). Fig. 9(b) compares the measured BFR of negative WBL 8T ultra-dynamic voltage scalable (U-DVS) SRAM in 65 nm CMOS,”
and LUWA at ultralow voltage (below 0.3 V). The BFR for LUWA is IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3163–3173, Nov. 2009.
2.2×/1.3×/1.2× lower than negative WBL at 0.3/0.275/0.25 V. The [12] M. E. Sinangil, N. Verma, and A. P. Chandrakasan, “A 45 nm 0.5 V
measured write VMIN (with LUWA) is about 0.3 V with single bit 8T column-interleaved SRAM with on-chip reference selection loop
for sense-amplifier,” in Proc. IEEE Asian Solid State Circuits Conf.,
error, and the read/hold VMIN is about 0.275 V. The characteristics Nov. 2009, pp. 225–228.
of the 72-kb test chip is summarized in Table I. [13] T. H. Kim, J. Liu, J. Keane, and C. H. Kim, “A 0.2 V, 480 kb
subthreshold SRAM with 1k cells per bitline for ultra-low-voltage
V. C ONCLUSION computing,” IEEE J. Solid-State Circuits, vol. 43, no. 2, pp. 518–529,
Feb. 2008.
This brief presents a disturb-free 9T subthreshold SRAM cell [14] J. J. Wu et al., “A large σ VTH /VDD tolerant zigzag 8T SRAM
with single-ended RBL and WBL and data-aware cross-point write with area-efficient decoupled differential sensing and fast write-back
structure to facilitate robust operation at ultralow voltage and scheme,” IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 815–827,
bit-interleaving architecture for enhanced soft error immunity. The Apr. 2011.
[15] I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy, “A 32 kb 10T
design employs a variation-tolerant LUWA scheme to maximize
sub-threshold SRAM array with bit-interleaving and differential read
write-ability enhancement. A 72-kb test chip is implemented in scheme in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 2,
UMC 40LP CMOS. Error-free full-functionality is achieved from pp. 650–658, Feb. 2009.
1.5 to 0.32 V without redundancy. The measured maximum operation [16] A. Teman, L. Pergament, O. Cohen, and A. Fish, “A 250 mV 8kb 40 nm
frequency is 260 MHz (450 kHz) at 1.1 V (0.32 V) and 25 °C. ultra-low power 9T supply feedback SRAM (SF-SRAM),” IEEE J. Solid-
State Circuits, vol. 46, no. 11, pp. 2713–2726, Nov. 2011.
At 0.325 V and 25 °C, the design offers 2× frequency improvement [17] C. H. Lo and S.-Y. Huang, “P-P-N based 10T SRAM cell for
and 23.7% energy efficiency improvement over our previous 72-kb low-leakage and resilient subthreshold operation,” IEEE J. Solid-State
9T subthreshold SRAM design [22] in the same 40LP technology. Circuits, vol. 46, no. 3, pp. 695–704, Mar. 2011.
[18] M. H. Tu, J.-Y. Lin, M.-C. Tsai, S.-J. Jou, and C.-T. Chuang, “Single-
ended subthreshold SRAM with asymmetrical write/read-assist,” IEEE
R EFERENCES
Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 12, pp. 3039–3047,
[1] C. T. Chuang, S. Mukhopadhyay, K. Jae-Joon, K. Keunwoo, and R. Rao, Dec. 2010.
“High-performance SRAM in nanoscale CMOS: Design challenges and [19] M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, and
techniques,” in Proc. IEEE Int. Workshop Memory Technol., Design and H. Shinohara, “A 45 nm 0.6 V cross-point 8T SRAM with negative
Testing, Dec. 2007, pp. 4–12. biased read/write assist,” in Proc. Symp. VLSI Circuits, Jun. 2009,
[2] D. Markovic, C. C. Wang, L. P. Alarcon, L. Tsung-Te, and J. M. Rabaey, pp. 158–159.
“Ultralow-power design in near-threshold region,” Proc. IEEE, vol. 98, [20] R. V. Joshi, R. Kanj, and V. Ramadurai, “A novel column-decoupled 8T
no. 2, pp. 237–252, Feb. 2010. cell for low-power differential and domino-based SRAM design,” IEEE
[3] B. H. Calhoun, A. Wang, and A. Chandrakasan, “Modeling and sizing Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 5, pp. 869–882,
for minimum energy operation in subthreshold circuits,” IEEE J. Solid- May 2011.
State Circuits, vol. 40, no. 9, pp. 1778–1786, Sep. 2005. [21] M. H. Tu et al., “A single-ended disturb-free 9T subthreshold SRAM
[4] R. E. Aly and M. A. Bayoumi, “Low-power cache design using 7T with cross-point data-aware write word-line structure, negative bit-line,
SRAM cell,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 4, and adaptive read operation timing tracing,” IEEE J. Solid-State Circuits,
pp. 318–322, Apr. 2007. vol. 47, no. 6, pp. 1469–1482, Jun. 2012.
[5] M. F. Chang, S.-W. Chang, P.-W. Chou, and W.-C. Wu, “A 130 mV [22] C. Y. Lu et al., “A 0.33-V, 500-KHz, 3.94-μW 40-nm 72-Kb 9T
SRAM with expanded write and read margins for subthreshold appli- subthreshold SRAM with ripple bit-line structure and negative bit-line
cations,” IEEE J. Solid-State Circuits, vol. 46, no. 2, pp. 520–529, write-assist,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, no. 12,
Feb. 2011. pp. 863–867, Dec. 2012.
[6] T. H. Kim, J. Liu, and C. H. Kim, “A voltage scalable 0.26 V, 64 kb [23] H. Fuketa, M. Hashimoto, Y. Mitsuyama, and T. Onoye, “Alpha-
8T SRAM with Vmin lowering techniques and deep sleep mode,” IEEE particle-induced soft errors and multiple cell upsets in 65-nm 10T
J. Solid-State Circuits, vol. 44, no. 6, pp. 1785–1795, Jun. 2009. subthreshold SRAM,” in Proc. IEEE Int. Reliab. Phys. Symp., May 2010,
[7] L. Chang et al., “An 8T-SRAM for variability tolerance and low-voltage pp. 213–217.
operation in high-performance caches,” IEEE J. Solid-State Circuits, [24] S. Baeg, S. Wen, and R. Wong, “SRAM interleaving distance selection
vol. 43, no. 4, pp. 956–963, Apr. 2008. with a soft error failure model,” IEEE Trans. Nucl. Sci., vol. 56, no. 4,
[8] M. Qazi, K. Stawiasz, L. Chang, and A. P. Chandrakasan, “A 512 kb pp. 2111–2118, Aug. 2009.
8T SRAM macro operating down to 0.57 V with an AC-coupled [25] K. Kushida et al., “A 0.7 V single-supply SRAM with 0.495 μm2
sense amplifier and embedded data-retention-voltage sensor in 45 nm cell in 65 nm technology utilizing self-write-back sense amplifier and
SOI CMOS,” IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 85–96, cascaded bit line scheme,” in Proc. IEEE Symp. VLSI Circuits, Jun. 2008,
Jan. 2011. pp. 46–47.
[9] T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, [26] S. Mukhopadhyay, R. M. Rao, J.-J. Kim, and C.-T. Chuang, “SRAM
“A stable 2-port SRAM cell design against simultaneously read/write- write-ability improvement with transient negative bit-line voltage,” IEEE
disturbed accesses,” IEEE J. Solid-State Circuits, vol. 43, no. 9, Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 1, pp. 24–32,
pp. 2109–2119, Sep. 2008. Jan. 2011.

Authorized licensed use limited to: Indraprastha Institute of Information Technology. Downloaded on February 02,2021 at 21:18:25 UTC from IEEE Xplore. Restrictions apply.

You might also like