Mod8 Test Bench
Mod8 Test Bench
USE ieee.std_logic_1164.ALL;
ENTITY simulacion IS
END simulacion;
COMPONENT principal
PORT(
clk : IN std_logic;
reset : IN std_logic;
numero : OUT std_logic_vector(2 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
--Outputs
signal numero : std_logic_vector(2 downto 0);
BEGIN
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait;
end process;
END;