Design For Test PDF
Design For Test PDF
iii
How to Use This Manual
Notational Conventions
This document uses the following conventions.
v
Information About Cautions and Warnings
The ASIC TDL 91 Reference, which discusses ASIC TDL 91 version 5.0. The
TI Web-based ASIC TDL 91 Reference provides details about a partic-
ular tool’s capabilities. For more information on the Web, contact your TI
Customer Design Center representative.
The ASIC TDL 91 and Scan Designs Reference, which provides informative
application examples on ASIC TDL 91 and scan designs. Refer to the TI
web-based ASIC TDL 91 and Scan Designs Reference.
The Submicron ASIC Products Design for Testability Application Re-
ports, which provide information on designing with a generic test access
port (GTAP) (refer to the Web-based Generic Test Access Port Applica-
tion Report), on the RAM Built-In Self-Test (refer to the Web-based RAM
Built-In Self-Test (BIST) Application Report), and on the multiplexed par-
allel module test (refer to the Web-based Multiplexed Parallel Module
Test Application Report).
The Submicron ASIC Products Design Software Manual (DSM): TIDSS
Design Flow, which describes the Texas Instruments (TI) Design Sup-
port Software (TIDSS), Release 5 series design flow. The Web-based
TIDSS Tools Reference gives details about the specific capabilities and
features of each TIDSS tool.
The Web-based TIDSS Tools Reference, which covers the TIDSS tools in
depth and supports releases in the TIDSS series. This manual (TIDSS
Design Flow) will often refer you to the TI Web-based TIDSS Tools
Reference for details about a particular tool’s capabilities. For more
information on the Web, contact your TI Customer Design Center
representative.
The Cadence Design Planner User’s Guide, which explains how to use
the Cadence Design Planner floorplanner in the TI Flow.
The Submicron ASIC Products Test Synthesis User’s Guide (literature
number SRGU002B), which describes the Synopsys Test Compiler,
a test tool combining design-for-testability synthesis with automatic test
pattern generation.
The TGC6000/TEC6000 Web-based Design Rules describes design rules
in the flow and provide up-to-date information enabling effective use of
tool-specific design rules and the resolution of errors and warnings
encountered during the design process.
vii
Related Documentation From Texas Instruments
Trademarks
Advantest is a trademark of Advantest Corporation.
Solaris, Sun Sun-5, SunOS, and Sun Workstation are trademarks of Sun
Microsystems, Inc.
ix
Trademarks
Kevlar and Teflon are trademarks of E.I. DuPont de Nemours & Company
xi
Contents
Contents xii
Contents
Contents xiii
Contents
Glossary 1
Index Index-1
xv
Figures
Contents xvi
Figures
Contents xvii
Tables
Table Page
4–1 TDL Pattern Set Requirements Summary ................................................................. 4-5
8–1 Example Test-Register TP000 Assignments ............................................................. 8-5
8–2 Example Test-Register Test-Selection Codes............................................................ 8-6
10–1 Toggle States ........................................................................................................... 10-3
10–2 VIL/VIH Test Patterns (Dedicated Control Pins) ...................................................... 10-6
10–3 VIH_VIL Test Patterns (Shared Control Pins).......................................................... 10-9
12–1 Commonly Used TDL Logic State Characters......................................................... 12-15
13–1 Parametric Test Resources ................................................................................... 13-10
14–1 Military ASIC Topics Cross-Reference ................................................................... 14-4
xviii
Chapter 1
Designing testability into any circuit affects the hardware to some degree.
Additional logic usually must be added. This additional logic increases the
amount of silicon required to implement the design. The savings from
enhanced testability do not typically show up until the cycle time and cost of
testing a circuit and its end system are analyzed.
1-1
By faulting all the nodes in the circuit, the fault simulator produces the test
pattern fault coverage. The fault coverage is the percentage of faults detected
among the total faults tested. The higher the fault coverage, the better the test
pattern separates a faulty circuit from a fault-free circuit. After determining
which faults have not been detected by the current set of test patterns, you
can generate additional test patterns to detect these faults. The higher the
fault coverage of the pattern set (often called fault grade), the greater the
probability of obtaining only fault-free circuits.
The IDDQ (quiescent drain supply current) testing ensures a circuit is free from
defects such as resistive bridging or partial gate punch through. Stuck-at-1 or
stuck-at-0 often cannot detect defects of these types.
Topic Page
2-1
The Need for Testability
In the past, testability could be ignored when typical designs were a few
thousand gates. These designs were implemented first and then turned over
to a test engineer or to a vendor to force a test program for production. As
design complexities increased, this approach to testing became futile. Now,
successful high-density ASIC design and manufacturing demand that circuits
be designed with testability incorporated into the process.
2.3 Time-to-Market
Surveys indicate that 40 percent of the development cycle time for an ASIC
device is required for test insertion and test pattern generation. This figure is
expected to increase as device complexity increases. The intent of a design-
for-testability (DFT) strategy is to achieve high-fault-detection test programs
in reduced time (Figure 2–1). The obvious cycle-time reductions result from
designed-in testability (elimination of iterative redesigns resulting from poor
design practices), and from automatic test pattern generation (ATPG).
60
Without DFT Strategies
40
20
0
Hours Days Weeks Months
} Without DFT
Strategy
Economic
Optimum
}
Economic
Cost $
Optimum
2 With DFT
Strategy
Manufacturing
and Field Cost
Fault Coverage %
Development and
Total Cost
Time-to-Market Cost
A less obvious result of a DFT strategy is the reduction of debug time. You,
as an ASIC designer, must make certain assumptions about system
requirements. Often a new device does not work in the system environment
and requires debugging. If the device is designed for controllability and
observability access, the debugging process is enhanced. Conversely, if
these two features are overlooked, debugging and manufacturing can be
significantly harder to accomplish, if not impossible. Oscilloscopes and
waveform analyzers are not very effective in debugging systems using
complex ASIC devices in a surface-mount environment.
Figure 2–3 is a plot of the relationship modelled by T.W. Williams1 for fault
coverages of 90 percent or greater.
(1 – T)
D = [1 – Y ] × 100
where:
D = Defect level in percent
Y = Theoretical functional process yield
T = Fault coverage of the test program used
5 60%
Defect Level %
4
70%
3
80%
2
90%
1
0
90 91 92 93 94 95 96 97 98 99 100
50% Process YLD — 6.7 6.04 5.39 4.74 4.07 3.41 2.73 2.08 1.38 0.89 0
60% Process YLD — 4.98 4.48 4 3.51 3.02 2.52 2.02 1.52 1.01 0.51 0
70% Process YLD — 3.5 3.16 2.81 2.47 2.12 1.77 1.42 1.06 0.71 0.36 0
80% Process YLD — 2.21 1.99 1.77 1.55 1.33 1.11 0.89 0.67 0.45 0.22 0
90% Process YLD — 1.05 0.94 0.84 0.73 0.63 0.53 0.42 0.32 0.21 0.11 0
Fault Coverage %
To explore the Williams model briefly, assume that the ASIC vendor has a
silicon and assembly process yield that is 70 percent. If the fault grade of the
test program is also 70 percent, the defect level is projected to be 10.1
percent or 101000 ppm (parts per million) (This is outside the limits of the
chart and was calculated.). At a fault grade of 90 percent, the defect level is
projected to be 3.5 percent, or 35000 ppm.
A study of the model shows that the process yield becomes an insignificant
term when the fault coverage of the test program is very close to 100 percent.
Motorola and Delco2 performed a study in 1980 that supports the Williams
model. Their experimental results are shown in Figure 0-4. A fault coverage
of 99.9 percent was required to obtain defect levels in the range of 100 ppm.
2. Harrison, Holzworth, Motz of Delco and Daniels Thomas, Weimann of Motorola, September
1980.
100000
10000
Defect Level ppm
1000
100
10
90 99 99.9
Fault Coverage %
Figure 2–5 shows the maximum allowable ASIC defect rate to achieve a goal
PCB (printed circuit board) defect rate as a function of the number of ASIC
devices per board assembly. Note that for multiple-device PCB designs, a
goal of 500 ppm requires ASIC defect levels in the range of 100 to 200 ppm.
600
Number of ASICs Per Board
500 1
400
ASIC ppm Rate
300
2
200
3
4
100 5
10
20
0
0 100 200 300 400 500 600
❏ ATPG tool
❏ Fault grader
❏ A testable design that meets the constraints of the ATPG tool
than that of discovery before assembly onto a PCB. The lowest cost of
ownership is to find defective units before they are shipped from the vendor.
Customer Site
System
Discovery Site
PCB
Package
Device
The previous discussions have lead to the conclusion that the lowest cost of
ownership can be obtained by providing the ASIC vendor with an efficient
high-fault-detection set of test vectors. These DFT methodologies provide
lower cost of ownership with the added benefit of reducing the time-to-market.
You should now be aware of the benefits of having a testable circuit and have
a general awareness of testability techniques. This chapter presents a
methodology for developing a testability strategy for your circuits. The
process involves making decisions based upon your application. The
following strategies, listed by section, step you through the process of
testability.
Topic Page
3-1
Selecting a Technology
❏ Review the testability design practices with the design team before
beginning the design.
❏ Designs with more than 10K gates but fewer than 20K gates
Structured techniques should be considered for designs in this density
range. Nonstructured design practices are probably sufficient for highly
combinatorial circuits without memory. Structured approaches should be
considered as complexity is increased by the addition of sequential
circuits, feedback, and memory.
Consider scan for reduced cycle times and high fault grades.
Scan is the preferred structured approach for sequential logic. The available
scan choices are:
❏ Clocked scan
❏ Multiplexed flip-flop scan
❏ Level-sensitive scan design (LSSD)
❏ Clocked level-sensitive scan design
❏ Parallel scan paths
❏ Partial scan
A clocked scan flip-flop has separate clock and data inputs for scan and
system-mode operation. It also has separate data outputs for scan and
system-mode operation. The clock-to-scanout propagation delay is
purposely slowed. This reduces the chance of skew in the scan clock
distribution network that could cause timing race conditions in the scan path.
The separate scanout output isolates the loading of the scan-path routing
from the system mode output.
Clocked scan is a suitable choice for partial scan designs. This scan style
uses a separate clock for scan and system-mode operation. This clock
separation means that nonscan flip-flops are not clocked during the scan
operation.
skew in the clock distribution network to prevent timing race conditions in the
scan path.
Multiplexed scan is not a good choice for partial scan designs. This scan style
uses a common clock for scan and system-mode operation. This clock
sharing means that nonscan flip-flop clock inputs must be gated to disable
them during the scan operation.
A clocked LSSD latch has separate clock and data inputs for scan- and
system-mode operation. It also has separate data outputs for scan- and
system-mode operation. The separate scan outputs isolate the system-mode
data output from the scan-mode circuit loads.
The length of scan paths should be considered because of test time. For
example, a single path of 2000 scan registers requires 2000 tester periods to
load. Four paths of 500 scan registers can be loaded in parallel in 500 tester
periods.
While scan paths can convert sequential circuits into combinatorial circuits for
testing, this procedure adds overhead and degrades performance. The ATPG
tool may be the driving force in making the scan decision. Partial scan could
be a good decision, if you follow good design practices and have an ATPG
tool that can handle partial scan. In these cases, scan elements could be
omitted from portions of the design that cannot tolerate the performance
impact of scan.
At this point you need to establish whether ac critical path measurements are
required as part of the production testing. The ac critical path pattern sets
should be chosen based on system requirements.
Stage 1:
Develop a set of functional patterns. You should develop these in the
logic-simulation stage of design. Added to these are the diagnostic test
patterns developed for debug analysis. The functional and diagnostic
patterns need to be fault graded. This forms the starting point for
automatic test pattern generation (ATPG).
Stage 2:
Use ATPG for the highest possible fault grade. A high fault grade in a
short cycle time is possible if the development team has followed a
testability strategy and has complied with the following guidelines:
■ Designed a device with controllability and observability
■ Selected a quality ATPG tool
■ Followed guidelines imposed by the ATPG tool
Stage 3:
Generate a dc leakage test. The classic stuck-at fault model is used by
the ATPG tool, but it does not represent some types of CMOS faults. The
dc leakage testing, often referred to as IDDQ testing, is useful in
supplementing stuck-at testing. Implementing IDDQ testing requires a test
mode to turn off all circuits that produce dc current, such as pullup and
pulldown resistors.
❏ Inputs and outputs must satisfy the test description language (TDL)
timing restrictions provided by TI.
Establish a fault-grade
requirement
Yes
10K < Gates < 20K
No
Develop high-fault-grade
pattern sets
Minimum time-to-market
Topic Page
Responsibilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4–2
TDL Type Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4–3
4-1
Responsibilities
4.1 Responsibilities
You have the responsibility to provide a set of required test patterns to TI.
These test patterns are used to perform dc parametric testing, logic
verification, and propagation delay tests. TI accepts additional user-
generated patterns on a limited basis.
The test patterns for handoff to TI must be described in TDL format. TDL
stands for test description language and is the test pattern format accepted
by TI’s internal set of tools. The contents of each TDL set must conform to a
set of test flow constraints. See Test Pattern Generation on page 12–1 for
information on test pattern generation details.
The design’s testability schemes determine which TDL sets are required.
Presently, three testability schemes are supported by TI ASIC:
❏ Scan
❏ TI parallel module test (PMT) for MegaModule testing
❏ Built-in self-test (BIST)
A set of TDL types has been defined by TI ASIC to identify the functions of
each TDL to the set of internal tools. For example, a TDL set written to
facilitate dc parametric and IDDQ tests would be assigned the DC_PARA and
IDDQ TDL types.
The following three TDL sets are required for each design, regardless of the
testability scheme used:
SCAN TDL used to verify logic integrity. A SCAN TDL set can replace
the requirement for a FUNC TDL set.
SCANCHK TDL used to check scan path integrity. Each scan path is
checked individually, and a fixed set of states is loaded/
unloaded for each scan register.
Products that implement PMT have the following additional TDL sets
provided by TI.
Table 4–1 summarizes the TDL pattern set requirements. Refer to the test
chapter of the product-specific design manual to see the test pattern rate and
other test constraint data.
DC_PARA ✓ - ✓ ✓ -
FUNC ✓ - ✓ ✓ -
IDDQ ✓ - ✓ ✓ -
BIST - ✓ ✓ ✓ -
BIST_AC - ✓ - - ✓
FUNC_AC - ✓ - - ✓
GTAPCHK - ✓ ✓ ✓ -
SCAN - ✓ ✓ ✓ -
SCANCHK - ✓ ✓ ✓ -
TURNOFF - ✓ ✓ ✓ -
VIH_VIL - ✓ ✓ ✓ -
Notes: 1) At least one propagation delay measurement is required per design. The TDL can be used to facilitate a
propagation delay test by the insertion of an ASIC_TEST statement with the PROP keyword into the test vectors.
Propagation delay measurements are not allowed for at-speed TDL types.
2) The maximum scan frequency is the same as for the logic verification constraints. All other at-speed constraints
apply.
This chapter describes various ad hoc techniques that can be used to make
a design testable.
Topic Page
5-1
Logic Design With Testability in Mind
Two basic approaches to testing are prevalent in the industry. The first
approach is categorized as ad hoc and the second as structured. The ad hoc
techniques can be applied to a given product, but require that each circuit be
examined on an individual basis. The structured techniques follow a design
methodology and lend themselves more easily to design automation.
Unused package pins can also be used to control internal nodes that are
difficult to access otherwise. The circuit shown in Figure 5–1 has been
modified to allow the injection of test signals (ENABLE high) or to operate
normally (ENABLE low). Figure 5–2 illustrates the reconfigured circuit.
ENABLE PAD
ENABLE
INA PAD
Core Core
Logic Logic
PAD OUTB
Test Data In
10 01
D Q D Q
11
QZ QZ
CLOCK
SELECT
Output
10 01
D Q D Q
QZ QZ 11
CLEAR
CLOCK
SELECT
Output
A power-on clear mechanism, which initializes the circuit in the actual system
configuration, is not an adequate test initialization implementation. Although
this function must also be tested, the tester loses many of its utility programs
if initialization is performed only in this manner, and overall testing suffers
dramatically. By adding the special set or reset signal (Figure 5–5), the circuit
can be directly set into a known state, and the tester is guaranteed to have
the first pulse appear after a known number of cycles. All of the tester’s utility
programs remain intact.
Y
R
(b)
S
Y
CLOCK
Y
R
Clock
Second
Clock
Gated Clock
Clock
Margin
Enable
Output
Clock
Oscillator Oscillator
Signal M
Clock
U
Test Clock Signal
X
Test Select
When a divider is used to convert a 1-MHz clock signal to 1 kHz, the tester
must apply 1000 test vectors for each 1-kHz pulse (Figure 5–9a). However,
when bypass circuitry is available, the divider can be tested once and then
bypassed. The circuitry controlled by the divider can then be tested at a much
higher frequency by using a 1-kHz clock directly, reducing test time (Figure
5–9 b). Output the bypassed counter/divider signal as a circuit test.
3) Reset TEST/NORM to logic 0 and apply one additional clock pulse; the
test pin should now be low, proving correct operation of both counter
sections.
2:1 MUX
QA1 Q0
A1 QB1 Q1 S
QA1 Q8
QC1 Q2
A Y A1 QB1 Q9
CLR1 QD1 Q3
QC1 QA
B QD1
CLR1 QB
QA2 Q4
A2 QB2 Q5
QA2 QC
QC2 Q6
A2 QB2 QD
RESET CLR2 QD2
Q7 QC2 QE
CLR2 QD2 QF
Dual 4-Bit
Ripple Counter
Dual 4-Bit
Ripple Counter Test Pin
PAD
ENABLE S
Output
A Y PAD
DATA IN
Logic Logic
PAD A B B
2:1 MUX
ENABLE S
Output
A Y Logic
B PAD
DATA IN
Logic
PAD A B
2:1 MUX
In Figure 5–13, testability for both logic blocks is enhanced by using both
input and output multiplexing.
Of the three flip-flops shown in Figure 5–14, only the last flip-flop connects to
a package pin. If a 4:1 multiplexer is added, as shown in Figure 5–15, each
flip-flop output can be connected individually to the output pin.
Q Q Q C2
C3
DATA D QZ D QZ D QZ
CLRZ CLRZ CLRZ
RESET
CLOCK Hi
Lo
Tie-Off
(b)
In Sequential Sequential Sequential Out
Circuit Circuit Circuit
Test A
Logic A Logic A
In Out In Out
Logic B Logic B
Test B
The circuit shown in Figure 5–18 a is hazard-free but has an untestable fault.
If B and C are both high, the output of G4 remains high regardless of the value
of G2. Therefore, a short at the output of G2 is not be detected. By breaking
the reconvergent path of the signal A, as shown in Figure 5–18 b, and by
making the test input high, the output of G2 can be tested. This additional
circuitry greatly enhances the testability of the circuit.
A A
G1 G1
B B
G2 G4 G1+G2+G3 G2 G4 G1+G2+G3
C C
G3 G3
TEST
Module
1 Module
2
CONTROL 1
DEGATE
Module
CONTROL 2 3
Q Q Q Q Q
D D D D D
QZ QZ QZ QZ QZ
CLRZ CLRZ CLRZ CLRZ CLRZ
CLK
MCLR
RESETZ CLRZ
QA TESTDATA1
CLOCK QB TESTDATA2
QC TESTDATA3
TESTDATA A QD TESTDATA4
QE TESTDATA5
QF TESTDATA6
QG TESTDATA7
QH TESTDATA8
8-Bit Parallel-Out
Serial Shift Register
Lo
CLK2
LOAD
PAD
DATA CLK OUT1
Module CKINZ
A
SERIN
SHLD
QH PAD
Module A
TESTOUT
B B
QHZ
C
D
E
Module
C F
G
H
8-Bit Parallel-Out
Serial Shift-Register
Module PAD
CLK1 D
OUT2
This chapter discusses the benefits and limitations of scan design and
presents several scan design approaches.
Topic Page
6-1
Structured Approaches to Designing for Testability
There are many varieties of scan design and each has its own impact on the
hardware. All of these techniques ease the problem of testability by making
the circuit appear to be structured as a combinatorial network. The nature of
scan design makes it very easy to control and observe every register/latch
(logic storage) element in the circuit.
The basic concept involves serially shifting test data into the logic storage
elements and scanning out the test results. This allows initialization of all the
logic storage elements to desired states and permits the contents of the
buried logic storage elements to be examined easily.
DATA D
Q DATA OUT
CLOCK
SCANIN DATA SD
SO SCANOUT
SCAN CLOCK
Interconnecting several clocked scan macros gives the circuit a serial scan
shift capability that reduces the testing of a sequential circuit to the testing of
a combinatorial circuit, as shown in Figure 6–2. The SO output of one flip-flop
feeds the SD input of the next flip-flop. This is repeated until the scan path is
formed. The TI library offers clocked scan flip-flop macros.
SCANIN SD SD SD
SO SO SO SCANOUT
CLOCK
SCAN
CLOCK
D /1
D
Q DATA OUT
SD 1
MUX
SCAN ENABLE S
QZ DATA OUT
CLOCK
SD SD SD
DATA IN Logic D Q Logic D Q Logic D Q Logic DATA OUT
SE SE SE
SCAN ENABLE
CLOCK
There are some disadvantages. Adding logic into the data path limits the
speed at which the circuit can be clocked. The hardware overhead is related
to the number of registers, because each requires a 2:1 multiplexer.
❏ You decide to use a clock signal that is the input to a clock distribution
macro. This clock signal becomes significantly skewed relative to the
clock signal from the clock distribution macro’s output.
❏ The ATPG tools do not allow gated or internally generated clocks. You
can isolate the gated or internally generated clocks with a SCAN clock
that meets all the scan rules. Typically, this is done by adding a
multiplexer to the clock paths. During normal mode, the multiplexer
selects the gated or internally generated clock. This technique meets
scan design rules but also introduces clock skew.
If two successive scan path flip-flops have significantly skewed scan clocks,
where the first scan flip-flop is clocked before the second scan flip-flop, a
timing race condition may exist. The first scan flip-flop captures the next scan
data bit using the earlier scan clock, and its Q output changes and races to
the second scan flip-flop along with the later scan clock. If the scan data
arrives first, this scan data is clocked into both scan flip-flops. The scan data
appears to jump across the first scan flip-flop directly into the second scan
flip-flop on a single scan clock cycle.
When this type of jump occurs, the ATPG test patterns interpret it as detecting
a stuck-at-fault and reject the circuit. Simulation of the scan shift operation is
the only way to verify that scan clock skew does not cause jumping.
Interconnecting several clocked LSSD scan flip-flops gives the circuit a serial
shift capability that reduces the testing of a sequential circuit to the testing of
a combinatorial circuit, as shown in Figure 6–6. The SQ output of one flip-flop
feeds the SD input of the next flip-flop. This is repeated until the scan path is
formed. The TI library offers clocked LSSD scan flip-flop macros.
CLOCK
❏ All stored states must be in scan flip-flops. Cross-coupled latches are not
permitted.
❏ All flip-flops in a scan path must have the same common clock. No logic-
generated or asynchronous clocks are permitted.
❏ All resettable scan flip-flops must be resettable only via a master or global
reset. Resettable flip-flops are not required. Initialization can be
accomplished by scanning in the initial state.
Refer to the applicable (TGC2000, TSC4000, etc.) macro library summary for
macros that are available to support flip-flop-based scan designs.
Select a scan element with both true and complementary outputs. In Figure
6–7, a multiplexed flip-flop scan macro is chosen and the speed critical path
is the true output. The scanout is taken from the complementary output. An
inverter is placed after the complementary output to restore the signal polarity
prior to the next scan flip-flop on the scan path. Some ATPG software tools
can handle scan path inversions, making the addition of the inverter in the
scan path to restore polarity unnecessary.
SCANIN SCANOUT
SD SD SD
DATA IN Logic D Q Logic D Q Logic D Q Logic DATA OUT
SE QZ SE QZ SE QZ
SCAN ENABLE
CLOCK
SCAN ENABLE
A Out
B
C
D1 D Q
SE
SD
D2 D Q SCANOUT
SE
SD
D3 D Q
SE
SCANIN SD
CLOCK
Bus contentions can exist if more than one scan register contains a logic
1 during scanin or scanout.
SCAN ENABLE
A Out
B
C
D1 D Q
SE
SD Disabling Logic
D2 D Q SCANOUT
SE
SD
D3 D Q
SE
SCANIN SD
CLOCK
Serial
Peripheral
ROM MegaModule Interface
RAM
System
Controller
Serial Communications
Interface
Parallel
Scan Blocks
❏ Partition logic to reduce the number of scan macros to fewer than 750 per
logic block. This allows parallel testing of multiple paths rather than serial
testing of one long path. Parallel test time is determined by the longest
path. For this reason, the parallel paths should be of comparable lengths.
In Figure 6–11, Figure 6–12, and Figure 6–13, all the SCANIN and
SCANOUT data pins can be multiplexed with other operational functions.
SCANIN1
SCANOUT1
Block 1
SCANIN2
SCANOUT2
Block 2
SCANIN3
SCANOUT3
Block 3
SCAN CLOCK
SCANIN1
SCANOUT1
Block 1
SCANIN2
SCANOUT2
Block 2
SCANIN3
SCANOUT3
Block 3
SCAN ENABLE
SCANIN1
SCANOUT1
Block 1
SCANIN2
SCANOUT2
Block 2
SCANIN3
SCANOUT3
Block 3
2
MASTER SCAN CLOCK
During testing, the scan data is first shifted into the device one bit per tester
period into the scan path. After the scan data has been shifted into the device,
the tester then applies the input stimuli and the device under test returns to
the normal mode of operation. Afterward, the response of the device is
captured and shifted out for comparison. Normally, the scan result is shifting
out while the new scan data is shifting in.
As stated earlier, the longest scan path determines the length of the scan test
vector. Because all the paths are being tested in parallel, it is necessary to
add elements for the shorter paths so all vectors are equal in length. Figure
6–14 is an example of the elements added to the short scan vectors. These
elements are don’t cares on the inputs and masks on the outputs.
SCAN
PATH YYYLHLHLHLLHLLHLLH010110110111101MMM
2
SCAN
PATH YYYYYYYHLHHLLHLLHL11011101110MMMMMMM
3
A scan path is often used around these circuit structures to ensure that proper
data and control signals are being supplied by surrounding logic. A scan ring
is formed by placing a scannable latch or register on all signals entering or
leaving the circuit structure (Figure 6–15).
RAM SCAN_ENABLE
DATA IN DATA IN
MUX
Scan S
SCANIN Element
DATA OUT I Y DATA OUT
I
Scan
Element SCANOUT
ADDRESS ADDRESS
Scan
Element
R/W R/W
Scan
Element
ENABLE ENABLE
This chapter explores the IEEE Standard 1149.1-1990 and the applications
to testing printed circuit boards in a surface-mount environment.
Topic Page
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7–2
Boundary-Scan Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7–3
7-1
Overview
7.1 Overview
The IEEE Standard 1149.1-1990, IEEE Standard Test Access Port and
Boundary-Scan Architecture (JTAG) foreword states, “This standard defines
a test access port and boundary-scan architecture for digital integrated
circuits and for the digital portions of mixed analog/digital integrated circuits.
The facilities defined by the standard seek to provide a solution to the problem
of testing assembled printed circuit boards and other products based on
highly complex digital integrated circuits and high-density surface-mount
assembly techniques. They also provide a means of accessing and
controlling design-for-testability features built into the digital integrated
circuits themselves. Such features might, for example, include internal scan
paths and self-test functions as well as other features intended to support
service applications in the assembled product.”
The IEEE Standard 1149.1 is usually applied by system designers. For this
reason, system designers have a greater role in defining the specifications for
ASICs. To ensure that the ASICs work properly with other IEEE Standard
1149.1 test components, you as the ASIC designer must become familiar with
this standard.
Register
ASIC Outputs
Core Logic
UTDR
IDR
VCC
BR
TDI
8-Bit IR
TMS
TAP
TCK
TRSTZ
TDO
The test access port (TAP) controller integrates instructions on the test-mode
select line in accordance with the timing established on TCK. It generates
clock and control signals for the rest of the components used to implement
IEEE Std 1149.1.
The boundary-scan register (BSR) is made up of individual test cells that form
the boundary-scan path. These cells form a partition around the device
between the I/O cells and the core logic that can be used for a variety of test
purposes, including continuity testing of PCB interconnects, I/O sampling,
and insertion of known values at I/O points.
The upper test data register (UDTR) is an optional register that allows
manufacturers to incorporate design-specific test-related features.
The bypass register (BR) is a single-bit register that can be switched into the
boundary-scan path so that the ASIC is bypassed during testing. This allows
the testing of other boundary-scan compatible ICs more efficiently by
reducing the total boundary-scan path length to that of the IC under test.
The boundary-scan macros are placed around the periphery of the die next
to the I/O structures. The general-purpose boundary-scan macro has the
capability to capture, shift, and update.
MODE G1
SIGNAL IN 1
SIGNAL OUT
1
SHIFT/LOAD G1
1
1D 1D
1
C1 C1
Boundary-Scan Cell
Serial
DATA IN
Serial
DATA OUT
This chapter introduces the generic test access port (GTAP), which is the
Texas Instruments ASIC test controller. A dedicated implementation example
is shown in Part 2 of this book, the Generic Test Access Port Application
Report.
Topic Page
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8–2
Test Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8–3
Test Register—Bit Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8–5
Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8–7
Communication Protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8–8
8-1
Overview
8.1 Overview
The generic test access port (GTAP) is the TI ASIC test controller. From the
device pins, the GTAP can be instructed to enable or disable any combination
of the design-for-testability features. Test features are controlled by the GTAP
unless another controller is present.
The GTAP is designed to consolidate all previous ad hoc ASIC test methods
into a single unified test methodology. It is general-purpose, compatible with
a wide range of tests, and expandable to accommodate future test needs,
hence the name generic. The GTAP is divided into two functions. They are
the test register and the GTAP controller. The GTAP block diagram is shown
in Figure 8–1.
The GTAP implementation requires one dedicated package pin, four smart
control pins, and one smart output pin. Smart pins are pins that have a test
function in the test mode and a user-defined function in the normal operating
mode.
The TEST input to the GTAP controller must be dedicated to the test mode
operation. The remaining GTAP controller inputs (SCAN, MCLK, and SCLK)
are test-access protocol pins and can be implemented as smart pins. The
SCANIN input to the GTAP test register is referred to as the test instruction
pin and can be implemented as a smart pin. The SCANOUT output from the
GTAP test register can also be implemented as a smart pin.
GTAP
TWE
GTAP TEST1
TEST Controller TEST2
SCAN (TP012 or
TP0B2) GTT Test Register
MCLK GST (TP000s or SCANOUT
SCLK TP0B0s)
SCANIN
Enable Test
Function 1
Enable Test
Function 2
... Enable Test
Function N
TP000 or TP0B0
GBUSENZ (LOW)
Master Slave
SCANIN D Q D Q SCANOUT
GTT C C
GST
Figure 8–3 illustrates how TP000 macros are integrated to form a test
register. The TP000 macros are chained together through their SCANIN and
SCANOUT signals. The SCANIN of the first TP000 is connected to an input
pin. The SCANOUT of the last TP000 is connected to an output pin. Most of
the remaining TP000 input signals (GTT, GST, and GBUSENZ) are
connected in parallel. The GTSTEN signals are also connected in parallel but
in two groups. These two groups correspond to the test register bit test
function, either TEST1 or TEST2. Descriptions of TEST1 and TEST2 are
presented later along with the GTAP controller.
TWE
TEST2
TEST1
LOW
GST
GTT S
Table 8–2 lists the test register codes for selecting an ASIC test. The test
register order is arbitrary.
VIH_VIL
SRAM CLK
ASIC Test PWRDN VIH/VIL OFF SELECT HI Z PMT_I/O MSELA MSELN MSELT
PWRDN STATE 1 0 1 0 0 0 0 0 0
VIH/VIL_CLK_A 0 1 0 0 1 0 0 0 0
VIH/VIL_CLK_B 0 1 0 1 0 0 0 0 0
IIH/IIL/IOZ 1 0 0 0 1 0 0 0 0
CURRENT
PU/PD 0 0 0 0 1 0 0 0 0
CURRENT
VOL/VOH 1 0 0 0 0 0 0 0 0
PMT TEST 1 0 0 0 0 1 1 0 0
ENABLE
BIST TEST 1 0 0 0 0 0 0 1 0
ENABLE
EXPANSION 1 0 0 0 0 0 0 0 1
8.4 Controller
The GTAP controller is the interface between the external device pins and the
test register. It also controls test function sequencing via a state machine.
Although the test register selects the test to be performed, the GTAP
controller enables the selected test.
The controller consists of five device pins: TEST, SCAN, MCLK, SCLK, and
SCANIN. Only TEST is dedicated; the rest can have normal input functions
but assume GTAP controller input functions when TEST is high.
TEST Dedicated test pin (low = normal mode, high = test mode)
SCAN Shared test pin option. While TEST is low, this pin behaves as a
normal input pin. While TEST is high, this pin behaves as a GTAP
controller input pin, and low-to-high transitions change the
controller state.
MCLK Shared test pin option. While TEST is low, this pin behaves as a
normal input pin. While TEST is high, this pin behaves as a GTAP
controller input pin; a low level holds each TP000 master latch,
and a high level passes data through each TP000 master latch.
SCLK Shared test pin option. While TEST is low, this pin behaves as a
normal input pin. While TEST is high, this pin behaves as a GTAP
controller input pin; a low level holds each TP000 slave latch, and
a high level passes data through each TP000 slave latch.
Upon entering test mode (TEST high), any smart-controller I/O signals
(excluding TEST) must be internally latched. Thus, the core logic continues
to see the last normal pin values. This isolates the core logic from the
controller signals. These signals remain latched until TEST returns low.
The test port operates in two distinct testing levels, TEST1 and TEST2. These
two levels serve to better control the testing environment.
0
TEST
1
TEST1
0
TEST
1
N
SCAN L>H
Y
WRITE
0
TEST
1
N
SCAN L>H
Y
RESTORE1
0
TEST
1
N
SCAN L>H
Y
TEST2
0
TEST
1
N
SCAN L>H
Y
RESTORE2
0
TEST
1
N
SCAN L>H
Y
Topic Page
9-1
Parallel Module Test of MegaModules
GTAP
TEST GTAP TWE
SCAN Controller
MCLK (TP012 or
SCLK TP0B2)) Test Register
(TP000s or TP0B0s) SCANOUT
SCANIN
TEST_INPUT Bus
TEST_OUTPUT Bus
MegaModule
2:1 MUX
S
IN OUT NORM_OUT
NORM_IN A
TEST_INPUT B TEST_OUT
2:1 MUX
S
Mega-
Y Module
A TEST_OUTPUT
B
TEST_INPUT
Identical MegaModules should have their outputs hooked to the output bus in
a unique order to ensure that the correct MegaModule is being addressed
during PMT testing.
Because this type of PMT passes the test buses through the I/O buffers, there
are fewer restrictions on the buffer types that can be used with TEST_IN and
TEST_OUT. The GTAP controller inputs cannot be used on either test bus.
2:1 MUX
S
PAD Core Logic A Y PAD
B Output
Input
Buffer
Buffer
2:1 MUX MSEL1
S
TEST_INPUT Mega- TEST_OUTPUT
BUS Y Module 1
A BUS
B
❏ A dedicated signal pin is required to initiate the test mode of the operation
(TEST signal on the TP012 or TP0B2 GTAP).
❏ The TEST pin and the four GTAP controller pins (SCAN, SCANIN, MCLK,
and SCLK) are not available for PMT data signals.
❏ The IOG12, SW010, and SW012 buffers cannot be used for PMT data
signals.
❏ VREF pins and bias generator pins cannot be used for PMT data signals.
Figure 9–5 and Figure 9–6 show the PMT implementation for single A/D
converter MegaModules. With the exception of the AIN signal, all
MegaModule TEST_INPUT signal connections are passed through an input
buffer to the B terminal of the MegaModule’s test collar input multiplexers. The
MegaModule’s test collar multiplexers select the core logic in or the
TEST_INPUT signal, depending on the PMT_IO test signal.
The analog input signals (AIN) pass through analog input buffers (SW010 or
IOG12) directly to the A/D MegaModule, bypassing the test collar.
Core Logic
SW010
PAD
S
AC810 Y PAD
A
PAD IOG12 AIN D7 B
S
Y
A
S
PAD B
Y PAD
A
S D0 B
Y CLRZ
A S
PAD B
Y PAD
A
S CONVZ B
Y SLEEP
A
PAD B
S
Y
A
PAD B
Normal_Z A
PMT_IO B
Core Logic
AC811/812
S TESTCLRZ
Y
A
PAD B
TEST
S
Y
A
PAD B
S
PAD IOG12 AIN
Y PAD
SW010 A
PAD D7 B
PAD TDI7
S
Y PAD
A
D0 B
PAD TDI0
S
Y
A
PAD B
Normal_Z
A
PMT_IO B
If the device has multiple A/D converters, busing can be used. All
TEST_ENABLE inputs can be bused together. All TEST_INPUT inputs can
be bused together. All TEST_OUTPUT outputs can be bused together. A bus
holder (PB110) is required for each bit of the TEST_OUTPUT bus.
Figure 9–7 and Figure 9–8 are examples of PMT for multiple analog-to-
digital converters.
Core Logic
AC810
S
PAD MSEL1
S
A
SW010 B A Y PAD
PAD IOG12 AIN D7 B
S
Y S
A
B CLRZ S
A
PAD B A Y PAD
D0 B
PAD S
SLEEP
A CONVZ
PAD B Bus
Hold-
MSEL2
S AC810
PAD
A
B Bus
PAD AIN D7 Holder
S
CLRZ
A Bus
S B Holder
Y D0
A
PAD B
S
A Y PAD
CONVZ B
S
SLEEP Normal_Z
A
B A
B
Core Logic
AC811/812
PAD TEST
TESTCLRZ
A Y
PAD B
S
S
Y MSEL1
PAD IOG12 IOG1 A S
SW010 B
AIN A Y PAD
D7 B
PAD
PAD TDI7 S
A Y PAD
D0 B
PAD TDI0
AC811/812 Bus
Hold-
TEST
S
Y TESTCLRZ Bus
A
B Holder
PAD S
MSEL2
A Y
B
PAD IOG12 AIN
SW010 D7
PAD
TDI7
S
D0
A Y
PAD B TDI0 Normal
A
PMT_IO B
Figure 9–9 shows the PMT implementation for single D/A converter
MegaModules. All MegaModule TEST_INPUT signal connections are
passed through an input buffer to the B terminal of the MegaModule’s test
collar multiplexers. The MegaModule’s test collar multiplexers select the core
logic in or the TEST_INPUT signal, depending on the PMT_IO signal.
The analog output signal (AOUT) passes directly from the D/A MegaModule
to an analog I/O buffer (IOG12).
DA811
S AOUT IOG12 PAD
A
PAD B S
A Y PAD
S TDO7 B
Y D7
A
Y
PAD B
S
Y PAD
A
S TDO0 B
Y D0
A
PAD B
Normal_Z
A
PMT_IO B
If the device has multiple D/A converters, busing can be used. All
TEST_ENABLE inputs can be bused together. All TEST_INPUT inputs can
be bused together. All TEST_OUTPUT outputs can be bused together. A bus
holder (PB110) is required for each bit of the TEST_OUTPUT bus.
Figure 9–10 and Figure 9–11 are examples of PMT for multiple digital-to-
analog converters.
Core Logic
DA810
S
Y CLRZ
A
PAD B AOUT IOG12 PAD
S
AY SLEEPZ
PAD B
S
MSEL1
AY S
B A Y PAD
TDO7 B
S
Y D7
A
PAD B S
A Y PAD
TDO0 B
S
Y D0
A
PAD B
DA810
S
CLRZ
AY AOUT IOG12 PAD
B
S
Y SLEEPZ Bus
A Holder
B MSEL2
S
AY Bus
PAD B TDO7 Holder
S
AY D7
B
TDO0
S
AY D0
B A
PMT_IO B
Core Logic
DA811
S
A Y AOUT IOG12 PAD
B MSEL1
S
S Y PAD
A
D7 TDO7
A Y B
PAD B
S
A Y PAD
S
Y D0 TDO0 B
A
PAD B
S DA811
S Bus
Holder
D7 TDO7
A Y
B
Bus
Holder
S
Y D0 TDO0
A
B A
PMT_IO B
Figure 9–12 shows the PMT implementation for a single differential amplifier.
The MegaModule’s analog input signals (INP and INM) pass directly through
analog I/O (IOG12) buffers into the differential amplifier. The MegaModule’s
analog output signal (AOUT) passes directly from the differential amplifier to
an analog I/O (IOG12) buffer.
Core Logic
DA811
S
A Y AOUT IOG12 PAD
B MSEL1
S
S Y PAD
A
D7 TDO7
A Y B
PAD B
S
A Y PAD
S
Y D0 TDO0 B
A
PAD B
S DA811
S Bus
Holder
D7 TDO7
A Y
B
Bus
Holder
S
Y D0 TDO0
A
B A
PMT_IO B
If the device has multiple differential amplifiers, busing can be used. All
TEST_ENABLE inputs can be bused together. All TEST_INPUT inputs can
be bused together.
Core Logic
DA811
S
A Y AOUT IOG12 PAD
B MSEL1
S
S Y PAD
A
D7 TDO7
A Y B
PAD B
S
A Y PAD
S
Y D0 TDO0 B
A
PAD B
S DA811
S Bus
Holder
D7 TDO7
A Y
B
Bus
Holder
S
Y D0 TDO0
A
B A
PMT_IO B
Parametric Measurements
Topic Page
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10–2
Input Threshold Voltage Levels Using a Clocked NAND Tree
(VIH_VIL TDL Type) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10–4
Output Voltage Levels (DC_PARA TDL Type) . . . . . . . . . . . . . . . 10–10
Three-State High-Impedance Measurements
(DC_PARA TDL Type) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10–11
Input Current Measurements (DC_PARA TDL Type) . . . . . . . . . 10–12
Quiescent Drain Supply Current (IDDQ TDL Type) . . . . . . . . . . 10–13
10-1
Overview
10.1 Overview
Parametric testing ensures conformance to the electrical data sheet. It
requires functional test patterns to be developed for parametric testing.
Parametric test patterns must be simulated to check for accuracy and for
circuit hazards such as bus contention. If the circuit has IEEE Standard
1149.1 boundary-scan architecture, the boundary-scan feature should be
used to facilitate input threshold, input leakage current, and output voltage
level measurements.
Most parametric testing pattern sets are assigned the DC_PARA TDL type.
The DC_PARA pattern set should provide 100 percent toggle of all I/Os. This
means that it drives all outputs to a logic low and a logic high. Bidirectional
and 3-state outputs are driven to the high-impedance state. It also drives all
inputs to a logic low and a logic high.
Parametric testing is completed with the dc current leakage pattern set that
is assigned the DC_PARA TDL type and the optional input threshold voltage
pattern set that is assigned the VIH_VIL TDL type.
The table below gives the required TDL states for the various signal types.
Bidi (BTL/CTL/GTL) {0 L H}
10.2 Input Threshold Voltage Levels Using a Clocked NAND Tree (VIH_VIL
TDL Type)
VIH_VIL TDLs are optional.
Circuits that implement boundary scan can be used to measure VIH and VIL.
The SAMPLE/PRELOAD and EXTEST instructions can be used to capture
the input logic level. The capture is scanned out for comparison to expected
values. Three test patterns are required. They are a safe circuit initialization
pattern, an all-inputs-at-VIL pattern (inputs include bidirectionals), and an all-
inputs-at-VIH pattern. For more information, see Chapter 13, IEEE Standard
1149.1-Based dc Parametric Testing.
Circuits that are not IEEE Standard 1149.1-compliant need threshold circuitry
to be added. Figure 10–1 shows the recommended circuitry commonly
referred to as a clocked NAND tree. This approach requires the addition of a
2-input NAND gate to every input pin as well as CLK and VIH_VIL OUT pins.
The NAND tree provides a purely combinatorial path from all inputs to a
single VIH_VIL OUT through a flip-flop. Do not use a bidirectional buffer for
the VIH_VIL OUT. The first NAND gate in the tree has one input connected
to the high terminal of a tie-off cell.
The flip-flop at the end of the NAND tree serves to break up a positive
feedback loop between an input buffer and the NAND tree output. All the input
buffers are driven to VIHmin and VILmax during VIH/VIL testing of the silicon.
When the NAND tree output switches, it causes some power bus noise and
some input threshold voltage shifting. Sometimes this threshold shifting is
sufficient to cause an input buffer to output the opposite state. The input buffer
may cause the NAND tree to switch again, completing the positive feedback
loop. The positivw feedback loop can also exist between asynchronous inputs
and outputs. for this reason, TI recommends that you exclude clocks, resets,
presets, and other asynchronous inputs from the NAND-tree circuitry.
DTN12
D
Q
CLK To Functional Logic
(Dedicated)
(Dedicated)
PAD VIH_VIL_TEST_OUT
The test patterns are specific. The first pattern consists of VIH threshold
voltages on all inputs. The threshold voltages are then applied in accordance
with Table 10–2. A circuit containing M number of input pins needs 2(M+1)
test patterns to test both VIH and VIL thresholds.
= 1 1 ... 1 1 1 0
= 0 1 ... 1 1 0 1
. . . . . . .
. . . . . . .
. . . . . . .
1 0 0 ... 1 1 1 1
= 0 0 ... 0 1 0 0
= 0 0 ... 0 0 1 1
= 0 0 ... 0 1 0 0
= 0 0 ... 1 1 1 1
. . . . . . .
. . . . . . .
. . . . . . .
= 0 1 ... 1 1 0 1
= 1 1 ... 1 1 1 0
On designs that have a bidirectional bus, running the normal NAND tree
patterns may cause bus conflict conditions. This problem can be resolved by
placing the TTL or CMOS bidirectional drivers in the high-impedance mode
with a HI-Z signal. For ECL bidirectional pins, the Hi-Z signal puts the output
driver in the cutoff mode. See Figure 10–2 for an example.
For designs that do not have extra pins available for CLK and VIH_VIL OUT,
these signals can be multiplexed with other signal pins. See Figure 10–3 for
an example. The test patterns for the example in Figure 10–3 must be
modified and are shown in Table 10–3. Test pattern generation is simplified if
CLK1 is placed on the input whose NAND gate is connected to the tie-off cell.
The placement of CLK2 is arbitrary.
PAD
To Functional Logic
PAD
To Functional Logic
To NAND Tree
HI-Z (From the GTAP)
MU111
S
A Y To Functional Logic
INM B DTN12
(Shared CLK2) MU111
D
VIL_VIH Clock
S Q
Select (GTAP)
A Y
B
MU111
VIL_VIH
Enable (GTAP) S
From Functional Shared
A Y PAD VIH_VIL_Test_OUT
Logic
B
From Functional
Logic
L = H H ... H H 0 1
L = L H ... H H 1 0
L = L L ... H H 0 1
. . . . . . . .
. . . . . . . .
. . . . . . . .
L = L L ... L H 0 0
L = L L ... L L 1 1
H L L L ... H = 0 0
. . . . . . . .
. . . . . . . .
. . . . . . . .
H L L H ... H = 0 1
H L H H ... H = 1 0
H H H H ... H = 0 1
The same safe circuit initialization pattern set can be used for all input and
output parametric measurements using boundary scan.
When pullups or pulldowns are used on your outputs, you will need to supply
test patterns to both disable and enable them while the output is high-
impedance. Leakage measurements are made when the pullup or pulldown
is disabled. DC through current measurements are made when the pullup or
pulldown is enabled.
If boundary scan is not used, the input current measurements are made from
a functional pattern set. The pattern set must force all inputs to logic 1 and
logic 0. Be sure to simulate this pattern set to verify that all inputs are
exercised high and low, and to avoid circuit hazards such as bus contention.
When pullups or pulldowns are used on your inputs, you will need to supply
test patterns to both disable and enable them. Leakage measurements are
made when the pullup or pulldown is disabled. DC through current
measurements are made when the pullup or pulldown is disabled.
Test conditions for IDDQ must turn off all circuits that produce dc current in the
static state and are assigned the IDDQ TDL type. The circuit design and test
pattern must eliminate the following sources of dc current.
For IDDQ testing, the tester pauses at the designated test pattern and
measures the power pin current. The pause can last several hundred
milliseconds for a production test to several minutes during a debug process.
For this reason, the designated IDDQ test vector must permit an indefinite
pause without damage to the device and maintain the ASIC device’s logic
initialization.
❏ Some macros, such as ECL I/O buffers, cannot disable their dc through-
current, but are isolated by a power pin independent of the core logic’s
power pin. This allows IDDQ measurements to be made on the core logic.
❏ Floating internal nodes, floating I/O inputs, and internal bus contention
cause dc through-current and must be avoided.
Topic Page
11-1
Introduction to Automatic Test Pattern Generation
The circuit design environment has evolved dramatically over the past few
years, providing computing power and software to aid in almost every phase
of the design process. Schematic capture, simulation, test extraction, and
layout represent the essential software programs for a complete design
system. Semiconductor process technology has kept pace, permitting
implementation of extremely complex logic systems on a single chip. This
has resulted in an increase in the difficulty of chip testing.
Much of the added testing difficulty is due to higher gate density. Packing
more logic onto one circuit greatly increases the gate-to-I/O ratio and
decreases the accessibility of that logic. Allowing a reasonable number of I/
O signals to be dedicated for testing can ease the testing process
considerably. These test signals can be used to add controllability or
observability to the circuit in a variety of ways. For many designs, setting
aside the desired number of dedicated test pins is not possible due to pinout
constraints. In spite of these obstacles, a complete and efficient test program
must be generated.
Most often, designers specify a functional simulation, and the test program is
automatically extracted from the functional simulation results. Fault grading
tools allow you to grade the test program by calculating a fault coverage
percentage. You can then add to the test program to increase the fault
coverage to an acceptable level.
An integrated design environment begins with circuit design entry (as shown
in Figure 11–1) and proceeds with electrical rules checking and simulation.
During the design process, it is often necessary to modify the circuit design
to correct errors and include enhancements. Once the design has been
stabilized, the process of test generation must be accomplished. It is
important for testing considerations to be addressed early in the design
phase so that test generation becomes a task of implementing the predefined
testing plan. You should decide on a target percentage for fault coverage as
soon as possible, because a high target percentage may require the adoption
of certain circuit design methods.
Electrical Rules
Checking
Simulation
Test Generation
Fault Simulation
Layout
Fabrication
It is possible that, during the ATPG phase, the need for additional test points
to achieve the target percentage becomes apparent. (See Chapter , Ad Hoc
Testability Practices, and Chapter 6, Structured Testability Practices, for
approaches to designing for testability.) If this is the case, the netlist must be
modified and resimulated. It is important, in terms of efficiency and
effectiveness, that the ATPG software be integrated into the design
environment. ATPG tools require the circuit description at gate level, all circuit
timing information, any pregenerated functional test patterns and any user-
specified parameters. The software uses this information to follow a flow
similar to that shown in Figure 11–2.
Fault Simulation
Eliminate Additionally
Detected Faults from
Consideration
In this flow, the first step is to check the circuit for adherence to any design-
for-testability rules imposed by the ATPG tool. Fault simulation is then
performed with the optional user-generated functional patterns. All faults
detected by these patterns are tagged and eliminated from the ATPG
process. Any remaining undetected faults are passed to the ATPG algorithm
where tests are generated for these faults.
Fault simulation is rerun to check the effects of generating the input patterns
necessary to check the faults under consideration, and to identify any
previously undetected faults that may have been detected by this pattern.
Iteration of this process continues until the desired fault coverage is achieved.
Fault simulation, as an intermediate step, checks the targeted fault as well as
all other undetected faults, thus reducing the number of ATPG iterations.
2) Assign the faulty wire a value opposite to the fault condition (such as ode
stuck-at-zero). This allows sensitization for the expected signal.
4) Sensitize this path by assigning logic values to gate inputs along the path
such that the signal is passed to the circuit output.
5) Execute the test to detect the signal by determining the network inputs
that produce the desired values on gate inputs along the sensitized path.
Current ATPG tools are unable to achieve good results on complex designs
without DFT features. Most of the commercial, general-purpose ATPG tools
use scan techniques. Scan techniques fall into two broad categories: full scan
and partial scan.
❏ No combinatorial-feedback loops
❏ No asynchronous timing
11.7 Summary
When embarking on an ATPG methodology, you must consider many aspects
of the total design environment, such as:
This chapter discusses test pattern generation. Test patterns must operate on
automated test equipment (ATE).
Topic Page
12-1
Introduction to Testing
Logic simulators are used to explore the Boolean and timing responses of a
circuit by applying a user-defined set of input stimuli. If you have done a good
job, the set of input stimuli can be used to control and observe the behavior
of all nodes in the circuit.
Automated test equipment (ATE) used to screen integrated circuits does not
have the same features as the logic simulator. Access to internal nodes is not
possible, and propagation delays need to be measured from inputs to outputs
of the device. Testing a chip is performed by applying binary patterns (input
vectors) to stimulate the logic inputs and then comparing the output response
to the values predicted by simulation.
Data
Input
Primary
Clock
Output
Strobe
Test Period
Each vector applied to the device under test (DUT) is stored in the ATE
pattern memory. If the number of test vectors exceeds the ATE pattern
memory capacity, two or more memory reloads from disk would be required,
greatly increasing the test time. Minimizing the number of test vectors is
desirable not only to reduce the test run time, but also to reduce simulation
and fault grading times.
The number of primary clocks and strobe times that can be applied to the
DUT is a function of the number of timing generators available in the ATE. By
programming these timing generators, you can select multiple clocks or
strobe timing relationships with respect to the test period.
Data Control
Structures
Logic
Control
IN5
IN4
IN3
IN2
IN1
CLK2
CLK1
Input Timing Data OUT1
OUT2
Input and Clock DUT
Pattern Data
CC OO Output
LLIIIIIUU
KKNNNNNTT Pattern Test Data
121234512 Data
SETR P := ’CCHHLLHAA’; Compared Pass
SETR P := ’CCLHLHL11’; -1
Strobe
SETR P := ’CCHLHHH1Z’; Enable
SETR P := ’CCHHLLH10’; Timing
Test Patterns
(TDL Data)
The minimum duration of the test period varies from system to system and
determines the frequency range at which a device can be tested. Typically,
however, there are other constraints that require the testing frequency to be
much lower than the capability of the tester.
A major factor influencing testing is called period slip. In some cases, the
exact time in which the output signal becomes valid is not critical to the
system operation. It is very important to the ATE. Testing systems compare
the output states to the expected responses on a period-by-period basis by
activating strobe signals (Figure 12–1 and Figure 12–2) which, in turn,
enable a set of comparators connected to the output channels. If output
responses slip from one test period to the next, the device fails even though
it may be fully functional at the system level (see Figure 12–3). In order to
minimize this problem, functionality of devices is verified at a relatively low
Pass
Output
Signal at
Min Delay
Output
Signal at
Max Delay Fail
Strobe
Test Period N Test Period N+1
Properly constructed test patterns should contain input signals and expected
output responses as observed during simulation. For any circuit containing
sequential logic, test patterns consist of two parts:
2) The actual test vectors used to stimulate input and output pins.
If a device contains internal 3-state buses, you must arrange the enable logic
so that the buses are always driven (not left in the high-impedance state). A
bus holder cell prevents bus floating by maintaining the last state on the bus
in a way similar to a latch. Furthermore, internal bus contention must be
avoided to ensure the device initialization is not upset by noise resulting from
the contention.
Before TDL can be generated, the following concepts and limitations must be
understood and applied when setting up the simulation runs for the functional
and scan tests. These concepts include input delay groups, clocks, and
output strobe groups.
An input delay group is a set of input signals that transition at the same time.
Every input or bidirectional signal must be assigned to one, and only one,
input delay group.
Figure 12–4 illustrates the relationship between the input delay groups and
the test period.
Test Period
Input signals other than clocks can have either zero or one transition within a
test period. All transitions of the input delay groups must occur no earlier than
the specified minimum or later than the specified maximum delay time after
the beginning of a test period. The defined timing for input delay groups may
not change within any particular test pattern set. The input signal capabilities
for each tester are specified in the design manual for the gate array family.
(HOLD1) (HOLD0)
Delay Width
Return-to-One
(10 ns) (11.5 ns)
Minimum
Clock
Pulse Width
Minimum
Clock
Pulse Width
The outputs of the device are sampled at a specified time into the test period.
An output strobe group is a set of outputs that are sampled at the same time.
Figure 12–7 shows the restrictions on the output strobe timing. Output
transitions must not occur in this window. The defined delay for an output
strobe group may not change within a test pattern set. Strobe capabilities for
each tester are specified in the design manual for the gate array family.
Strobe Offset
Strobe
ATE loads must be applied to the output during simulation. Figure 12–8
illustrates ATE loads to be used.
50 W
C = 45 pF for V-Series
= 75 pF for T3320/T3340
Termination
Voltage
At-Speed Testing
The capabilities for each tester are specified in the design manual for the gate
array family.
Pin-to-Pin Testing
Keywords can be inserted into the TDL ASIC_TEST statement to define a test
to be included in the test program. Propagation delay measurements have
the following capabilities:
❏ Delay from a clock edge to an output. Pass or fail using max values
Guard-banding the limits are automatically done at test to account for tester
accuracy.
Synchronous clocks means that all clock periods are multiples of the cycle
time. Example 0-1 shows three common TDL statements.
The PERIOD, DELAY, CLOCK, and STROBE statements provide the timing
data. The SETR statement defines the logic state data. For logic state
definitions, refer to Table 0-1.
The IDDQ statement specifies the test vector used to measure the quiescent
power pin current. The PROP statement measures the time difference
between a transition on the FROM signal to a transition on the TO signal.
Place an ASIC_TEST statement after the SETR statement that facilitates the
test.
Offset = 20 ns H
IN[1] L
Offset = 35 ns
CLK C
Pulse Width = 25 ns
OUT[1] 0 1
OUT[1]
STROBE
Strobe Offset = 190 ns
A portion of a TDL file containing one initialization vector and four test vectors
is shown in Example 12–2. The patterns are defined by the character strings
enclosed in single quotes. There are seven inputs (CLOCK, IN1-1N6) and
four outputs (OUT1-OUT4).
Figure 0-10 shows the relationship between the set of test vectors and the
corresponding logic waveforms.
Figure 12–10. Relationship Between Test Vectors and Corresponding Logic Waveforms
CLOCK
IN1
IN2
IN3
IN4
IN5
IN6
OUT1
OUT2
OUT3
OUT4
CLOCK
OUT1
OUT2
OUT3
OUT4
IN1
IN2
IN3
IN4
IN5
IN6
Required keywords:
Optional keywords:
CLKEDGE Is required if the FROM signal is a clock. The two values for this parameter are
LEADING and TRAILING.
LEADING indicates the positive-going edge of a 010 clock or the negative-going edge
of a 101 clock.
TRAILING indicates the negative-going edge of a 010 clock or the positive-going edge
of a 101 clock.
MIN Indicates minimum limits. Minimum limit testing is performed only by special request.
Keyword fields can be in any order. If the timing fields require more than one
line, each line must be terminated with a comma (,). The last line must end
with a double quote and semicolon (”;).
SETR P:T’HC1’;
ASIC_TEST=“PROP FROM=IN1,TO=OUT1,MAX=85NS,
REJECT=YES”;
If the input signal is a clock, the edge must be specified. See Example 0-5 for
the specification of a clock-to-output measurement.
During functional testing, TDL defines conditions for all signal pins on a
device. During the serial load and unload of a scan path, the only input data
pins that are driven by changing data are the scanin pins. The only output or
bidirectional pins that are unmasked are the scanout pins. Clock pins are also
active. The functional TDL, which describes the conditions for all signal pins
in every cycle, contains a massive amount of redundant information.
TDL can describe just the nonredundant information. It minimizes the file size
and vector processing costs. Additional statements used are:
PATH This statement defines the scanin and scanout pins for a particular scan path. A scanin
pin must be an input (IN). A scanout pin must be an output (OUT). Bidirectional pins
(INOUT) can be used for either scanin or scanout, but this is not recommended because
it requires extra circuitry.
SET This statement is used to provide values for all pins prior to a SCAN statement. The
scan pin values in the SCAN statement take precedence over the SET statement
values. The pin values defined in the SET statement are not applied until the SCAN
statement is executed.
SCAN The SCAN statement indicates that scanning is to be performed using the scan pin
values, described by SCAN_IN and SCAN_OUT. The number of scan cycles is
determined by the loop_count parameter.
STROBEVAR=(OUT1,OUT2,OUT3,OUT4,SCANOUT),OFFSET=190NS;
Example 0-7 shows the scan vectors from Example 0-6 written in functional
TDL format. Notice how the redundant information expands the vectors.
Required keyword:
Optional keywords:
MIN <value> specifies the minimum allowed IDDQ value. <value> follows the usual conventions
for current specifications.
MAX <value> specifies the maximum allowed IDDQ value. <value> follows the usual conventions
for current specifications.
If no limits are included, the IDDQ defaults for the given technology type are
used.
For more reference material on the test program generation, refer to the
following sources:
❏ Part 2 of this document, the Generic Test Access Port Application Report
❏ Part 5 of this document, the ASIC TDL 91 Reference Guide
❏ Part 6 of this document, the ASIC TDL 91 and Scan Designs
Reference Guide
The standard test access port works on all IEEE Standard 1149.1 devices.
The GTAP configuration requires additional circuitry for improved dc
parametric test capabilities.
Topic Page
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13–2
Boundary-Scan Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13–3
Parametric Measurements Using Boundary-Scan Architecture 13–10
Integrating Boundary-Scan Architecture and GTAP . . . . . . . . . 13–18
13-1
Introduction
13.1 Introduction
The IEEE Standard 1149.1-1990 defines a standard test access port and
boundary-scan architecture. This circuitry is primarily intended to test system
interconnect. All device I/O pins can be made observable and controllable
respectively through boundary-scan cells. The IEEE Standard 1149.1 can
independently control the device I/O pins to send/receive test signals to and
from other IEEE Standard 1149.1 devices to test the interconnect. This
capability can also be used for dc parametric testing. For example, by
applying test patterns to the input pins and observing these pins with IEEE
Standard 1149, a VIH/VIL test can be made. Also, a VOH/VOL test can be
made by driving test patterns from the output pins with IEEE Standard 1149.1
and capturing the output pin values with IC automated test equipment (ATE).
The IEEE Standard 1149.1 has limited provisions for device internal testing
as well. The boundary-scan cells on the I/O pins can also drive test patterns
into the device and capture the device’s response to the test patterns,
respectively. In addition to this capability, new capabilities can be integrated
into the boundary-scan architecture through user-defined test data registers.
Examples of test data registers are internal scan paths.
Boundary-Scan Register
TDI
Bypass Register
Instruction Register
* Note: Optional
Instruction Decode
TMS
TAP Controller
TCK
PU/PD
SO SI 2-State
Input Output
Pin PI PO PI PO
SI Pin
SO
PU/PD
SO SI
Input
PI PO PI PO
Pin
SI SO
PU/PD
PU/PD
SO On-Chip SI
3-State
Input System Output
PI PO PI PO BUF
Pin Logic Pin
SI SO
PU/PD
SO SI
Input
Pin PI PO PI PO
SI SO
PU/PD
SO SI Input/
PI PO PI PO BUF Output
Pin
SI SO
SCAN SCAN
IN OUT
A 1-bit bypass register is required to put the test circuit in a bypass mode.
Boundary-scan permits optional circuits such as a device ID register, and
user-defined test data registers. All communication with the boundary-scan
registers is serial through the TDI/TDO pins. The test access port (TAP) is a
16-state controller. The TAP is controlled via the TMS/TCK pins. Refer to the
IEEE Standard 1149.1 for a more complete description of this circuit.
SIGNAL IN 1
Y SIGNAL
1 OUT
MUX1
SHIFT/LOAD G1 SCANOUT
1 REG1 REG2
Y 1D Q 1D Q REG1 or REG2 may be
SCANIN 1 implemented
C1 C1 as latches
CLOCK A CLOCK B
The TAP is the interface to the IEEE Standard 1149.1 circuitry. The TAP is a
16-state controller that uses a serial communication protocol. The TAP can
change state on each rising edge of TCK depending on the value of TMS and
the current TAP state. Figure 13–4 illustrates the 16 TAP controller states. On
power up, the TAP can be in any state. Holding TMS high and applying five
rising edges on TCK guarantees that the TAP is in the Test-Logic-Reset state
regardless of the original state.
The TAP controller consists of two major state groups: Data Register (DR)
and Instruction Register (IR). These two groups are functionally identical. The
IR state group handles sampling (Capture-IR), loading/unloading (Shift-IR),
and activating (Update-IR) the instruction register. The DR state group
performs the same functions but for all other registers, i.e., boundary-scan,
device ID, test data register, etc. The instruction register contents select the
specific DR register. Only during the Shift-DR and Shift-IR states are the TDI
and TDO pins used for shifting in/out register data. All shifting is done
synchronous to the TCK clock. During all other states, the TDO pin is in high-
impedance mode.
1 Test-Logic-Reset
1 1 1
0 Run-Test/Idle Select-DR-Scan Select-IR-Scan
0 0
1 1
Capture-DR Capture-IR
0 0
Shift-DR 0 Shift-IR 0
1 1
Exit1-DR 1 Exit1-IR 1
0 0
Pause-DR 0 Pause-IR 0
1 1
0 0
Exit2-DR Exit2-IR
1 1
Update-DR Update-IR
1 0 1 0
The SAMPLE operation occurs during the Capture-DR state and the next
rising edge of TCK. All I/O data is captured in the BSR capture flip-flops from
where it is serially shifted to the TDO pin on the rising edge of TCK during the
Shift-DR states. PRELOAD data can be simultaneously shifted in with the
shift out. The PRELOAD data is loaded into the BSR update flip-flops during
the Update-DR state and the next falling edge of TCK. The binary value for
the SAMPLE/PRELOAD instruction is user-definable.
The EXTEST instruction is designed to test external chip circuitry. The output
BSC’s update flip-flop controls the device output pins. The input pins are
observable by the input BSC’s capture flip-flops. During the Capture-DR state
and the next rising edge of TCK, all input signals are clocked into the capture
flip-flops. Captured data is shifted out, and new output data (similar to
PRELOAD data) is shifted in on the rising edge of TCK during the Shift-DR
state. The new output is loaded into the update flip-flop during the Update-DR
state and the next falling edge of TCK. The binary value for the EXTEST
instruction is all zeros.
VIH/VIL ✓ ✓
VOH/VOL ✓ ✓
IDDQ ✓ ✓ ✓
IIH/IIL ✓ ✓ ✓
IOZ ✓ ✓ ✓
Note: Device initialization can require both boundary-scan and test vectors.
2) Initialize the TAP controller by setting TMS to a logic high and toggling
TCK with five rising clock edges.
3) Apply sufficient normal vectors to prevent device states that may harm
the device (i.e., prevent bus contention).
3) Serially apply boundary-scan register data to the TDI pin and clock each
bit with the rising edge of TCK. The boundary-scan register data must
force all bidirectional pins to input mode.
Step 3: Apply a broadside VIH test pattern (all inputs high) to device.
Step 4: Capture the VIH input pin signals. Transition TAP through the
following states:
Update-IR→Select-DR-Scan→Capture-DR
3) Serially reapply boundary-scan register data to the TDI pin and clock
each bit with the rising edge of TCK. The boundary-scan register data
must force all bidirectional pins to input mode. Serially verify each value
on the TDO pin.
Step 6: Apply a broadside VIL (all inputs low) test pattern to device.
Step 7: Capture the VIL input pin signals. Transition TAP through the
following states: Update-DR→Select -DR-Scan→Capture-DR
3) Serially reapply boundary-scan register data to TDI pin and clock each
bit with the rising edge of TCK. The boundary-scan register data must
force all bidirectional pins to input mode. Serially verify each value on the
TDO pin.
Step 9: For Schmitt-trigger inputs, repeat VIH test (Step 3 through Step 5).
Step 10: Reset the TAP. Transition TAP through the following states:
Update-DR→Select-DR-Scan→Select-IR-Scan→
Test-Logic-Reset
2) Initialize the TAP controller by setting TMS to a logic high and toggling
TCK with five rising clock edges.
3) Apply sufficient normal vectors to prevent device states that may harm
the device (i.e., prevent bus contention).
Step 2: Set all 3-state and bidirectional pins to output mode and VOH
pattern (all outputs high).
3) Serially apply boundary-scan register data to the TDI pin and clock each
bit with the rising edge of TCK. The boundary-scan register data must
force all 3-state and bidirectional pins to output mode. All output pins
should have VOH values.
Step 3: Measure the VOH values at each output pin with the ATE.
Step 4: Set all 3-state and bidirectional pins to output mode and VOL pattern
(all outputs low).
2) Serially apply boundary-scan register data to the TDI pin and clock each
bit with the rising edge of TCK. The boundary-scan register data must
force all 3-state and bidirectional pins to output mode. All output pins
should have VOL values.
Step 5: Measure the VOL values at each output pin with the ATE.
Step 6: Reset the TAP. Transition TAP through the following states: Update-
DR→Select-DR-Scan→Select-IR-Scan→
Test-Logic-Reset
Step 1: Set ATE for an IDDQ test. All output and bidirectional pins are
unloaded.
2) Initialize the TAP controller by setting TMS to a logic high and toggling
TCK with five rising clock edges.
3) Apply sufficient normal vectors to prevent device states that may harm
the device (that is, prevent bus contention).
Step 3: Force SRAMs into standby mode by whatever means have been
provided. Usually, normal vectors are required to disable the
SRAMs.
Step 7: Repeat Step 4 through Step 6 for all vectors of interest. Care must
be taken so that the SRAMs are never turned on.
2) Initialize the TAP controller by setting TMS to a logic high and toggling
TCK with five rising clock edges.
3) Apply sufficient normal vectors to prevent device states that may harm
the device (i.e., prevent bus contention).
3) Serially apply boundary-scan register data to TDI pin and clock each bit
with rising edge of TCK. The boundary-scan register data must force all
bidirectional pins to input mode.
Step 3: Apply a broadside IIH/IIL test pattern (alternating highs and lows on
adjacent device pins) to the device. This pattern is designed to
Step 5: Measure IIH/IIL leakage current at each input pin with the ATE.
Step 7: Repeat Step 3 through Step 6 but with through-current devices left
on to measure bias current.
Step 8: Reset the TAP. Transition TAP through the following states:
Update-IR→Select-DR-Scan→Select-IR-Scan→
Test-Logic-Reset
2) Initialize the TAP controller by setting TMS to a logic high and toggling
TCK with five rising clock edges.
3) Apply sufficient normal vectors to prevent device states that may harm
the device (i.e., prevent bus contention).
Step 2: Set all bidirectional pins to input mode and all 3-state output buffers
to high-impedance state.
3) Serially apply boundary-scan register data to TDI pin and clock each bit
with the rising edge of TCK. The boundary-scan register data must force
all bidirectional pins to output mode. All 3-state output pins should be in
high-impedance state.
Step 2: Measure IOZ leakage current at each 3-state output pin with the
ATE.
Step 3: Repeat Step 3 through Step 4 but with through-current devices left
on to measure pullup/pulldown current.
Step 4: Reset the TAP. Transition TAP through the following states:
Update-IR→Select-DR-Scan→Select-IR-Scan→
Test-Logic-Reset
The test access port (TAP) signals consist of three input signals (TMS, TCK,
and TDI), one output signal (TDO), and one optional input signal (TRST).
Unlike all other device pins, the TAP pins are excluded from the boundary-
scan register. A different approach is required for dc parametric tests on TAP
signals.
The TAP signals are tested indirectly. The input signals are manipulated to
produce a predictable and unique output signal. If the expected output
occurs, then the input must have been received correctly. All input and output
signals experience both logic highs and lows.
Step 1: Initialize the TAP controller to the Test-Logic-Reset state. Hold TMS
to a logic high while toggling TCK with five rising edges. TMS is
always loaded on the rising edge to TCK.
Step 2: Step the TAP controller to the Shift-IR state with the following TMS
sequence, 0-1-1-0-0. The TDO output should be in high-impedance
state during this sequence. The last rising edge of TCK (1) loads the
instruction register’s two least significant bits (LSBs) with 01 where
1 is the LSB (closest to TDO) and (2) enables the TDO output. The
latter event indicates entry into Shift-IR state (see Note). For this to
occur, the TMS and TCK must have successfully decoded logic
highs and logic lows. This indirectly confirms TMS and TCK VIH/VIL
capability.
The Shift-DR state also enables the TDO output. However, the
Shift-DR state cannot be arrived at following a TMS sequence of
0-1-1-0-0. If TMS fails VIH/VIL, then it would decode 1-1-1-1-1 or
0-0-0-0-0, neither of which could arrive at Shift-DR or Shift-IR
state.
Step 3: The TAP controller stays in Shift-IR state while TMS is held to logic
low. For the n-bit instruction register, apply n TCK clock pulses to
shift out the instruction register. The first two bits shifted out should
be a logic high followed by a logic low. These two output bits
constitute the TDO VOH/VOL test. Simultaneously, the first two bits
shifted in should be a logic high followed by a logic low.
Step 4: Apply two more TCK clocks. The next TDO values should be a logic
high followed by a logic low. These bits are a result of the first two
bits shifted in. This event indirectly confirms TDI VIH/VIL capability.
The generic test access port (GTAP) is a TI ASIC test controller. The GTAP
is designed to ease dc parametric testing in addition to other functions. The
GTAP consists of a state-machine controller and a GTAP test register. If a
device adheres to IEEE Std 1149.1, the GTAP controller is not necessary.
The TAP controller becomes the primary test access port. The GTAP test
register can be integrated into the boundary-scan architecture as a test data
register. Refer to Figure 13–5.
Boundary-Scan Register
Instruction Register
TEST_REG
Instruction Decode
TMS Shift_DR
TAP Controller Run-Test/Idle
TCK
* Note: Optional
LOW
G GZ G GZ G GZ G GZ
GTT GTT GTT GTT
GTT C1 C1 C1 C1
GST GST GST GST
GST C2 C2 C2 C2
TDIQ
TDI D Q SI SO SI SO SI SO SI SO TDO
TCK
TDI A B C D
TDIQ A B C D
GTT
GST
IV110
The Test Data Output (TDO) pin can be used as an external test activation
control to facilitate fast dc parametric testing (i.e., ICCQ testing). According to
IEEE Standard 1149.1 Rule 3.5.1b, “The TDO driver shall be set to its inactive
drive state except when the scanning of data is in progress.” An optional
pullup may be required for the TDO pin to ensure no accidental activations
(see Figure 13–9 and Figure 13–10).
SCAN_ENBL
To TST_ENBL Circuit
TEST_REG
Run-Test/Idle TST_ENBL
3-Input AND
Gate
TDO
The GTAP test register’s selected test features can be activated for dc
parametric testing under the following conditions:
Alternatively, an unused or shared input device pin can be dedicated for this
function. Some devices may not have any unused pins. Also, sharing a pin is
device-specific. By using the TDO pin (which always exists), integration into
the TI flow is simplified. This proposal assumes adoption of test activation
control via the TDO pin.
The IDDQ and IOZ tests are different when the GTAP test register is included.
The other tests are the same as without the GTAP test register.
VIH/VIL Test
The VIH/VIL test is the same as for the boundary-scan architecture without the
GTAP test register.
VOH/VOL Test
The VOH/VOL test is the same as for the boundary-scan architecture without
the GTAP test register.
IDDQ Test
Step 1: Set ATE for an IDDQ test. All output and bidirectional pins are
unloaded.
2) Initialize the TAP controller by setting TMS to a logic high and toggling
TCK with five rising clock edges.
3) Apply sufficient normal vectors to prevent device states that may harm
the device (i.e., prevent bus contention).
3) Serially apply GTAP test register data to the TDI pin and clock each bit
with the rising edge of TCK. The GTAP test register data is programmed
to force SRAMs into standby mode and turn off through-current macros.
5) Upon leaving the Shift-DR TAP state, force the TDO pin high.
Step 5: Force SRAMs into standby mode and turn off through-current
devices (pullups and pulldowns) by forcing the TDO pin low.
Step 9: Reset the TAP. Transition TAP through the following states:
Select-DR-Scan→Select-IR-Scan→Test-Logic-Reset
IIH/IIL Test
The IIH/IIL test is the same as for the boundary-scan architecture without the
GTAP test register.
IOZ Test
2) Initialize the TAP controller by setting TMS to a logic high and toggling
TCK with five rising clock edges.
3) Apply sufficient normal vectors to prevent device states that may harm
the device (that is, prevent bus contention).
3) Serially apply GTAP test register data to TDI pin and clock each bit with
rising edge of TCK. The GTAP test register data is programmed to turn
off through-current macros.
5) Upon leaving the Shift-DR TAP state, force the TDO pin high.
Step 3: Set all bidirectional pins to input mode and all 3-state output buffers
to high-impedance state.
3) Serially apply boundary-scan register data to TDI pin and clock each bit
with rising edge of TCK. The boundary-scan register data must force all
bidirectional pins to output mode. All 3-state output pins should be in
high-impedance state.
Step 5: Measure IOZ leakage current at each 3-state output pin with the
ATE.
Step 7: Repeat Step 3 through Step 6 but with through-current devices left
on to measure pullup/pulldown current.
Step 8: Reset the TAP. Transition TAP through the following states:
Select-DR-Scan→Select-IR-Scan→Test-Logic-Reset
The VIH/VIL and VOH/VOL tests are the same as for the boundary-scan
architecture without the GTAP test register. Same as for boundary-scan
architecture without GTAP test register.
Military ASIC
Topic Page
14-1
Military-Specific Design Information
❏ Military family data sheets that contain product and macro library
descriptions, an overview of the design flow and tools, and a summary of
the product families.
Glossary
A
ACE: ASIC Compiler Environment. The graphical user interface delivery
mechanism for submicron gate-array memory compiler elements.
ASIC TDL 91: An improved TI TDL format that supports both narrow and
wide (SCAN) TDL. Narrow TDL lists the ATE state for all pins in every
cycle. Wide or SCAN TDL allows a description of only nonredundant ATE
states and pins.
at-speed testing: Refers to test vectors that target the detection of delay
faults. The term usually apllies to test vectors that operate at design
frequency or that validate setup conditions.
1
B
back annotation: The process of updating the design database with actual
interconnect delays (as opposed to estimations by design CAD soft-
ware). The actual delays are calculated after placement and routing,
when exact interconnect lengths are known.
bus: A data distribution path that typically has multiple data receivers and
can have multiple data sources. Bus structures must be driven by three-
state drivers. The drivers must be capable of disconnecting from the bus,
and only one such source can be active at one time.
bus contention: If more than one bus driver is active with conflicting output
levels at the same time, neither driver may be able to assert a true logic
level on the bus line. The result could be excessive drive current, unde-
fined logic levels, and possible device failure.
bus holder: A logic device that prevents a bus from floating if all bus drivers
are placed into the high-impedance state. It maintains the last logic state.
C
cell: An individual component of a library (typically a logic gate; for example,
a NA210 2-input NAND gate). See macro.
core logic: All logic functions except I/O buffers are core logic.
D
delay fault: A fault in a circuit that causes failure to meet ac specifications
but might not cause functional failure.
detectable fault: A functional fault for which a test pattern can be created
that always causes the effects of the fault to be observable at an exter-
nally accessible node.
detected fault: A functional fault that causes effects that are observed at
an externally accessible node when the circuit is exercised by the existing
test pattern.
Glossary 3
DFT: Design for Testability. A design goal requiring that each node be both
observable and controllable. Failure to achieve this design goal can
compromise quality assurance.
E
ECL: Emitter-Coupled Logic. A nonsaturating form of digital logic that elim-
inates transistor storage time as a speed-limiting characteristic, permit-
ting very-high-speed operation.
F
fault: A defect that can cause a failure in the circuit operation/timing.
fault detectability ratio: The ratio of detectable faults to the sum of detect-
able and undetectable faults.
fault grading: The process of determining the test pattern fault coverage of
a circuit.
floating bus: Any bus line not driven by an active device is free to assume
any voltage level. Circuits with inputs connected to this bus may draw
excessive current or otherwise malfunction.
floating input: The input of a macro can assume an undefined voltage level
if it is not driven to a defined logic level. Circuits with floating inputs can
draw excessive current or otherwise malfunction.
G
GTAP: Generic Test Access Port. The TI ASIC test controller. The GTAP can
be instructed to enable or disable any combination of DFT features.
IDDQ: DC leakage testing looks for abnormally high VCC current that indi-
cates a logic or process defect. Test conditions for IDDQ testing must turn
off all circuits that produce dc current in the static state.
J
JTAG: Joint Test Action Group. 1) Committee that established the test ac-
cess port (TAP) and boundary-scan architecture defined in IEEE Stan-
dard 1149.1-1990. 2) Common name for IEEE Std1149.1-1990.
L
LSSD: Level-Sensitive Scan Design. A scan methodology. It is a technique
where all logic storage elements in a device are chained together in a
dual mode. The first mode is the normal operation of the device where
clocks allow the storage of data in normal system operation. In the sec-
ond mode, master and slave clocks are used to shift data in and out of
the device for testing purposes.
M
MegaModule: High-complexity macros such as SRAMs, FIFOs, and regis-
ter files.
netlist: A description of a logic circuit that names the macros used and
describes their interconnection.
Glossary 5
node: The end-point of a branch in a network or a point at which two or more
branches meet.
O
observability: The ability to determine the logic states of an internal circuit
node at the circuit’s externally accessible nodes.
open circuit fault: A fault in a circuit that alters the number of nodes by
breaking a node into two or more nodes.
P
parametric fault: A fault in a circuit that causes failure to meet ac or dc
specifications but might not cause functional failure.
parametric test: These are electrical tests that evaluate parameters such
as dc and ac electrical characteristics ( VIH, IDDQ, VOH, tpd, etc. ).
PMT: Parallel Module Test. A system of additional logic built into MegaMod-
ules for the purpose of enhancing the testability of the circuitry. Package
input and output pins are multiplexed with internal test circuitry to mini-
mize the need for package pins dedicated to testing.
R
redundant circuit: Deliberate duplication of logical functions to create back-
up functions that enhance performance or reliability of operation.
sequential fault: A functional fault whose effect on the behavior of the circuit
is affected by the sequence of the input stimuli.
short circuit fault: A fault in a circuit that alters the number of nodes by
connecting two or more nodes together.
state machine: A logic block that can assume any of several output logic
states in response to input stimuli. Each logic state is uniquely deter-
mined from the previous state and the previous input.
synchronous logic: Any group of logic storage elements through which the
signal flow timing is controlled by the system clock. Clock signals cause
data signals to advance from one logic storage element to the next, one
element at a time. The resulting signal flow is thus made predictable.
T
testable: An electronic circuit is testable if test patterns can be generated,
evaluated, and applied in such a way as to satisfy predefined levels of
performance defined in terms of fault-detection, fault-location, and test-
application criteria, within a predefined cost-budget and timescale.
Glossary 7
test pattern: A set of test vectors.
test pattern fault coverage: The ratio of the total number of detected faults
to the total number of detectable faults.
test program: A test pattern and instructions suitable for use on automated
test equipment (ATE). A test program can be used to perform functional
and parametric (ac, dc, or other) tests.
test vector: A single instance of input stimuli and expected output respons-
es.
U
undetectable fault: A functional fault for which no set of functional test vec-
tors can be created that can guarantee that the effects of the fault are
observable at an externally accessible node.
undetected fault: A functional fault that causes effects that are not observed
at an externally accessible node when the circuit is exercised by the
existing test pattern.
V
VCC: Positive supply voltage or the voltage required across supply and
ground terminals of a TTL or CMOS integrated circuit
VDD: Positive supply voltage or the voltage required across supply and VSS
terminals of a CMOS integrated circuit
1
Index
asynchronous circuits B
avoiding 5-7
gating clocks create 5-8 back annotation
asynchronous clocks defined 2
not in scan path flipflops 6-10 backplane transceiver logic 1-2
asynchronous logic Backus Naur Form 1-2
defined 1 BiCMOS, TP0B0 macro 8-3
asynchronous timing bidirectional buffers
as ATPG constraint 11-9 test contention and 12-10
ATE bidirectional buses
block diagram 12-4 normal NAND tree patterns cause bus conflicts
10-6
defined 1
loads 12-10 bidirectional pins 1-2
simulation example 12-11 internal access with 5-4
logic simulator vs 12-2 BIST
pattern memory, DUT vectors stored in 12-3 defined 2
test patterns operate on 12-1 TDL pattern sets for 4-3
ATPG 11-1 BIST TDL 4-3
clock skew and 6-7 TGC1000 pattern set requirements 4-5
constraints 11-9 TGC2000 pattern set requirements 4-5
cycletime reduction from -3 BIST TEST ENABLE, ASIC test 8-6
debugging considerations 11-8 BIST_AC TDL
defined 1 described 4-4
design flow setup and hold testing and 12-11
typical 11-4 TGC1000 pattern set requirements 4-5
highfaultgrade test patterns and 3-10 TGC2000 pattern set requirements 4-5
introduction 11-2 bit definitions
path sensitization 11-5 for test register 8-5
scan designs 11-7 block
full 11-6 defined 2
summary 11-10 block diagrams
testing considerations 11-8 ATE 12-4
tool -8 GTAP 8-2
atspeed testing 4-4, 4-5, 12-5, 12-11 GTAPcontrolled PMT 9-3
AUTOGEN IEEE Standard 1149.1 hardware 13-3
defined 1 BNF
PMT TDL sets converted by 4-5 defined 2
automated test equipment 1-2 boundary-scan architecture 13-10
automatic test pattern generation 1-2 boundaryscan architecture 3-2, 7-2, 7-4, 10-12,
defined 1 13-3, 13-5, 13-7, 13-8, 13-9, 13-10, 13-
12, 13-13, 13-14, 13-15, 13-16, 13-18,
automation, design 13-19, 13-20, 13-21, 13-22, 13-23
structured approach favors 6-2 boundaryscan cell 1-2, 13-5
boundaryscan instructions 13-8, 13-9, 13-14
boundaryscan macros 7-5, 7-6, 7-7
Index 2
Index
Index 3
Index
Index 4
Index
Index 5
Index
Index 6
Index
minimum defined 4
of test periods 12-4 fault grade 1-2
DUT defined 4
vectors applied to development time vs
stored in ATE pattern memory 12-3 illustrated -3
requirements
establishing as part of DFT strategy develop-
ment 3-4
fault grader -8
E
fault grading
ECL defined 4
defined 4 fault simulation 1-1
economics 1-2 multiple scan chain designs and 6-14
tradeoffs -2, -4 faulttolerant design 4
edgetriggered clocks 5-8 feedback loops
edgetriggered flipflop scan designs 6-7 combinatorial
efficiency as ATPG constraints 11-9
scan designs not noted for 6-20 feedback paths
embedded memories breaking
PMT tests 3-6 in nested sequential circuits 5-14
emittercoupled logic 1-2 scan flipflops and 6-10
EXPANSION field maintenance costs
ASIC test 8-6 fault coverage and -5
external chip circuitry flipflop outputs 5-13
EXTEST instruction designed to test 13-9 flipflop scan designs 5-5, 6-3, 6-5, 6-7, 6-10
EXTEST instructions 13-9 floating bus
input threshold voltage levels checked using defined 4
clocked NAND tree 10-4 floating I/O inputs
output voltage levels 10-10 avoid 10-13
threestate highimpedance measurements and
10-11 floating input
defined 4
floating internal nodes
avoid 10-13
frequency dividers 5-5
F
FROM keywords 12-18
falltime measurements 1-2 full scan designs 11-6
fault FUNC TDL
defined 4 described 4-3
fault coverage TGC1000 pattern set requirements 4-5
described 1-2 TGC2000 pattern set requirements 4-5
determining target 11-2 FUNC_AC TDL
device defect level vs -5 described 4-4
Motorola Delco study results -6 setup and hold testing and 12-11
tradeoffs -4 TGC1000 pattern set requirements 4-5
fault detectability ratio TGC2000 pattern set requirements 4-5
Index 7
Index
Index 8
Index
Index 9
Index
Index 10
Index
Index 11
Index
O P
observability package pins
debugging time and -4 pintopin testing of 12-11
defined 6 parallel module test 1-2
of I/O ports, via BSC 13-5 parallel scan chains 3-8
of internal nodes parallel scan designs 6-14
improving via unused pins 5-3 parallel testing 6-14
of logic time determined by longest chain 6-15
multiplexing improves 5-12 parametric fault
scan designs and 6-2 defined 6
shift register used to obtain 5-20
parametric measurements 10-1
test vector generation and 5-2
input current 10-12
onchip oscillator circuitry 5-9 input threshold voltage levels
open circuit fault using clocked NAND tree 10-4
defined 6 leakage current 10-13
oscillators output voltage levels 10-10
PWRDN pin 10-13 overview 10-2
oscilloscopes threestate highimpedance 10-11
ineffective in debugging ASIC systems -4 parametric test
output signals defined 6
test vectors define 12-6 partial scan 3-8
TO keyword indicates 12-18 partial scans
output strobe groups designs 11-7
test pattern generation and 12-9 partitioning
placement circuits
example 12-10 in multiple scan chains 6-14
precautions path sensitization
special 12-10 ATPG and 11-5
output voltage levels PATH statements
testing 10-10 description 12-19
overhead
pattern sets
for clocked scan flipflop designs 6-4 diagnostic
for multiplexed flipflop scan designs 6-6 establishing 3-9
overview parallel module tests 4-4
ATPG 11-2 scan 4-3
GTAP 8-2 pattern statements
IEEE Standard 1149.1 7-2
IDDQ 10-14
parametric measurements 10-2
PCB
PMT 9-1
boundaryscannable 7-7
TDL 12-13
costofownership orderofmagnitude relation-
test pattern generation 12-2 ship for -8
ownership defect rates for -7
costs -5 ASIC PPM vs
illustrated -9 illustrated -8
Index 12
Index
Index 13
Index
R
random access memory S
structured approaches not suited for 6-20 safe circuit initialization pattern 10-4, 10-10, 10-
random vector generation 11
ATPG 11-5 SAMPLE/PRELOAD instructions 13-8
read only memory input threshold voltage levels checked using
structured design approach not suited for 6-20 clocked NAND tree 10-4
reconverging signals output voltage levels 10-10
watching for 5-16 threestate highimpedance measurements and
10-11
redundant logic sampling
defined 6 IR
testing 5-15 captureIR group does 13-6
register files SCAN
structured approach not suited for 6-20 GTAP controller pin 8-7
register transfer level scan 3-7
defined 6 DFT strategy development and 3-2
registers 1-2 sequential logic tested best with 3-7
as overhead for multiplexed flipflop scan de- scan chains
signs 6-6
parallel 3-8
REJECT keywords 12-18
SCAN CLOCK 6-3, 6-4
reset capabilities
clock skew and 6-7
initializing circuit to known state requires, for
latches, flipflops 5-5 scan designs 1-2, 6-1
RESETZ bus contention
shift register and 5-19 scan testing and 6-12
RESTORE1 clocked scan flipflop 6-3
test condition 8-8 edgetriggered flipflop 6-7
RESTORE2 flipflop guidelines 6-10
test condition 8-8 inefficiencies in certain structures 6-20
multiplexed flipflop 6-5
review process
parallel 6-14
design
DFT strategy development and 3-4 partial
ATPG and 11-7
risetime measurement 1-2
scan path loading
RTL on critical ac path 6-11
defined 6 structured approaches 6-2
rule checking testisolation modules 6-14
structured approach favors 6-2 SCAN ENABLE 6-5, 6-6
Index 14
Index
Index 15
Index
Index 16
Index
Index 17
Index
Index 18
Index
Index 19
Index
Index 20
Index
UTDR W
described 7-5
wafer fabrication defects
failures and 11-8
warnings
information about vi
V
waveform analyzers
vendors ineffective in debugging ASIC systems -4
design process and -2 Williams fault coverage model -5
VIH/VIL and VOH/VIL test (TAP pins) 13-10 Motorola, Delco study supports -6
VIH/VIL and VOH/VOL test (TAP pins) 13-16 WRITE
using boundaryscan architecture and GTAP test condition 8-8
test register 13-26
VIH/VIL test 13-10
ASIC test selection codes 8-6
using boundaryscan architecture and GTAP
test register 13-23 Y
VIH/VIL_CLK_A Y
ASIC test 8-6 TDL character 12-15
VIH/VIL_CLK_B
ASIC test 8-6
VIH_VIL
TP000 bit assignments 8-5 Z
VIH_VIL CLK SELECT
ASIC test selection codes 8-6 Z
TP000 bit assignments 8-5 TDL character 12-15
VIH_VIL TDL
described 4-4
input threshold voltage levels 10-4
parametric testing and 10-2
TGC1000 pattern set requirements 4-5
TGC2000 pattern set requirements 4-5
VOH/VOL test 13-10, 13-12
using boundaryscan architecture and GTAP
test register 13-23
VOL/VOH
ASIC test 8-6
voltage level measurements 10-1
input current 10-12
input threshold
using clocked NAND tree 10-4
leakage current 10-13
output 10-10
overview 10-2
threestate highimpedance 10-11
Index 21
Index
Index 22
Index
Index 23
Index
Index 24
Index
Index 25
Index
Index 26
Index
Index 27
Index
Index 28
Index
Index 29
Index
Index 30
Index
Index 31
Index
Index 32
Index
Index 33
Index
Index 34
Index
Index 35
Index
Index 36
Appendix A
Glossary
A
ACE: ASIC Compiler Environment. The graphical user interface delivery
mechanism for submicron gate-array memory compiler elements.
ASIC TDL 91: An improved TI TDL format that supports both narrow and
wide (SCAN) TDL. Narrow TDL lists the ATE state for all pins in every
cycle. Wide or SCAN TDL allows a description of only nonredundant ATE
states and pins.
at-speed testing: Refers to test vectors that target the detection of delay
faults. The term usually apllies to test vectors that operate at design
frequency or that validate setup conditions.
1
B
back annotation: The process of updating the design database with actual
interconnect delays (as opposed to estimations by design CAD soft-
ware). The actual delays are calculated after placement and routing,
when exact interconnect lengths are known.
bus: A data distribution path that typically has multiple data receivers and
can have multiple data sources. Bus structures must be driven by three-
state drivers. The drivers must be capable of disconnecting from the bus,
and only one such source can be active at one time.
bus contention: If more than one bus driver is active with conflicting output
levels at the same time, neither driver may be able to assert a true logic
level on the bus line. The result could be excessive drive current, unde-
fined logic levels, and possible device failure.
bus holder: A logic device that prevents a bus from floating if all bus drivers
are placed into the high-impedance state. It maintains the last logic state.
C
cell: An individual component of a library (typically a logic gate; for example,
a NA210 2-input NAND gate). See macro.
core logic: All logic functions except I/O buffers are core logic.
D
delay fault: A fault in a circuit that causes failure to meet ac specifications
but might not cause functional failure.
detectable fault: A functional fault for which a test pattern can be created
that always causes the effects of the fault to be observable at an exter-
nally accessible node.
detected fault: A functional fault that causes effects that are observed at
an externally accessible node when the circuit is exercised by the existing
test pattern.
Glossary 3
DFT: Design for Testability. A design goal requiring that each node be both
observable and controllable. Failure to achieve this design goal can
compromise quality assurance.
E
ECL: Emitter-Coupled Logic. A nonsaturating form of digital logic that elim-
inates transistor storage time as a speed-limiting characteristic, permit-
ting very-high-speed operation.
F
fault: A defect that can cause a failure in the circuit operation/timing.
fault detectability ratio: The ratio of detectable faults to the sum of detect-
able and undetectable faults.
fault grading: The process of determining the test pattern fault coverage of
a circuit.
floating bus: Any bus line not driven by an active device is free to assume
any voltage level. Circuits with inputs connected to this bus may draw
excessive current or otherwise malfunction.
floating input: The input of a macro can assume an undefined voltage level
if it is not driven to a defined logic level. Circuits with floating inputs can
draw excessive current or otherwise malfunction.
G
GTAP: Generic Test Access Port. The TI ASIC test controller. The GTAP can
be instructed to enable or disable any combination of DFT features.
IDDQ: DC leakage testing looks for abnormally high VCC current that indi-
cates a logic or process defect. Test conditions for IDDQ testing must turn
off all circuits that produce dc current in the static state.
J
JTAG: Joint Test Action Group. 1) Committee that established the test ac-
cess port (TAP) and boundary-scan architecture defined in IEEE Stan-
dard 1149.1-1990. 2) Common name for IEEE Std1149.1-1990.
L
LSSD: Level-Sensitive Scan Design. A scan methodology. It is a technique
where all logic storage elements in a device are chained together in a
dual mode. The first mode is the normal operation of the device where
clocks allow the storage of data in normal system operation. In the sec-
ond mode, master and slave clocks are used to shift data in and out of
the device for testing purposes.
M
MegaModule: High-complexity macros such as SRAMs, FIFOs, and regis-
ter files.
netlist: A description of a logic circuit that names the macros used and
describes their interconnection.
Glossary 5
node: The end-point of a branch in a network or a point at which two or more
branches meet.
O
observability: The ability to determine the logic states of an internal circuit
node at the circuit’s externally accessible nodes.
open circuit fault: A fault in a circuit that alters the number of nodes by
breaking a node into two or more nodes.
P
parametric fault: A fault in a circuit that causes failure to meet ac or dc
specifications but might not cause functional failure.
parametric test: These are electrical tests that evaluate parameters such
as dc and ac electrical characteristics ( VIH, IDDQ, VOH, tpd, etc. ).
PMT: Parallel Module Test. A system of additional logic built into MegaMod-
ules for the purpose of enhancing the testability of the circuitry. Package
input and output pins are multiplexed with internal test circuitry to mini-
mize the need for package pins dedicated to testing.
R
redundant circuit: Deliberate duplication of logical functions to create back-
up functions that enhance performance or reliability of operation.
sequential fault: A functional fault whose effect on the behavior of the circuit
is affected by the sequence of the input stimuli.
short circuit fault: A fault in a circuit that alters the number of nodes by
connecting two or more nodes together.
state machine: A logic block that can assume any of several output logic
states in response to input stimuli. Each logic state is uniquely deter-
mined from the previous state and the previous input.
synchronous logic: Any group of logic storage elements through which the
signal flow timing is controlled by the system clock. Clock signals cause
data signals to advance from one logic storage element to the next, one
element at a time. The resulting signal flow is thus made predictable.
T
testable: An electronic circuit is testable if test patterns can be generated,
evaluated, and applied in such a way as to satisfy predefined levels of
performance defined in terms of fault-detection, fault-location, and test-
application criteria, within a predefined cost-budget and timescale.
Glossary 7
test pattern: A set of test vectors.
test pattern fault coverage: The ratio of the total number of detected faults
to the total number of detectable faults.
test program: A test pattern and instructions suitable for use on automated
test equipment (ATE). A test program can be used to perform functional
and parametric (ac, dc, or other) tests.
test vector: A single instance of input stimuli and expected output respons-
es.
U
undetectable fault: A functional fault for which no set of functional test vec-
tors can be created that can guarantee that the effects of the fault are
observable at an externally accessible node.
undetected fault: A functional fault that causes effects that are not observed
at an externally accessible node when the circuit is exercised by the
existing test pattern.
V
VCC: Positive supply voltage or the voltage required across supply and
ground terminals of a TTL or CMOS integrated circuit
VDD: Positive supply voltage or the voltage required across supply and VSS
terminals of a CMOS integrated circuit