0% found this document useful (0 votes)
139 views88 pages

Lithography PDF

Uploaded by

Cristian ial
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
139 views88 pages

Lithography PDF

Uploaded by

Cristian ial
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 88

Chip

-A small piece of semiconducting material (usually Si) on which an integrated


circuit is embedded.
-A typical chip is less than 1inch2 and can contain millions of electronic
components (transistors).
-Computers consist of many chips placed on electronic boards called printed
circuit boards.
•Transistor
: a device composed of semiconductor material that amplifies a signal or
opens or closes a circuit.
*Integrated circuit
: 때로, chip이나 micro-chip으로 불리며, 수백만개의 미세한 transistor, capacitor,
resistor등을 고밀도로 집적하여 packaging한 것임.
: 하나의 실리콘 기판에 lithography 기술을 이용하여 transistor, resistor,
capacitor 기능을 집적화함.
: 증폭기, 발진기, 타이머, 컴퓨터 메모리, 마이크로 프로세서의 기능을 함.
lithography
The process of imprinting patterns on semiconductor materials
to be used as integrated circuits.

According to the international roadmaps of the electronic industry, in the next decade
the lateral size of commercial microelectronic devices will drop well
below 100 nm. Tremendous efforts are being devoted to the development of
new strategies and fabrication methods able to overcome the limits
of the optical lithography conventionally used by the industry.
<300 nm 10-14 nm <1 nm <DUV,EUV,x-ray

Survey of the different types of lithography


(a) Mask
- carrier material: transparent for the radiation,
radiation only illuminates this transparent part
- Absorber layer: opaque, pattern is inscribed into this
layer.
(b) Direct writing
-computer controls a focused beam of the used radiation
-With deflecting units, beam is scanned across the
sample so that pattern is written into the resist as if
using a pen.
-takes long time to finish a whole wafer.
-for research and for mask fabrication
Schematics of lithography
1. Optical lithography
*MFS(minimum feature size) depends on
- illumination method, illumination wavelength , materials
of the optical system, resist

Illumination methods

contact proximity projection


(1) Contact
MFS=(d•)1/2, d=resist thickness & =wavelength
- quality of mask suffers from contact to the resist

(2) Proximity
- defined proximity gap g between sample and mask so
that no deterioration of the mask but poorer
resolution
MFS= {(d+g) •}1/2
(3) Projection lithography
-a picture of the mask is projected to the sample instead of
transferring the shadow of mask
-After passing the mask, the light is bundled by an optical
system to have better resolution than contact lithography
-Possible to reduce the picture so the patterns on the
mask are allowed to be bigger than the patterns on the
sample.(good for mask fabrication)
-MFS depends on the diffraction
MFS = k1 •/NA
, k1 =0.5-0.9, NA=numerical aperture of the optical system
cf) MFSprojection ~ 0.66 x MFScontact
numerical aperture (NA) of an optical system is a
dimensionless number that characterizes the range of
angles over which the system can accept or emit light.

NA = n sinθ, n= refractive index

◊ NA with respect to a point P depends on the half-angle θ


of the maximum cone of light that can enter or exit the
lens.
Intensity pattern of two features P and Q at projection
lithography: The intensity distribution at the sample is
broadened due to diffraction.
-To resolve these 2 features, the intensity distribution
has to have a minimum between the 2 main maximums.
-modulation transfer function (MTF)
MTF = {Imax- Imin}/{Imax + Imin}
o The higher the MTF, the better the contrast between
exposed and unexposed areas & the better the
resolution.
diffraction
Photolithography: The "etching with light" technique used to
make integrated circuits. In this technique,

(1) a layer of silicon or other semiconductor is covered by a


protective oxide layer which in turn is covered by a
polymer that reacts to light.
(2) A mask outlining desired circuits is laid on top, then the
masked polymer is exposed to light.
(3) The light chemically changes the exposed areas of the
polymer.
(4) Chemicals are then applied to wash away the exposed
polymer and the oxide underneath.
(5) The remaining polymer is washed away with other
chemicals, leaving the layer of oxide in the form of the
mask and the layer of silicon exposed in the pattern of the
desired circuits.
(6) The exposed silicon is then "doped," or chemically altered,
to become more electrically conductive. This forms the
transistors and logic gates of the chip.
Optical Lithography http://staff.aist.go.jp/sunghwi-cho/research/Lithography.htm

(1) Pattern Transfer


Lithography in the MEMS context is typically the transfer of a pattern to a photosensitive
material by selective exposure to a radiation source such as light. A photosensitive
material is a material that experiences a change in its physical
properties when exposed to a radiation source. If we selectively expose a
photosensitive material to radiation (e.g. by masking some of the radiation) the pattern
of the radiation on the material is transferred to the material exposed, as the properties
of the exposed and unexposed regions differs (as shown in figure 1).

Figure 1: Transfer of a pattern to a photosensitive material.


Figure 2: a) Pattern definition in positive resist, b) Pattern definition in negative resist.
Drawing of a wafer through the various
steps of the photolithographic process

(a) deposit barrier layer

(b) PR coating & soft bake

(c) align mask & expose pattern

(d) develop PR & hard bake

(e) etching

(f) remove PR
mask template

Figure 3: a) Pattern transfer from patterned photoresist to underlying layer by etching,


b) Pattern transfer from patterned photoresist to overlying layer by lift-off.
Resist

 Resist : photosensitive polymeric materials, 2 types


 positive resists : exposure to radiation undergo breakup of
molecular chains, resulting in a local reduction of molecular
weight → exposed regions become chemically more active
and can thus be selectively dissolved in a developer
 negative resists : molecular chains cross-link on exposure
to radiation → cross-linked regions are insoluble
Resist & SiO2 patterns following
photolithography with positive & negative resist

positive resist negative resist

exposed region
Components of the resist
(1) resin (matrix material) : withstand both chemical & plasma
etching, not be sensitive to optical radiation
(2) sensitizer
(a) positive resist : sensitizer is photosensitive, absorbs
optical radiation, helps in the breakup of the optical energy
to the resin
(b) negative resist : sensitizer facilitates the transfer of
optical energy to the resin, cross-linking of the polymeric
chains
(3) solvent : easier to deposit the resist by spin coating
(4) adhesion promoter : HMDS (hexamethyldisilazene)
(5) thinner : keeps the resin in the liquid until it is applied to the
substrate
(1) Positive-tone resist: exposed areas are removed.
- Made of polymeric materials, breakup of molecular
chains upon exposure to radiation, resulting in local
reduction of molecular weight
- Exposed regions become chemically more active and
selectively dissolved in developer.
(2) Negative-tone resist: exposed areas will remain.
- Made up of polymeric materials, cross-linking of
molecular chains upon exposure to radiation
- Insoluble in developer
(3) Chemically amplified resists (CAR): positive & negative
-with reduction of  to 250 nm, novolac (resin) and DNQ
(photoactive compound) strongly absorb the light & light
intensity at 250 nm is very low  needs new material with
higher sensitivity
-uses chemical reaction to improve sensitivity and
compatible with a 250 nm exposure wavelength
-photo acid generator (PAG)
(a) Upon exposure, an acid is released by PAG.
(b) During the heating of the sample after exposure, this
acid reacts with the resin.
(c) The resin becomes soluble to a developer.
(d) Then, a new acid is released.
(e) With this catalytic reaction, possible to get 500 to 1000
reactions from one photo-generated molecule.
Chemical amplification
Photoresists used in production for DUV and shorter
wavelengths require the use of chemical amplification to
increase the sensitivity to the exposure energy. This is done in
order to combat the larger absorption at shorter wavelengths.
Chemical amplification is also often used in electron-beam
exposures to increase the sensitivity to the exposure dose. In
the process, acids released by the exposure radiation diffuse
during the post-exposure bake step. These acids render
surrounding polymer soluble in developer. A single acid
molecule can catalyze many such ‘deprotection‘ reactions;
hence, fewer photons or electrons are needed. Acid diffusion is
important not only to increase photoresist sensitivity and
throughput, but also to limit line edge roughness due to shot
noise statistics. However, the acid diffusion length is itself a
potential resolution limiter. In addition, too much diffusion
reduces chemical contrast, leading again to more roughness.[10]
The following reactions are an example of commercial
chemically amplified photoresists in use today:
(1) photoacid generator + hν (193 nm) → acid cation +
sulfonate anion
(2) sulfonate anion + hν (193 nm) → e− + sulfonate[12]
(3) e− + photoacid generator → e− + acid cation + sulfonate
anion
The e− represents a solvated electron, or a freed electron that
may react with other constituents of the solution. It typically
travels a distance on the order of many nanometers before
being contained; such a large travel distance is consistent
with the release of electrons through thick oxide in UV EPROM
in response to ultraviolet light. This parasitic exposure would
degrade the resolution of the photoresist; for 193 nm the
optical resolution is the limiting factor anyway, but for
electron beam lithography or EUVL it is the electron range
that determines the resolution rather than the optics.
Properties of the resist

 sensitivity
 contrast
 resolution
 optical density These properties can
 etching resistance be achieved by the
 purity manipulation of
polymer structure,
 solubility
molecular properties,
 adhesion and methods of
 spectral response synthesis.
 ease of processing
 toxicity
Sensitivity : Developed thickness normalized to
initial thickness p for positive & negative resists
positive
breakup begin

complete solubility of the


exposed regions
(unexposed region is
completely insoluble)

negative

D : dose(coulombs/m2)
p : remaining thicknesses
normalized with respect to
the original thicknesses
Sensitivity; a measure of the energy required for resist to
develop after an exposure of optical radiation (coulomb/m2)
and to influence the speed with which the exposure has to
be carried out

- Expose the resin of known thickness to varying dose (D)


- While the exposed layers are developed, remaining resist
thickness is measured and normalized to original value: p
( p vs. log D): response or sensitivity curve
- For positive resist, p=1 initially and then decreased at D0
where the breakup of polymer chains begins to occur.
- Sensitivity : defined as dose Dc required to effect
complete solubility of exposed regions, where unexposed
area is completely insoluble.

- For negative resist, sensitivity is defined as dose Dg0 at


which lithographically useful image is formed.
Contrast (γp ): sharpness of the transition from D0 to Dc

For the positive resist, γp

γp = 1/[log Dc – log D0] = log [Dc/D0]-1

For the negative resist, γp

γp = 1/[log Dg0 – log Dg1] = log [Dg0/Dg1]-1


Contrast & resolution

(1) The higher the resist contrast, the more vertical the resist
profile after dissolution
(2) The resolution of a resist refers to the smallest feature that
can be resolved using the particular resist.
(3) The resolution therefore represents the minimum feature size
that can be replicated into a resist.
(4) Ideally, if the resist profile were vertical, the line width is a
good measure of the dimension in the mask. This correlation is
only possible if there is infinite contrast.
“How does the resist affect the resolution?”
-contrast of a resist:
(1) At what dose is the resist exposed?
(2) At what dose it is not yet exposed?
-The linewidth depends strongly on the resist thickness
and on the nature of the sample.
-This stems from the reflections at the oxide/silicon
interface and at the resist/oxide interface.
-To prevent these influences, anti-reflex coatings (ARC)
are applied.
-ARC can be applied before the resist or afterwards.
-With bottom-ARC, the reflectivity of the resist/substrate
interface and with the top ARC of the resist/air interface
is minimized.
Problems
 Energy delivered to the resist is dissipated in a more diffuse
fashion due to diffraction and scattering, the profile of the
resist after development will exhibit some slope.
 Light transmitted through the resist is reflected from the
substrate. The two light waves interfere with each other,
producing standing waves. In addition, the material
composition and the scattering of light from topographical
features produce line-width variations that are particularly
severe when highly reflective metals and silicides are
patterned.
minimization of these problems
 image-reversal processing
 contrast-enhancement lithography
 multilevel-processing schemes
Multilevel-processing scheme

Thick opaque resist


layer to attain
maximum
possible
planarization

antireflection coating on the substrate : the coating


absorbs the light before it reaches the substrate and thus
reduces the distortions due to the standing wave patterns
* Soft baking or Prebaking

(1) A drying step called soft baking, or prebaking, is used to


improve adhesion and remove solvent from photoresist.
(2) time ranges : 5~30 min
(3) in an oven at 60 to 100℃ under an air or nitrogen atmosphere
(4) After soft baking, the photoresist is ready for mask alignment
and exposure.
(2) Alignment

In order to make useful devices the patterns for different


lithography steps that belong to a single structure must be
aligned to one another. The first pattern transferred to a wafer
usually includes a set of alignment marks, which are high
precision features that are used as the reference when positioning
subsequent patterns, to the first pattern (as shown in figure 4).
Often alignment marks are included in other patterns, as the
original alignment marks may be obliterated as processing
progresses. It is important for each alignment mark on the wafer
to be labeled so it may be identified, and for each pattern to
specify the alignment mark (and the location thereof) to which it
should be aligned. By providing the location of the alignment
mark it is easy for the operator to locate the correct feature in a
short time. Each pattern layer should have an alignment feature so
that it may be registered to the rest of the layers.
Figure 4: Use of alignment marks to register subsequent layers
Depending on the lithography equipment used, the feature on the
mask used for registration of the mask may be transferred to the
wafer (as shown in figure 5).
Figure 5: Transfer of mask registration feature to substrate during lithography (contact
aligner)
In this case, it may be important to locate the alignment marks such
that they don't affect subsequent wafer processing or device
performance. For example, the alignment mark shown in figure 6 will
cease to exist after through the wafer DRIE etch. Pattern transfer of
the mask alignment features to the wafer may obliterate the
alignment features on the wafer. In this case the alignment marks
should be designed to minimize this effect, or alternately there
should be multiple copies of the alignment marks on the wafer, so
there will be alignment marks remaining for other masks to be
registered to.
Figure 6: Poor alignment mark design for a DRIE through the wafer etch (cross hair is released
and lost).
Alignment marks may not necessarily be arbitrarily located on the
wafer, as the equipment used to perform alignment may have
limited travel and therefore only be able to align to features located
within a certain region on the wafer (as shown in figure 7). The
region location geometry and size may also vary with the type of
alignment, so the lithographic equipment and type of alignment to
be used should be considered before locating alignment marks.
Typically two alignment marks are used to align the mask and wafer,
one alignment mark is sufficient to align the mask and wafer in x
and y, but it requires two marks (preferably spaced far apart) to
correct for fine offset in rotation.
Figure 7: Restriction of location of alignment marks based on equipment used.
As there is no pattern on the wafer for the first pattern to align to,
the first pattern is typically aligned to the primary wafer flat (as
shown in figure 8). Depending on the lithography equipment used,
this may be done automatically, or by manual alignment to an
explicit wafer registration feature on the mask.

Figure 8: Mask alignment to the wafer flat.


(3) Exposure

The exposure parameters required in order to achieve accurate


pattern transfer from the mask to the photosensitive layer depend
primarily on the wavelength of the radiation source and the dose
required to achieve the desired properties change of the photoresist.
(1) Different photoresists exhibit different sensitivities to different
wavelengths.
(2) The dose required per unit volume of photoresist for good pattern
transfer is somewhat constant; however, the physics of the exposure
process may affect the dose actually received.

For example a highly reflective layer under the photoresist may


result in the material experiencing a higher dose than if the
underlying layer is absorptive, as the photoresist is exposed both by
the incident radiation as well as the reflected radiation.
(3) The dose will also vary with resist thickness.
There are also higher order effects, such as interference patterns in
thick resist films on reflective substrates, which may affect the
pattern transfer quality and sidewall properties.
(4) At the edges of pattern light is scattered and diffracted, so if an
image is overexposed, the dose received by photoresist at the edge
that shouldn't be exposed may become significant.
If we are using positive photoresist, this will result in the
photoresist image being eroded along the edges, resulting in a
decrease in feature size and a loss of sharpness or corners (as
shown in figure 9). If we are using a negative resist, the photoresist
image is dilated, causing the features to be larger than desired,
again accompanied by a loss of sharpness of corners. If an image
is severely underexposed, the pattern may not be transferred at all,
and in less severe cases the results will be similar to those for
overexposure with the results reversed for the different polarities of
resist.
If the surface being exposed is not flat, the high-resolution image of
the mask on the wafer may be distorted by the loss of focus of the
image across the varying topography. This is one of the limiting
factors of MEMS lithography when high aspect ratio features are
present. High aspect ratio features also experience problems with
obtaining even resist thickness coating, which further degrades
pattern transfer and complicates the associated processing.
Figure 9: Over and under-exposure of positive resist.
Figure 10: Lithography tool depth of focus and surface topology.
D=depth of field, depth of focus
: optical image degrades when the system is defocused, and the amount of
defocusing that can be tolerated is called the “depth of field”
(4) The Lithography Module

Typically lithography is performed as part of a well-characterized


module, which includes the wafer surface preparation, photoresist
deposition, alignment of the mask and wafer, exposure, develop
and appropriate resist conditioning. The lithography process steps
need to be characterized as a sequence in order to ensure that the
remaining resist at the end of the modules is an optimal image of
the mask, and has the desired sidewall profile.
The standard steps found in a lithography module are (in
sequence): dehydration bake, HMDS prime, resist spin/spray, soft
bake, alignment, exposure, post exposure bake, develop hard bake
and descum. Not all lithography modules will contain all the
process steps. A brief explanation of the process steps is included
for completeness.
(1) Dehydration bake - dehydrate the wafer to aid resist adhesion.
(2) HMDS prime - coating of wafer surface with adhesion promoter.
Not necessary for all surfaces.
(3) Resist spin/spray - coating of the wafer with resist either by
spinning or spraying. Typically desire a uniform coat.
(4) Soft bake - drive off some of the solvent in the resist, may result
in a significant loss of mass of resist (and thickness). Makes resist
more viscous.
(5) Alignment - align pattern on mask to features on wafers.
(6) Exposure - projection of mask image on resist to cause
selective chemical property change.
(7) Post exposure bake - baking of resist to drive off further
solvent content. Makes resist more resistant to etchants (other
than developer).
(8) Develop - selective removal of resist after exposure (exposed
resist if resist is positive, unexposed resist if resist is positive).
Usually a wet process (although dry processes exist).
(9) Hard bake - drive off most of the remaining solvent form the
resist.
(10) Descum - removal of thin layer of resist scum that may
occlude open regions in pattern helps to open up corners.
Photolithography roadmap
Lithography : transfer of pattern onto the sample
-need for quicker processing of more and more data
-development of microelectronic devices
2000. Critical dimension (CD) = 180 nm
2001. CD=130 nm
2013. CD=45 nm
1.2 Resolution enhancement
(1) Phase shifting techniques
-by improving the contrast by tailoring the phase
differences of the wavefront
- change of phase difference by varying the optical path
length of the light passing through the vicinal
structures  constructive and destructive interference
to improve contrast (i.e., increase Imax or decrease Imin)
Phase shift by 

 can improve the resolution by 40 %.


Alternating Phase-Shift Mask (AltPSM)
Phase-Shift Mask (PSM) technology
has been pioneered in recent years
to extend the limits of optical
lithography. PSM technology is
divided into 2 categories:
Attenuated (with the extreme
example being CPL™) and
Alternating.
AltPSMs employ alternating areas of
chrome and 180 degree-shifted
quartz to form features on the wafer.
AltPSM is a powerful but complex
technology. The process of
manufacturing the mask is
considerably more demanding and
expensive than that for Binary
masks. Furthermore, the AltPSM
must be accompanied by a second
"Trim" mask, resulting in extra cost
and decreased stepper throughput
(2) off-axis illumination
-to improve resolution without decreasing  or increasing
NA
-light beam is directed from the mask towards the edge of
the projection lens, and not in on-axis illumination,
towards the center. (no on-axis component)
-In on-axis illumination, the beams of different deflection
orders have to travel in different ways to have phase
shift. lack of focus
-In off-axis illumination, the zero order and 1st order beam
reaches the projection lens at the same distance from the
center  optical path length is the same.
relative phase difference between these beams is zero
and increases the depth of focus(DOF) dramatically.
1.2 Exposure wavelength and light sources
- decrease in  from 436 nm to 193 nm(present)
- further decrease up to a few nm
4. Nanoimprint lithography
(1) Hot embossing technique
- heating of sample above Tg of the resist (thermoplastic
polymer)
- Mold: silicon wafer with a thick SiO2 layer
- Patterning of SiO2 layer, structured by e-beam
lithography and anisotropic etching
(2) UV-based NIL
- curing of resist by UV irradiation
- Thermoplastic resist is replaced by UV-curable
monomers.
- Mold: UV-transparent quartz
5. Etching
5.1 isotropic vs. anisotropic etching
* Wet etching

(1) resist - H2SO4 & H2O2


(2) organic & some metallic films - H2O : NH4OH :H2O2 = 5: 1: 1
(3) remaining oxide film - HF
(4) nonpreferential etch for Si - HF : HNO3 : CH3COOH = 8: 75: 17
→etch rate 5μm/min at 25℃
(5) preferential etchant - KOH : Water = 50g : 150g at 80℃
→etch rate of {110} silicon is 700 times faster than that for {111}
silicon.
Profiles of an etched pattern
5.2 wet etching
-crystal face selectivity -with 1:1 solution of KOH and
water
(1) Etching rate 700 times
faster in <110> than <111>
(2) Addition of propanol,
additional selectivity to the
<100> plane of 100:1
(3) The etch virtually stops on
<111> planes and etch
depth is determined by the
mask opening and the etch
time only.
-organic stripper: break down the structure of resist
material and remove any residue from the wafer
surface
* Dry etching
 Dry plasma etching processes are widely used in VLSI
fabrication.
 Highly anisotropic etching profiles can be obtained, avoiding
the undercutting problem of wet processes.

dry etching systems


 plasma etching
 ion milling
 reactive-ion etching (RIE)

Etching pressure ranges


Etching mode Pressure (Torr)
Ion milling 10-4~10-3
RIE/Ion milling 10-3~10-1
Plasma etching 10-1~5
5.3 Dry etching
-Dry etching mechanism
(1) Sputter etching
- inert gas ions produce nonvolatile surface atoms
- Not very selective
(2) Chemical etching
-if the surface material is spontaneously etched from
the neutral or activated gas and forms volatile etch
products.
-very selective
-silicon etching in a fluorine atmosphere or photoresist
mask removal in an O2 ambient.
5.3 Reactive ion etching (RIE)
-reactive gases containing F, Cl, Br, or O are employed.
-Accelerated ions can (1)make a low-reactive surface
more reactive due to damaging or (2) supply additional
energy for etch products to desorb from the surface.
-As the gas phase species can react more readily and
form volatile etch products, the etch rate increases.
-Very selective
-only increase the etch rate
-depending on substrate material
and gas composition, etch
products condensates on the
sidewalls of the mask and the
etched materials form protective
layers.
-very anisotropic as a lateral etch
is inhibited, so high selectivity
Schematic representation of the seven basic
steps in plasma etching

Plasma consists of a variety of atoms, molecules, and radical.


Etch gases used to dry etch films

Selectivity in plasma etching is defined as the ratio of etch rates


between different materials when exposed to the same plasma
environment.
Ion milling

 Ion milling uses energetic noble


gas ions such as Ar+ to bombard
the wafer surface. Etching occurs
by physically knocking atoms off
the surface of the wafer. Highly
anisotropic etching can be
obtained, but selectivity is often
poor.
•Advanced Silicon Etch (ASE) process: deep Si etching
•(1) passivation step: At the beginning of each cycle,
C4F8 based plasma is used to deposit a few monolayers
of PTFE-type fluorocarbon polymer across all surfaces
exposed to the plasma.
•(2) etch step 1: The plasma is switched to SF6 to create
a plasma chemistry that isotropically etches Si.
•Through the application of DC bias to the wafer
electrode, ions from the plasma bombard the surface of
the wafer, removing the polymer.
•Increased ion energy in the vertical direction results in
a much higher removal of fluorocarbon polymer from
surfaces parallel to the wafer surface.
(3) Etch step 2: Following selective polymer removal, Si
surfaces at the base of each trench are exposed to
reactive fluorine-based species that isotropically etch the
unprotected Si.
-The remaining fluorocarbon polymer protects the vertical
walls of the trench from etching.
•self-aligned contact (SAC)
•-allow for a larger miss alignment
error of the lithography in printing the
contacts to connect down to the doped
silicon (source or drain region of TR).
As the gate structures are protected by
silicon nitride caps, the via holes can
be etched down into the isolating
silicon oxide inter level dielectric layer
to the transistor level regardless of a
slight mask miss alignment error.
•- high selectivity at the bottom of the
feature(drain,source region), on the
side walls, and on the corners of
silicon nitride cap is required.
•-Otherwise, can easily punch through
the nitride cap.
* Photoresist ashing and
polymer removal
organic photoresist can be easily
removed (ashed) in an oxygen
plasma.
-But, polymer residues from etch
process will remain after
conventional oxygen strips.
-Treatment with CF4 plasma to
remove the SixClyBrz-rich polymer.
•Feature size control
(1) microloading
-local dependence of etch rate on the
local feature density.
-A large unmasked surface area
exposed to the plasma consumes
more etch species than a single trench,
resulting in a local modulation of the
plasma chemistry, and finally the etch
rate.
(2) Aspect ratio dependent etch rate:
RIE-lag
- the smaller the feature, the more
difficult to etch to the bottom and to
remove the etch products.

You might also like