0% found this document useful (0 votes)
213 views38 pages

EUV Developments

1) Imec has over 10 years of experience with EUV lithography, using various ASML exposure tools from Alpha-Demo to NXE:3300. 2) Imec has built an advanced patterning ecosystem around EUV lithography that includes multiple exposure tools, metrology and inspection equipment, as well as integration with resist and mask development. 3) Imec's EUV activities range from fundamental materials research to patterning development and integration towards manufacturing applications. Current areas of focus include EUV resist modeling and measurement techniques, and understanding the interaction between light and different resist chemistries.

Uploaded by

Gabriel Donovan
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
213 views38 pages

EUV Developments

1) Imec has over 10 years of experience with EUV lithography, using various ASML exposure tools from Alpha-Demo to NXE:3300. 2) Imec has built an advanced patterning ecosystem around EUV lithography that includes multiple exposure tools, metrology and inspection equipment, as well as integration with resist and mask development. 3) Imec's EUV activities range from fundamental materials research to patterning development and integration towards manufacturing applications. Current areas of focus include EUV resist modeling and measurement techniques, and understanding the interaction between light and different resist chemistries.

Uploaded by

Gabriel Donovan
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 38

EUV DEVELOPMENTS AT IMEC

DANILO DE SIMONE, PETER DE BISSCHOP, IVAN POLLENTIER, WAIKIN LI, EMILY


GALLAGHER,VICKY PHILIPSEN,VINCENT WIAUX, RYOUNG-HAN KIM, ERIC HENDRICKX,
GEERT VANDENBERGHE, KURT RONSE, GREG MCINTYRE
ON BEHALF OF IMEC PATTERNING
15 JUNE 2017, EUVL WORKSHOP, BERKELEY, CA
EUV HISTORY AT IMEC
OVER 10 YEARS OF EUV

EXPOSURE TOOLS AT IMEC

2006 - 2011 2011 - 2015 2014 - present


ASML Alpha-Demo tool ASML NXE:3100 ASML NXE:3300
40nm  27nm LS 27nm, 22nm,18nm LS 22, 16, 13nm LS
0.25 NA 0.25 NA 0.33 NA

2
NXE:3300 NXT:1970i NXT:1950i
+ TEL Lithius ProZ EUV + TEL Pro-Zi + Screen DUO

3
IMEC ADVANCED PATTERNING ECOSYSTEM...
COLLABORATION HUB FOR THE INDUSTRY
Advanced patterning ecosystem around all sectors essential to advanced patterning

NXE:3300 NXT:1970i NXT:1950i


+ TEL Lithius ProZ EUV + TEL Pro-Zi + Screen DUO

Exposure Unit process


clusters
Materials
Resist, DSA, Mask
Metrology &
inspection
Integration
Logic, Memory
Comp litho Design
& DTCO

4
EUV ACTIVITIES AT IMEC: LAB-TO-FAB

Typical imec focus: LAB-to-FAB

Concept and Fundamental Manufacturing Patterning Integration in Towards


Explore understanding Compatibility Development a Module Manufacturing

Complexity, Maturity and Time

5
OUTLINE
EUV DEVELOPMENTS AT IMEC

EUV materials and patterning CNT Pellicle


Carbon Nanotube
development (CNT) Pellicle
SEM

Full-size
Full-size EUV
CNT pellicle
EUV
membrane

Alternate mask absorber


CNT
pellicle
membran
e

High-NA 3D-mask effects

MASK (4X8) SHARP (1X1)

6
EUV MATERIALS
EUV MATERIAL LANDSCAPE TODAY
SOG

CAR Organic
TMAH dev.
UL

Metal Nano Molecular


Particles resist
Solvent- Ancillary SOC
RESISTS based dev. materials

Metal CVD layer


sensitizer Metal Hard
Metal oxide Mask
CAR

Sensitizer UL

CAR = Chemically Amplified Resist Traditional materials


MCR = Metal containing Resist
PTD = Positive Tone Developer
NTD = Negative Tone Developer
SOC = Spin On Carbon Not traditional materials
SOG = Spin On Glass 8
UL = underlayer
FUNDAMENTAL UNDERSTANDING
FUNDAMENTAL UNDERSTANDING: MEASUREMENT TECHNIQUES
Total Electron Yield Secondary Electrons,
EUV absorbance Chemical reactions Solubility switch
Photon emission Quantum Efficiency

Absorption & Electron Yield Measurements at Elettra Synchrotron High-Speed Atomic Force Microscope
Resist
SiN
Si
SiN
membrane sample prep. with
resist on top Si

Resist
Si
resist on bare silicon Resist characterization Bear line: XAS 85eV – 110eV
D. De Simone et al. Photopolymer conference 2016

Chemical reactions with low-energy electron gun


Polymer only
Dissolution Rate Monitor
10000
chemical yield (-)

1000

100
PG
10

1
0 20 40 60 80 100
electron energy (eV)

I. Pollentier et al. upcoming EUVL symposium 2017 Y. Vesters et al. EUVL symposium 2016

10
FUNDAMENTAL UNDERSTANDING
LIGHT-RESIST INTERACTION
CAR MOR
More photons are absorbed and more total electrons are
generated within Inpria resist than with CAR
However, CAR electron efficiency looks higher

Absorption Total Electron Yield Secondary Electron Yield

TEY@ λ=13.53nm
Polystyrene: 0.007
Absorption @ λ=13.53 EUV CAR: 0.040
Polystyrene: 0.0026 Inpria: 0.068
SEY@ λ=13.53nm
EUV CAR: 0.0053 Polystyrene: 1.57
Inpria: 0.0164 EUV CAR: 4.20
Inpria 2.33

11 D. De Simone IEUVI Resist TWG 2016


FUNDAMENTAL UNDERSTANDING
LIGHT-RESIST INTERACTION
CAR MOR
More photons are absorbed and more total electrons are
generated within Inpria resist than with CAR
However, CAR electron efficiency looks higher
... so, the chemistry matters
comparable Dose-to-Size (~21mJ/cm2), 32nm pitch
CAR MOR
LWR 5.4nm LWR 5.2nm
a = 3.6 mm-1 a = 14.6 mm-1

Chemically Amplified 12
Not Chemically Amplified
FUNDAMENTAL UNDERSTANDING
LIGHT-RESIST INTERACTION
... so, the chemistry matters
other metal containing resists have shown very poor patterning performance

44nm pitch 44nm pitch 44nm pitch 54nm pitch


Dose 9.5mJ
Sample 10 – M3

D.. De Simone et al. SPIE 2016


13
FUNDAMENTAL UNDERSTANDING
METAL SENSITIZER IN CAR
Metals can provide a knob to tune sensitivity or LCDU,
but appropriate chemistry design is required

14 J. Jiang et al. Photopolymer conference 2017


FUNDAMENTAL UNDERSTANDING
EFFECTS OF THE SUBSTRATE
resist
Electron yield measurements show dependence of resist substrate Substrate
Electron yield of substrates can often be tuned

0.06 silicon reach


substrates (SOG)
Total electron yield (electrons

0.05 metal containing


photon)

substrate (MHM)
(electrons per incident photon)

0.04
Organic Tunable range
substrate (UL)
per incident
Ye-ph.

0.03

0.02
Alternate
SOG
0.01

0.00
CAR UL1 UL2 UL3 M5-HM M6-HM SOG2 SOG1 SOG3

15 D.. De Simone et al. SPIE 2017


FUNDAMENTAL UNDERSTANDING
EFFECTS OF THE SUBSTRATE
resist
Dose to size correlates with electron yield when in presence of Metals Substrate
Substrate offers a potential improvement knob.
....but trade-offs typically exist (case I on TEY, Dose and LWR)

Organic Metal 1 Metal 2 Metal 2 Impact on


UL HM HM HM DtS &
proc.-A proc.-B LWR
Dose
(mJ/cm2)
25.1 22.9 22.6 17.5

LWR (nm) 5.6 6.2 6.3 6.8

D.. De Simone et al. SPIE 2017


16
FUNDAMENTAL UNDERSTANDING
EFFECTS OF THE SUBSTRATE
resist
Dose to size correlates with electron yield when in presence of Metals Substrate
Substrate offers a potential improvement knob.
....but trade-offs typically exist (case II on TEY and DoF)

0.06
Total electron yield vs. resist substrate Depth of Focus vs. electron yield
250
0.05
UL
(electrons per incident photon)

200
0.04
150 MHM

DoF [nm]
Ye-ph.

0.03
100
MHM
SOG
0.02
50

0.01
0
0.02 0.03 0.04 0.05 0.06
0.00
CAR UL1 UL2 UL3 M5-HM M6-HM SOG2 SOG1 SOG3
substrate photon emission
(#el/incident photon)
D.. De Simone et al. SPIE 2017
17
FUNDAMENTAL UNDERSTANDING
EFFECTS OF THE SUBSTRATE
resist
Dose to size can correlate with electron yield Substrate
Substrate offers a potential improvement knob.
....but trade-offs typically exist (case III on TEY, Dose, LWR and DoF)

45
DtS mJ/cm2

40 38.3
36.3
35
32.3
...but perhaps
30
not always?
25
Condition
Bsi X16070A Condition
Bsi X16071B Condition
Bsi X16210 C
LWR 3.8nm LWR 3.7nm LWR 4.8nm DoF @ 8%EL
DoF 159nm DoF 165nm DoF 180nm CD tg 16nm +/- 5%
Pitch 32nm
Substrate parameter tuned for electron yield

18
FUNDAMENTAL UNDERSTANDING
ELECTRON – RESIST INTERACTION WITH LOW ENERGY E-GUN
Chemistry happens at very low electron energies (~1eV)
Even without a PAG, electrons can deprotect the polymer
Potential means to screen polymers and understand their role in nanobridges

Chemical Yield vs. electron energy Chemical Yield vs. electron energy
(polymerPolymer
+ PAG++PAG
Quencher)
+Q (polymer
Polymeronly)
only
10000
10000
chemical yield (-)

1000

chemical yield (-)


1000
PG
100 100
PAG PG
10 Q
10
RGA noise level RGA noise level
1 1
0 20 40 60 80 100 0 20 40 60 80 100
Chemical yield determined from electron energy (eV) electron energy (eV)
outgassing by RGA during exposure
with electrons of selected energy
I. Pollentier et al. upcoming EUVL symposium 2017
19
FUNDAMENTAL UNDERSTANDING
HIGH SPEED ATOMIC FORCE MICROSCOPE TO PROBE EUV RESIST DEVELOPMENT
Initial wetting causes partial dissolution and swelling
Non-homogeneity propagates throughout development
Further understanding may reveal chemical stochastics video
TMAH Development
in the air in water in water

20L200P 20L200P 50L200P 50L200P

20
PATTERNING
EUV RESIST PERFORMANCE
LOW DOSE IS ACHIEVED, BUT LIMITED BY STOCHASTICS
36nm pitch 38nm pitch
32nm pitch 32nm pitch 26nm pitch
Feature regular dense regular dense
dense line-space / Vertical dense line-space / Vertical dense line-space / Horizontal
contacts pillars

Resist
CAR NCAR CAR NCAR CAR NCAR CAR NCAR
type

SEM
top-down
image
@ BE/BF

Dose
mJ/cm2 30.5 31.4 21 20.9 39 37.3 31 30

LWR/LCDU
nm 4.7 4.6 5.4 5.2 4.5 4.2 3.5 3.9

Challenge #2: LWR

SMOOTHING
After core etch With DCS smoothing After standard etch W/ ALE smoothing After litho After SIS & ash
LER: 3,7nm LER: 2,4nm LCDU 2.7 LCDU 1.4 LWR: 4.6 nm LWR: 2.4nm
EUV RESIST PERFORMANCE
LOW DOSE IS ACHIEVED, BUT LIMITED BY STOCHASTICS
36nm pitch 38nm pitch
32nm pitch 32nm pitch 26nm pitch
Feature regular dense regular dense
dense line-space / Vertical dense line-space / Vertical dense line-space / Horizontal
contacts pillars

Resist
CAR NCAR CAR NCAR CAR NCAR CAR NCAR
type

SEM
top-down
image
@ BE/BF

Dose
mJ/cm2 30.5 31.4 21 20.9 39 37.3 31 30

LWR/LCDU
nm 4.7 4.6 5.4 5.2 4.5 4.2 3.5 3.9

Challenge #1:
Stochastic Failures
STOCHASTIC FAILURES
DETERMINE CD WINDOW FOR A GIVEN PITCH Contact Hole
example
Pitch: 4040 nm
5.0 8
P40
Missing Kissing
4.5 REF2 6

Percent NOK
LCDU [nm]
20161114_105536_E33 4.0 4 Larger CDs:
Lower CDs: D_Hs-
CD 3 ‘kissing’ (i.e.
missing contacts CH_ASize_1kb_P40_Do
se_3792_S17_1_img 3.5 2 merging) contacts
EP_0105

3792_S17
3.0 0
16 17 18 19 20 21 22 23 24 25 26 27
Mean CD [nm]

LCDU is not a good predicter Missing-hole Kissing-hole


Patterning cliff Patterning cliff
of stochastic failures.
‘Failure-free’
Failures must be quantified CD window
independently.

25
Peter De Bisschop, submitted to JM3
STOCHASTIC FAILURES
FAILURE FREE CD WINDOW VARIES THROUGH PITCH Contact Hole
example
Pitch: 3636 nm Pitch: 4040 nm Pitch: 8080 nm
5.0 1.5 5.0 8 5.0 4
P36 P40 P80
Kissing Missing Kissing CD 3
Missing REF2 REF2
4.5 4.5 REF2 6 4.5 3
1.0
CD 3
LCDU [nm]

Percent NOK
LCDU [nm]
Percent NOK

Percent NOK
LCDU [nm]
4.0 4.0 4 4.0 2
Missing
CD 3
0.5
3.5 3.5 2 3.5 1

3792_S17 3792_S17 3792_S17


3.0 0.0 3.0 0 3.0 0
16 17 18 19 20 21 22 23 24 25 26 27 16 17 18 19 20 21 22 23 24 25 26 27 16 17 18 19 20 21 22 23 24 25 26 27
Mean CD [nm] Mean CD [nm] Mean CD [nm]

Stochastic failures 32 nm pitch single Process Co-Optimization required


currently limit expose metal Resist material and process
minimum feature size Metrology / Inspection
Imaging optimization (Mask, source, OPC,)
with EUV single
Post processing
patterning
Alternate integration processes
iN7 (foundry N5 equivalent)
26 Peter De Bisschop, submitted to JM3
FROM THE LAB TO THE FAB
INPRIA CASE
LAB2FAB: METAL OXIDE RESIST (INPRIA CASE)
PATTERNING IMPROVEMENT 
85 mJ/cm2 44 mJ/cm2 38 mJ/cm2 27 mJ/cm2 26 mJ/cm2 19 mJ/cm2
HP 16 HP 16 CD-X 21nm HP 16 HP 13 CD-X 21nm

NXE3100 NXE3300 NXE3300 NXE3300 NXE3300


DIP60X iN7_M3_ill iN7_block_ill. DIP60X iN7_block_ill.

2014 2015 2016 2017

MANUFACTURABILITY ASSESSMENT  Cross contamination consolidation


Initial Etch exploration ICPST32-15 Initial Cross contamination test ICPST33-16 iN7 block layer application SPIE16 Defectivity SPIE17

28
32P METAL (FOUNDRY N5) OPTION: SAQP + INPRIA EUV BLOCK
Industry first assessment of SAQP +
EUV single expose block with metal
containing (Inpria) resist
Integration into BEOL electrical test
vehicle
Assessing edge placement error (EPE)
and viability for manufacturing
Joost Bekaert, SPIE 2017
Mark Mason, SPIE 2017

EXTENSION TO IN5 (FOUNDRY N3)


Development of options for ~20-24 nm pitch
metal blocks using Inpria NCAR:
i.e.: Litho-develop-litho-etch process (LDLE)

Waikin Li, to be published, 2017 EUVL Symposium

29
EUV MASKS
PELLICLE
ALTERNATE ABSORBERS
HIGH-NA 3D MASK EFFECTS

CONFIDENTIAL
CARBON NANOTUBE PELLICLE
Coated CNT mesh for Gen2 250+W HVM Pellicle

Full-size EUV CNT Carbon nanotube (CNT); uncoated


pellicle membrane ▪ Base layer for pellicle
▪ > 97% EUV Trans (tgt >90%)
▪ Full-size with high yield CNT fibers can be varied
▪ Mechanically robust TEMor multi-walled
▪ Single
▪ DUV transmits, not reflects ▪ Diameter, bundling
COATING required for use in scanner
SEM SWCNT ▪ Multiple films in development
TEM SWCNT
▪ Scattering with coating must be limited
SWCNT; 4nm coating

Full-size EUV CNT


pellicle membrane

uncoated meets optical requirements coating for 250W/H* being assessed

31
ALTERNATE MASK ABSORBER (NICKEL, COBALT) resist
PATTERNING BY PHYSICAL ETCHING
H H
Higher absorption Hard Mask
Ni and Co etching is demonstrated. M M
material desired to ▪ Tests on wafer substrate Ni Ni Ni
reduce 3D mask effects Ru
▪ Patterning in resist (ArF)
Si substrate
▪ Transfer into hard mask to avoid
resist contamination by metal
▪ Ion Beam Etch (IBE)
▪ Good CD control
▪ No micro-trenching Ni
300nm
▪ No footing

Improvement of etch selectivity and


Ni and Co as first patterning smaller pitches ongoing
experimental learning
Philipsen et al., to be pub. JM3(2017)

32
EUV HIGH NA ANAMORPHIC IMAGING
QUANTIFY EXPERIMENTALLY M3D EFFECTS AT HIGH NA
USING ANAMORPHIC IMAGING AND COMPARING TO SIMULATION
SHARP SHARP
0.33 NA isomorphic / 6˚ CRA - 4x4 0.55 NA anamorphic / 6˚ CRA - 4x8
where possible comparison to /3300 resist data unique experimental aerial imaging at NA0.55

CENTRAL LINE
BEST FOCUS SHIFT
SHARP demonstrates MASK (4X8) SHARP (1X1)
VERT. P200 / HORIZ. P400 VERT. P50 / HORIZ. P50
sensitivity to 3D mask
effects, although not yet Enabling a study of
P32-V
in quantitative agreement
P32-H resolution, mask effects
with simulations or 3300
P50-V and anamorphic imaging
data. Improvements in
focus measurement in P50-H
progress.

33
SUMMARY
EUV DEVELOPMENTS AT IMEC

EUV materials and patterning CNT Pellicle


Carbon Nanotube
(CNT) Pellicle
SEM

Full-size
Full-size EUV
CNT pellicle
development EUV
membrane

Alternate mask absorber


CNT
pellicle
membran
e

High-NA 3D-mask effects

MASK (4X8) SHARP (1X1)

CONFIDENTIAL
34
ACKNOWLEDGEMENTS
EUV DEVELOPMENTS AT IMEC
EUV materials and patterning Alternate mask absorber
Dr. Norito Kotani (RIBM) Support from the European
Union’s Horizon 2020
Dr. Ramanujam Kumaresan (RIBM)
Prof. Nannarone (IOM-CNR) High-NA 3D-mask effects
Alessandro Vaglio (KLA)
Jack Liddle (Zeiss)
Michael Carcasi (TEL)
Markus Benk and Kenneth Goldberg (LBLN)
All material suppliers at imec

CONFIDENTIAL
35
36 PUBLIC
FUNDAMENTALS UNDERSTANDING
HIGH SPEED ATOMIC FORCE MICROSCOPE TO PROBE EUV RESIST DEVELOPMENT

CONFIDENTIAL
EUV INSERTION

CONFIDENTIAL
IMEC NODE PROCESS ASSUMPTIONS: EUV RAMP
Layer Shape N7 (40p metal) N7+ (36-40p metal) N5 (28-32p metal) N3 (21-24p metal)
HVM Ramp 2017/18 2018/19 2020/21 ~2023
Fin L/S (H) 193i SAQP 1 193i SAQP 1 193i SAQP 1 EUV-SADP 1

193i >=LE3 2
Fin_Keep Fin Keep (H)
(Taper)
3 193i LE3 3 EUV 1 EUV LELE or hNA
(1)
2
Fin_Cut Fin Cut (V) 193i LE 1 193i LE2 1 193i LE2 1 EUV LELE or hNA
(1)

Gate L/S (V) 193i SADP 1 193i SADP 1 193i SADP 1 SADP 1

Gate Vt 2D rectangle 193D >=2 193D >=2 193D 1 EUV LE2 2

Gate_Cut Slotted trench (H) 193i LE2 2 193i LE2 2 EUV 1 EUV 1

M0A Slotted trench (V) 193i >=LE3 >=3 EUV 1 EUV 1 EUV 1 193i
Mint L/S (H) 193i LE2 2 193i SAQP 1 SAQP 1
EUV 1
EUV LE2 SAB or 2
Mint_TRIM/BLK Trench / Pillars (2D) 193i >=LE2 SAB >=2 EUV 1
hNA (1) EUV
Vint-A Contact holes 193i >=LE3 >=3 EUV 1 EUV 1 EUV 1

Vint-G Contact holes 193i >=LE2 >=2 EUV 1 EUV 1 EUV 1

M1 L/S (V) 193i LE2 2 EUV 1 SAQP 1


EUV 1
EUV LE2 SAB or 2
M1 TRIM/BLK Trench / Pillars (2D) 193i LE2 =2 EUV 1
hNA (1)
2
V0 Contact holes 193i =LE3 =3 EUV 1 EUV 1 LE2 2DSAV or hNA
(1)

Mx L/S 193i LE2 2 EUV 1 SAQP 1


EUV 1
2
M1 TRIM/BLK Trench / Pillars (2D) 193i =LE2 =2 EUV 1 LE2 2DSAV or hNA
(1)
2
Vx Contact holes 193i >=LE3 =3 EUV 1 EUV 1 LE2 2DSAV or hNA
(1)

0 8 12 21
39
Total EUV masks (0.33NA)
39

You might also like