Experiment No-:7 Aim-: Tools and Version Used-: Theory
Experiment No-:7 Aim-: Tools and Version Used-: Theory
This circuit has single input D and two outputs Q(t) & Q(t)’. The operation of D flip-flop is
similar to D Latch. But, this flip-flop affects the outputs only when positive transition of the
clock signal is applied instead of active enable.
D Q(t+1)
0 0
0 1
Therefore, D flip-flop always Hold the information, which is available on data input, D of
earlier positive transition of clock signal. From the above state table, we can directly write
the next state equation as
Q(t+1)=D
Next state of D flip-flop is always equal to data input, D for every positive transition of the
clock signal. Hence, D flip-flops can be used in registers, shift registers and some of the
counters.
VHDL Program-:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity q is
d:in STD_LOGIC
clk : in STD_LOGIC);
end q;
architecture Behavioral of q is
begin
process(clk)
if(clk=1)
q<=d;
notq<=notd;
end if;
end process;
end Behavioral;
Simulation-:
Result-:We had realised D flip-flop using Xilinx Software.
Discussion on Result-:VHDL code written and simulated, syntax, truth table, logic block,
RTL Schematic and waveform analysis are performed.