Procesamiento Digital de Señales: Renán Antonio González Espinosa
Procesamiento Digital de Señales: Renán Antonio González Espinosa
Reporte Práctica 2
Alumno:
Renán Antonio González Espinosa
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity pracren is
Port ( A1 : in STD_LOGIC;
A2 : in STD_LOGIC;
A3 : in STD_LOGIC;
A4 : in STD_LOGIC;
F1 : out STD_LOGIC);
end pracren;
architecture estructural of pracren is
begin
F1<= (A3 and ( not A2 )) or (A2 and A1) or (A4 and A2 and A1);
end estructural;
Pad to Pad
---------------+---------------+---------+
Source Pad |Destination Pad| Delay |
---------------+---------------+---------+
A1 |F1 | 5.879|
A2 |F1 | 6.050|
A3 |F1 | 5.930|
---------------+---------------+---------+
Trace Settings:
-------------------------
Trace Settings
entity caso2ren is
Port ( A1 : in STD_LOGIC;
A2 : in STD_LOGIC;
A3 : in STD_LOGIC;
A4 : in STD_LOGIC;
F : out STD_LOGIC);
end caso2ren;
Pad to Pad
---------------+---------------+---------+
Source Pad |Destination Pad| Delay |
---------------+---------------+---------+
A1 |F | 6.305|
A2 |F | 6.281|
A3 |F | 6.216|
---------------+---------------+---------+
Trace Settings:
-------------------------
Trace Settings
Imagen 2
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity practica4 is
port(
A1: in std_logic ;
A2: in std_logic ;
A3: in std_logic ;
A4: in std_logic ;
f1: out std_logic);
end;
architecture prosecution of practica4 is
begin
process (A1,A2,A3,A4)
begin
if ((A3='1' and ( not A2='1' )) or (A2='1' and A1='1') or (A4='1' and A2='1' and A1='1')) then
f1<='1';
else
f1<='0';
end if;
end process;
end prosecution;
Pad to Pad
---------------+---------------+---------+
Source Pad |Destination Pad| Delay |
---------------+---------------+---------+
A1 |f1 | 6.305|
A2 |f1 | 6.281|
A3 |f1 | 6.216|
---------------+---------------+---------+
Trace Settings:
-------------------------
Trace Settings
Imagen 4