Basic VHDL Codes: Objective
Basic VHDL Codes: Objective
Objective
To implement VHDL Codes for the following:
1. 2x1 MUX
2. 2x4 Decoder
3. 4 bit ALU with 8 operators
Codes
library ieee;
use ieee.std_logic_1164.all;
entity mux_ent is
port (i:in std_logic_vector(1 downto 0);s:in std_logic;y:out std_logic);
end mux_ent;
entity decoder_ent is
port(i:in std_logic_vector(1 downto 0);y:out std_logic_vector(3
downto 0));
end decoder_ent;
entity alu_ent is
Tuesday, August 8, 2017
Experiment 2: VHDL Codes BT15ECE021 BT15ECE039
port(a,b:in std_logic_vector(3 downto 0);s:in std_logic_vector(2
downto 0);y:out std_logic_vector(3 downto 0));
end entity;
Input
We force desired inputs to each of these cases to get our desired output
Output
1. 2x1 MUX
Tuesday, August 8, 2017
Experiment 2: VHDL Codes BT15ECE021 BT15ECE039
2. 2x4 Decoder
3. 4 bit ALU
Result