33% found this document useful (3 votes)
3K views78 pages

ULSI Technology Chapter01

Uploaded by

amit8501
Copyright
© Attribution Non-Commercial (BY-NC)
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
33% found this document useful (3 votes)
3K views78 pages

ULSI Technology Chapter01

Uploaded by

amit8501
Copyright
© Attribution Non-Commercial (BY-NC)
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 78

tlg

ULSI Technology

EDITED BY

C. Y. Chang

Chair Professor; College of Electrical Engineering and Computer Science National Chiao Tung University

Director, National Nano Device Laboratories Hsinchu. Taiwan, ROC

. +ert wthony -'?ber,

S. M. Sze

UMC Chair Professor

Department of Electronics Engineering

Director, Microelectronics and Information Systems Research Center National Chiao Tung University

Hsinchu, Taiwan, ROC

NMIT Library, Bangaiore.

11111111111111111111111111111111111

15623

621.395 CHA

THE McGRAW-HILL COMPANIES, INC.

New York St. Louis San Francisco Auckland Bogota Caracas Lisbon London Madrid Mexico City Milan Montreal New Delhi

San Juan Singapore Sydney Tokyo Toronto

CONTENTS

List of Contributors Xlll
Preface xv
Introduction XVll
1 Cleanroom Technology 1
H. P Tseng and R. Jansen
1.1 Introduction 1
1.2 Cleanroorn Classification 4
1.3 Cleanroom Design Concept 8
1.4 Cleanroom Installation 24
1.5 Cleanroom Operations 32
1.6 Automation 34
1.7 Related Facility Systems 38
1.8 Summary and Future Trends 54
References 55
Problems 58
2 Wafer-Cleaning Technology 60
C. Y. Chang and T. S. Chao
2.1 Introduction 60
2.2 Basic Concepts of Wafer Cleaning 60
2.3 Wet-Cleaning Technology 92
2.4 Dry-Cleaning Technology 93
2.5 Summary and Future Trends 100
References 101
Problems 104
3 Epitaxy 105
P Wang
3.1 Introduction 105
3.2 Fundamental Aspects of Epitaxy 107
3.3 Conventional Si Epitaxy 115
3.4 Low-Temperature Epitaxy of Si 125
3.5 Selective Epitaxial Growth of Si 131
Vll viii Contents
3.6 Characterization of Epitaxial Films 135
3.7 Summary and Future Trends 138
References 139
Problems 143
4 Conventional and Rapid Thermal Processes 144
R. B. Fair
4.1 Introduction 144
4.2 Requirements for Thermal Processes 151
4.3 Rapid Thermal Processing 159
4.4 Summary and Future Trends 196
References 198
Problems 203
5 Dielectric and Poly silicon Fi1lm Deposition 205
H. C. Cheng
5.1 Introduction 205
5.2 Deposition Processes 205
5.3 Atmospheric-Pressure Chemical- Vapor-Deposited
(APCVD) and Low-Pressure Chemical- Vapor-Deposited
(LPCVD) Silicon Oxides 215
5.4 LPCVD SiJicon Nitrides 225
,
5.5 LPCVD Poly silicon Films 226
5.6 Plasma-Assisted Depositions 240
5.7 Other Deposition Methods 247
5.8 Applications of Deposited Polysilicon, Silicon Oxide,
and Silicon Nitride Films 257
5.9 Summary and Future Trends 262
References 264
Problems 268
6 Lithography 270
K. Nakamura
6.1 Introduction 270
6.2 Optical Lithography 272
6.3 Electron Lithography 295
6.4 X-Ray Lithography 312
6 .. 5 Ion Lithography 320 Contents IX
135 6.6 Summary and Future Trends 323
138 References 324
139 Problems 3""'"
L./
143
7 Etching 329
144 Y. 1. T. Lii
7.1 Introduction 329
l44 7.2 Low-Pressure Gas Discharge 330
l51 7.3 Etch Mechanisms, Selectivity, and Profile Control 334
159 7.4 Reactive Plasma Etching Techniques and Equipment 343
[96 7.5 Plasma Processing Processes 353
.98 7.6 Diagnostics, End Point Control, and Damage 362
:03 7.7 Wet Chemical Etching 364
7.8 Summary and Future Trends 366
~05 References 367
Problems 369
:05
05 8 Metallization 371
R. u«
8.1 Introduction 371
15 8.2 Metal Deposition Techniques 379
25 8.3 Silicide Process 395
26 8.4 CVD Tungsten Plug and Other Plug Processes 406
40 8.5 Multilevel Metallization 412
47 8.6 Metallization Reliability 448
57 8.7 Summary and Future Trends 451
S2 References 457
J4 Problems 468
18 9 Process Integration 472
70 C. Y. Lu and W Y. Lee
9.1 Introduction 472
,'0 9.2 Basic Process Modules and Device Considerations for
ULSI 473
'2 9.3 CMOS Technology 489
)5 9.4 Bipolar Technology 495
12 9.5 BiCMOS Technology
502
~o 9.6 MOS Memory Technology
510 x Contents

9.7 Process Integration Considerations in ULSI Fabrication

Technology 519

9.8 Summary and Future Trends 523

References 525

Problems 529

10 Assembly and Packaging T. Tachikawa

10.1 Introduction 10.2 Package Types

10.3 ULSI Assembly Technologies 10.4 Package Fabrication Technologies 10.5 Package Design Considerations 10.6 Special Package Considerations 10.7 Other ULSI Packages

10.8 Summary and Future Trends References

Problems

530

530 531 540 552 557 569 573 580 583 585

11 Wafer Fab Manufacturing Technology T. F. Shao and F. C. Wang

11.1 What Is Manufacturing?

11.2 Wafer Fab Manufacturing Considerations 11.3 Manufacturing Start-Up Technology

11.4 Volume Ramp-Up Considerations

11.5 Continuous Improvement

11.6 Summary and Future Trends

References Problems

587

587 593 609 639 646 652 653 655

12 Reliability 1. T. Yue

12.1 Introduction

12.2 Hot Canier Injection 12.3 Elcctromigration 12.4 Stress Migration 12.5 Oxide Breakdown

12.6 Effect of Scaling on Device Reliability

656

656 657 663 674 679 684

9 3 5 9

12.7 Relations between DC and AC Lifetimes 12.8 Some Recent ULSI Reliability Concerns 12.9 Mathematics of Failure Distribution

12.10 Summary and Future Trends References

Problems

o

o 1 o 2 7 9 3 o 3

Appendixes

A. Properties of Si at 300 K

B. List of Symbols

C. International System of Units

D. Physical Constants

Index

5

7

7 3 }

9 ~ 2 3

5

,r

)

s

7 ;

Contents Xl
686
690
692
696
697
703
705
705
707
709
710
711 INTRODUCTION

r

1 j

GROWTH OF THE INOUSTRY

r

The United States has the largest. electronics industry in the world, with a global market share of over 40%. Since 1958, the beginning of the integrated-circuit (K') era, the factory sales of electronic products have increased by about thirty times [see Fig. 1, curve (a)1,2]. Electronics sales, which were $303 billion in 1993, are projected to increase at an average annual rate of 8.5% and reach a half-trillion-dollar level by the year 2000. In the same period, the Ie market itself has increased at an even higher rate [see Fig. 1, curve (b)I,2].* Ie sales in the United States were $28 billion in 1993 and are expected to grow by 13% annually, reaching $65 billion by the year 2000. The main impetuses for such phenomenal market growth are the intrinsic pervasiveness of electronic products and the continued technological breakthroughs in integrated circuits.

The world markets of electronics and semiconductor industries will grow at comparable rates. Figure 2 shows the 1993 world electronics industry with a global sales volume of $679.7 billion. Also shown are the market shares of the six major electronics applications: computer and peripherals equipment at 32.3%, consumer electronics at 21.2%, telecommunication equipment at 16.5%, industrial electronics at 14.3%, defense and space at 11.5%, and transportation at 4.2%. By the year 2000, the world electronics industry is projected to reach $1200 billion, which will surpass the automobile, chemical, and steel industries in sales volume.

Figure 3 shows the 1993 world semiconductor industry, with total sales of $85.6 billion. Only 14% is related to optoelectronics and discrete semiconductor devices. IC sales constitute 86% of the total volume, with the largest segment being memory Ks, followed by microprocessor and microcontroller units, logic ICs, and analog K's. In 2000, the semiconductor industry is projected to reach $200 billion, with over $170 billion in integrated circuits.

Figure 4 shows the market shares of the three major Ie groups: MOSFET, bipolar transistor, and ICs made from IIl- V compound semiconductors.I At the beginning of the Ie era, the IC market was broadly based on bipolar transistors. However, because of the advantages in device miniaturization, low power consumption, and high yield, sales volume of MOS-based ICs has increased steadily and in ]993 amounted to 75% of the total Ie market. By the year 2000, MOS ICs will capture the largest market share (88%) of all ICs sold .. This book, therefore, emphasizes MOSrelated ULSI technology.

"There were only two years in which the growths were negative: in 1974, due 10 the Middle East oil embargo, and in 1985, due to overproduction of personal computers.

xvn

xvm Introduction

of electronics

circuits

0.1 r, ----~------r------r--T__+----~r_----+_--~rl

Invention of transistor

Beginning of

Ie

1940

0.0 I l__ ...L.,_ __ --'----_L_ L...!.,_--"--- __ ___J__. __ -----' L__ __ ____J

1930

2000

1950

1960

1970

Year

1980

1990

FIGURE 1

(a) Factory sales of electronics in the United States for the 64 years between 1930 and 1993 and projected to 2000. (b) Integrated circuit market in the United States for 32 years between 1962 and 1993 and projected to 2000. (After Refs. 1 and 2.)

DEVICE MINIATURIZATION

Figure 5, curve (a), shows the rapid growth in the number of components per MOS memory chip.4,S Note that the MOS Ie complexity has advanced from small-scale integration (SSI), to medium-scale integration (MSI), to large-scale integration (LSI), to very-large-scale integration (VLSI), and finally to ultralarge-scale integration (ULSI), which has 107 or more components per chip. We note that since 1975 the growth has been maintained at a rate of about 40'% annually; in other words, the

II

Introduction XIX

Computers & peripherals equipment 32.3%

Industrial electronics 14.3%

$679.7B

Telecommunication equipment 16.5%

FIGURE 2

1993 world electronics industry. (After Dataquest, 1994.)

number of components has doubled every two years. At this rate, over 100 million components per chip will be available before the year 2000; in the early 21 st century we will move into the gigabit range, with IC chips having more than one billion components.P:" Also shown in Fig. 5 is the growth of the number of components for bipolar, MESFET, and MODFET lCs. They are about two orders of magnitude lower in complexity compared with MOS-based les.

The most important factor in achieving the ULSI complexity is the continued reduction of the minimum device-feature length [see Fig. 6, curve (a)]. Since 1960, the annual rate of reduction has been 13%, which corresponds to a reduction by a factor of two every six years. At this rate, the minimum feature length will shrink from its present length of 0.5 u.m to 0.2 urn in the year 2000. The junction depth of the source and drain junctions, and the gate oxide thickness are also being reduced at a similar rate as shown in curves (b) and (c) of Fig. 6, respectively.

The reduction of the device feature length and related dimensions has resulted in reduced overall device size and unit price per function. Figure 7 shows the relative price and size reductions .. 8 In the past fifty years, prices have gone down by 100 million times, and the size has been reduced by a factor of one billion. By 2000 the price per bit is ex pee ted to be less than 0.1 millicent for a 64-megabit memory chip. Similar price reductions are expected for logic les. Additional benefits from device

xx Introduction

Optoelectronics

Memory IC 28.5%

AnaJogJC ]4.2%

Logic IC 18.7%

MPU, CPU 24.5%

FIGURE 3

1993 world semiconductor industry. (After Dataquest,1994.)

III-V

~
'-' 60
Q)
....
<"3
..c: 88%
'"
...
Q)
.Ie:: 40
....
«I
~ MOSFET OL_ ~~ ~ ~ ~/

1980

]985

1990

1995

2000

Year

FIGURE 4

World ic market (1980-2000). (After Zdebel, Ref3.)

Introduction XXI

107
106
0.
.c
o
.... 105
u
0.
~
r::
u
r::
0 104
0.
E
0
U
103 ,-IG

109~ ~ __ ~

/-256M /

/

/"64M

/

16M

ULSI

VLSI

1 ~------~------~--------~------~------~

J960

SSI

1970

1980

1990

2000

2010

Year

FIGURE 5

(a) Exponential growth of the number of components per MOS IC chip. (After Moore, Ref 4, and Myers, Ref 5.) (b), (c). and (d) Components per chip versus year for bipolar, MESFET, and MODFET ICs, respectively.

miniaturization inc1ude improvement of device speed (which varies inversely with the device feature length) and reduction of power consumption (which varies approximately with the square of the feature length). Higher speeds lead to expanded IC functional throughput rates, so that future ICs can perform data processing, numerical computation, and signal conditioning at 100 and higher gigabit-per-second rates." Reduced power consumption results in lowering the energy required for each switching operation. Since 1960 the required energy, called the power-delay product, has decreased by six orders of magnitude. 10

ORGANIZATION OF THE BOOK

Figure 8 shows how the 12 chapters of this book are organized. Chapter 1 considers cleanroom technology. The continued miniaturization in ULSI devices implies more

XXll Introduction

0.01

10

~
E
::l
'-'
'"
'"
<U
..Q
.S!
..c;
....
....
0
..c;
....
tlJ} 0.1
.::
<U
...J 10000A

100A

0.004 '-- -'- ---L L.._ ---'''''' 40A

1960 1970 1980 1990 2000 Year

loooA

(c) Gate oxide thickness

(-13% reduction per year)

FIGURE 6

Exponential decrease of (a) minimum feature length, (b) junction depth, and (c) gate oxide thickness of MOSFET.

stringent requirements with respect to contamination control. Without an ultraclean processing environment, ULSI circuits simply cannot be realized. I I

ULSI technology is synonymous with silicon ULSI technology. The unique combination of silicon's adequate bandgap, stable oxide, and abundance in nature ensures that in the foreseeable future no other semiconductor will seriously challenge its preeminent position in ULSI applications .. Some important properties of

silicon are listed in Appendix A. .

Once the silicon wafers are in the cleanroom, we enter into the wafer-processing sequence, described in Chapters 2 through 8 and depicted in the wafer-shaped central circle of Fig. 8. Each of these chapters considers a specific process step. Of course, many processing steps are repeated many times in IC fabrication; for example, lithography and etching steps may be repeated IOta 20 times. In ULSI technology the wafer-cleaning technology is as important as the cleanroom technology. Without a contamination-free wafer surface, the ICs win suffer from low yield and poor reliability. Because of limitations on the total length of the book, many classic topics, such as crystal growth, oxidation, diffusion, and ion implantation, are only briefly mentioned. The reader may consult textbooks on VLSI technology for details. ]2

The individual processing steps described in Chapters 2 through 8 are combined in Chapter 9 to form devices and integrated circuits. Chapter 9 considers the fundamental building process modules and four important IC families: CMOS (complementary MOSFET), bipolar ICs, BiCMOS (a combination of bipolar and CMOS),

Introduction XXlU

10-6

I I I I I
--- Electron tube -I Semiconductor devices

I
Standard tube
.... _
.......... Miniature tube
r, I I
- --.. ''-.... !
~~BiPOlar transistor
~tegrated circuit
'~
' ....
'.:
V\. " LSI
Price , ~J
,
,
\
.'
I'"~ ~
Device size'
ULS]
'\ ..
,
,
....
,
,
,
,
,
'I (IJ 10-2
::::l
(;l
>
Q)
>
(;(j
Ql
0:::
10-4 10-8

10-10 1930

1940

1950

1960

1970

1980

1990

2000

Year

FIGURE 7

Price and size reduction of active electronic compents, (After Shoda, Ref 8.)

and MOS memory ICs. After the completely processed wafers are tested, those chips that pass the tests are ready to be packaged. Chapter 10 describes the assembly and packaging of ULSI chips. Chapter 1 I considers the manufacturing technology, that is, the strategy and logistics to implement various technologies to produce ULSI chips that meet customers' specifications in a timely fashion and to generate adequate return on investment for the IC manufacturer. Chapter 12 describes a multitude of reliability issues related to ULSI processes. As device dimensions move to the sub-half-micron and sub-quarter-micron regime, ULSI processing becomes more automated, resulting in tighter control of alI processing parameters. At every step of production, from wafer cleaning to device packaging, numerous requirements are being imposed to improve the device performance and reliability.

To keep the notation simple in this book, we sometimes found it necessary to use a symbol more than once, with different meanings. However, within each chapter a

~ ---- ---- ---- (0 --- ----
N C") V If) r- oo 8
.... ..... ... ... ... .. '-
Q.) Q.) Q.) Q.) Q.) Q.) Q.)
E.. c.. .... P.. P.. .... .... c;: ,_
.... c.. c.. c.. Q.)
11) CIS CIS CIS CIS CIS CIS CIS ... E..
.... ..c ..c ..c ..c ..c ..c ..c
c.. U U U U U U ~ Q.) CIS
....
CIS '-' '-" '-' '-' .._. ...__ c.. ..c
.c C<l U
S:!- ..c , '-"
c U OJ)
;:.., 0 I .._, l::
OJ) -0 l:l c '5l:J
0 '5. ,S
(3 .~ ro
ro '" 't;j -'<:
C ... II) ;:.., ,._, o
..c ""0 III (3 C OJ) r0-
o C '" o, ,g Q.) c..
E OJ) ro 11), C
C U -0 ""0
E "2 ;:.., (;i 0 c '~ c ,..... C'
,._, c-, 0 ro-
OJ) c '" 0 so
0 o:l c.. .c . .;:: '"
0 Q.) 0 .g t.) o, c.. 11) ;:..,
(3 (;i <l) o:l :0
.... Y ·c '" N o
C C ;:.., C § .... -0 ,,._, OJ) 0 E
ro ... .c x Q.) u E OJ) c - ...
~ <E o s > Q.) ~ 0 :.E (;i c, Il.J
c:: ~ E dl '"
U OJ E '0. -5 Il) o '"
~ a is :J Ul ::E <
UJ u !...
~<g
I::'"
co;;!
.J= .:2
u
..__ 0
;>, 0
OJ) .D
0
~6 <IJ
c:: ..c
oJ::: ....
'J, "'-'
~~ 0
IJI] $::
.S 00 .S
I-
s ~ ' .....
CIj
0 N
1.:-:3
,,- ;;:J C
::::1 ell
r-' c
;;:; bI)
,,- - bo
~ ~ 0 XXJV

Introduction xx v

symbol has only one meaning and is defined the first time it appears. Many symbols do have the same or similar meanings consistently throughout this book; they are summarized in Appendix B. *

ULSI technology is presently moving at a rapid pace. The number of ULSI publications has doubled every year since 1990, the beginning of the ULSI era. Many topics, such as lithography, rapid thermal processing, and metallization, are still under intensive study. Their ultimate capabilities are not fully understood. The material presented in this book is intended to serve as a foundation. The references listed at the end of each chapter can supply more information.

REFERENCES

1. }994 Electronic Market Data Book, Electronic Industries Association, Washington, D.C.,. 1994.

2. 1994 Annual Report of Semiconductor Industry, Industrial Technology Research

Institute, Hsinchu, Taiwan, ROC, 1994 ..

3. P.1. Zdebel, "Current Status of High Perforrnance Silicon Bipolar Technology," . 14th Annual IEEE GaAs Ie Symp. Tech. Digest, 15 (1992).

4. G. Moore, "VLSI, What Does the Future Hold," Electron Aust.,42, 14 (1980).

5. W. Myers, "The Drive to the Year 2000," IEEE Micro, 11, 10 (1991).

6. P. K. Chatterjee and G. B. Larrabee, "Gigabit Age Microelectronics and Their Manufacture," IEEE Trans. VLSI Syst.l, 7 (1993).

7. K. Mori, H. Yamada, and S. Takizawa, "System on Chip Age," Proceedings oj the International Symposium on VLSI Technology, Systems, and Applications, k15 (1993).

8. K. Shoda, "Home Electronics in the 1990s," Proceedings oj the International Symposium on VLSl Technology, Systems, and Applications, 1(1991).

9. H. Komiya, M. Yoshimoto, and H. Ishikura, "Future Technological and Economic Prospects for VLSI," IEICE Trans. Electron. E76-C, 1555 (1993).

10. R. W. Keyes, "Limitations of Small Devices and Large Systems," in N. G. Einspruch, Ed., VLSI Electronics, Academic, New York, i981, Vol. 1, p. 186.

11. T. Ohrni, "ULSI Reliability through Ultraclean Processing," Proc. IEEE, 81, 716 (993).

12. For example, S. M. Sze, Ed., VLSI Technology, 2nd Ed., McGraw-Hill, New York, 1988.

* Also included are the International System of Units (Appendix C) and Physical Constants (Appendix D).

CHAPTER 1

Cleanroom Technology

H. P. Tseng and R. Jansen

1.1 INTRODUCTION

Microtechnologies are developing in a way that makes both the production tool and the process environment increasingly critical for the manufacturing process. The issue is, above all, to prevent defects in the product or, in other words, to increase the yield. This is achieved by the control of the wafer environment. The environmental factors include the control oftemperature, relative humidity, electrostatic discharges, airborne particles, chemical contamination, electromagnetic fields, oxygen, and vibration.

The continuous miniaturization in device technology implies more and more stringent requirements with respect to contamination control.' Table 1 lists the changes in requirements from 1980 projected to 2004. In the 1980s a cleanliness class of 100-1000 was sufficient for the feature size of 2 u.m. Today, a cleanliness class of 0..1 is the requirement when addressing a feature size of 0.5 p.m down to 0.25 urn, In the future, inert mini environments may be the only. applicable solution.2,3 To eliminate microcontamination and reduce native oxide growth 'on silicon wafers, the wafer processing and loading/unloading sections of a process tool are enclosed in an extremely high cleanliness mini environment flushed with ultrapure nitrogen containing no oxygen and moisture. Similar developments can be observed with respect to the purities of the process utilities: from 1 ppm in the 1980s to 1 ppb in the very near future.

Reducing defect density is the most important aspect of increasing yield. Defect density is defined as the number of defects per em? wafer that may occur during the processing due to all kinds of contamination. Particle contamination is still responsible for over 80% of defects. Table 2 summarizes the defect density requirements with respect to different design rules. Critical particle sizes, above which killing defects may be generated on the wafer surfaces, follow technology developments,

1

2 ULSI Technology
TABLE 1
Evolution of Ie processing features
• il1 f as i!_ilIa __ IISI __ l 1 iitll'ililil Z 'QfIiiC4!'~:_;'.'jitiia.~ ......
Mass production
started 1980 1984 1987 1990 1993 1996 1999 2004
Wafer size, mm 75 100 125 150 200 200 200 300
Technology (DRAM) 64K 256K 1M 4M 16M 64M 256M IG
Chip size (ern") 0.3 OA 0.5 0.9 1.4 2.0 3.0 4.5
Feature sizeuim) 2.0 1.5 1.0 0.8 0.5 0.35 0.25 0.2-0.1
Process Steps 100 150 200 300 400 500 600 700-800
,
Cleanroom class 1,000-100 100 " : 10 0.1 0.1 0.11 O.l/inert
...
(to be defined in mlnI- rmm-
Sec. 1.2) environ- environ-
ment ment
Utility impurity (ppb) 1,000 500 100 50 5 0.1 0.01
Source: Courtesy of KLA. decreasing from 0.12 J-Lm at a 0.8-J.Lm-feature size to 0.03 J-Lm at a O.25-J-Lm-feature size. As the process technology migrates from 0.8 J-Lill 4M DRAM (dynamic random access memory) to 0.25 J.Lm 256M DRAM over a time span of one decade, the maximum tolerable defect densities per critical layer measured at the defect size of 0.12 urn must be reduced from 0.28 D/cm2 to 0.004 D/cm2, representing an improvement factor of 65 times.

It is evident that the requirements for the control of the wafer environment are of great importance to the yield. In practice, the control of the wafer environment is a crucial aspect of the wafer manufacturing processes. It constitutes the basis for the design of the deanroom systems and process utilities systems.

TABLE 2
Minimum defect density requirement with respect to design rule
DRAM process technology Unit 4M 16M 64M 256M
Design rule Micron 0.8 0.5 0.35 0.25
Critical layer Each 9 10 11 13
Critical particle size Micron 0.12 0.09 0.05 0.03
Killing defect size Micron 0.27 0.18 0.1 0.06
Defect density measured at the killing D/cm2 0.50 0.40 0.32 0.22
defect size
Defect density measured at 0.12 t-Lm D/cm2 2.53 0.90 0.22 0.055
particle size
Defect density/critical level measured at D/cm2 0.28 0.09 0.02 0.004
0.12 urn
Defect improvement factor 3 14 65
Source: Courtesy of KLA. CHAPTER 1: Cleanroorn Technology 3

1-

Besides the extreme high-quality demands, issues such as flexibility, safety, reliability, and an accountable cost level are equally important to the design. Moreover, rapid developments within the market and process technology call for swift actions. At first glance, a number of these actions seem to be contradictory.

In the past, a typical reaction of designers and engineers to this rather complex problem was to rule out, as much as possible, all conceivable risks. This attitude caused unnecessarily high investments. Cost-effectiveness is the only acceptable solution. Cost-effectiveness can be understood as the optimum integration of appropriate quality, quantity, safety, flexibility, reliability, and time to achieve a competitive cost level. To achieve such cost-effectiveness, four conditions are of utmost importance, namely, know-how, approach, organization, and control.

The available know-how determines whether a design is or is not cost-effective.

Inadequate knowledge often leads to overkill, resulting in excessive cost.

The project approach, combined with the project organization, constitutes the most important control mechanism. Modifications and surprises introduced at a late stage are caused mostly by an improper project approach.

The project organization is extremely important for efficiency, information, communication, and chain of responsibility. If projects are not properly organized, they tend to drift away from their objectives.

Control focuses on furnishing continuous, up-to-date information about the costs, timing, and quality. Such information is vital as a control tool. .

In the past decade, submicrorneter technology has enormously enriched the know-how and experience in both technique and approach. The learning curve is vital1y important to each individual who is involved with the cleanroom technology III any way.

In an attempt to give some practical insights, a global clarification of the most important technological developments win be provided. The following subjects will

I

be covered: I

Section 1.2, on cleanroom classification, defines dieanroom cleanliness classes according to the current standard and explains their application.

Section 1.3, on cleanroom design concept, deals with the considerations needed to define the optimum process layout, and the design of a cleanroom. In addition, the common cleanroom performance criteria are listed, such as cleanliness class, temperature and humidity control, air quality, etc. Principal technical data that are essential for sizing the cleanroom facilities of a 200-mm-wafer fab are also presented.

Section 1.4, on cleanroom installation, provides basic information concerning the c1eanroom subsystems such as exhaust, make-up air, recirculation air, chilled water, hot water, steam, filter ceiling, partition walls, and raised floor. Moreover, the recommended project approach, an overall time schedule for the design and realization of a cleanroom installation project, as wen as a cost analysis are presented.

Section 1.5, on cleanroom operation, explains common cleanroom gowning procedures and cleanroom maintenance practices.

Section 1.6, on automation, clarifies various levels of process equipment control, from shop-floor-control software to the application of equipment interfaces.

Section 1.7, on related facility systems, provides basic information about the design of the deionized water system, process chemicals and gases, and the importance of space-management design.

. I DO

rt

'e 1-

Ie

4 ULSI Technology

Finally, in Section 1.8, we present conclusions on all the main issues along with a look of the future trends."

1.2

CLEANROOM CLASSIFICATION

The laminar-flow cleanroom concept was developed at Sandia National Laboratories, Albuquerque, New Mexico, in 1961 to provide a particle-free environment for thinfilm deposition and the assembly of delicate mechanisms. This c1eanroom concept was swiftly adopted by the then-burgeoning semiconductor industry.

TABLE 3

Evolution of Fed. Std 209 Series for specifying cleanliness of air

Date Fed. Std.

Highlights of the original and revised contents

!8f.iI II II liaR' f iii I

Dec. 1963 209

Aug. 1966 209 A

Apr. 1973 209 B

May 1977 209B

amendment

Oct. 1987

209C

June 1988 2090

Sep.1992 209E

Cleanroorn operation principles Cleanroom design and testing methods Air flow pattern

Laminar flow and turbulent flow Air velocity, 90 :t 20 It/min

Pressure, temperature, humidity, vibration Audio freguency noise, air exchange rate

Air cleanliness classification specified as the number of particles at sizes larger than 0.5 urn per cubic foot; class 100, 10,000, and 100,000

Changed air velocity from 90 ± 20 ft/min to 90 ± 20% ftlmin and changed humidity from 45% to 40 ± 5%

Added cleanliness class 1,000

Major revision of cleanroorn classification and testing method

Added classes 1 and 10

Extended the particle measurements from 5 urn and 0.5 urn down to 0.3 p.m and 0.2 urn for class 100, and down to 0.3 urn, 0.2 urn, and 0.1 u.m for class 10 and class I

Clearly defined particulate sampling locations and numbers of sampling and measuring time

Corrected several typographical errors found in Fed. Std. zosc

Adopted metric system

Added descriptor to specify the maximum allowable number of ultrafine particles per cubic meter

Added sequential airborne particle sampling plan to the single air sampling plan specified in Fed. Std.209D

CHAPTER I: Cleanroom Technology 5

'ith

TA BLE 4

Metric definition of airborne particulate cleanliness classes per Fed. Std.209E

_w'_a.........s; __ •• IlUUllU .--
Particles/m''
Class 0.1 urn 0.2 J.Lm 0.3J.LID 0.5 u.m
MI 3.50 x 102 7.57 X 101 3.09 X 101 1.00 X 101
~S, ML5 1.24 x 103 2 .. 65 X 102 1.06 X ]02 3.53 X 101
n- MZ 3.50 x 103 7.57 X ]02 3.09 X 102 1.00 X 102
.pt M2.S 1.24 x 104 2.65 X 103 1.06 X 103 3.53 X 102
M3 3.50 x 104 7.57 X 103 3.09 X 103 1.00 X 103
M3.5 2.65 x 104 1.06 X 104 3.53 X 103
M4 7.57 x 104 3.09 X 104 1.00 X ]04
M4.5 3.53 x 104
M5 1.00 x lcP
M5.5 3.53 x 105
M6 1.00 x 106
M6.5 3.53 x 106
M7 1.00 x 107 2.47 X 102 6.18 X 102 2.47 X 103 6.18 X 103 2.47 X 104 6.18 X 104

In December 1963, the U.S. government issued Fed. Std .. (Federal Standard) 209 to standardize cleanroom design and operation guidelines. Over the past three decades, U.S. Fed. Std. 209 has undergone several revisions as illustrated in Table 3. In the last revision, Fed. Std. 209E, the original title used for nearly 30 years, "Cleanroom and Work Station Requirements,. Controlled Environment," had been changed to "Airborne Particulate Cleanliness Classes in Cleanrooms and Clean Zones."

The recent addition of metric system units ensures that the Fed. Std. 209 series will be used by the international technical community as a world standard technical document for the characterization of the cleanliness of air. The definition of the metric airborne particulate cleanliness classes and the relevant air sampling method specified in Fed. Std. 209E are quite different than those of Fed. Std. 209D and, hence, warrant further discussion.

Tables 4 and 5 illustrate air cleanliness classes expressed in SI and English units, respectively. The numerical designation of the class in SI units is taken from the

TABLE 5

English definition of airborne particulate cleanliness classes per Fed. Std.209E

Partlcles/ft''
Class 0.1 urn 0.2f..Lm 0.3 J.LID 0.5 J.LID
1 3.50 x 10 7.50 3.00 1.00
10 3.50 x 102 7.50 x 10 3.00 x 10 1.00 X 101
100 7.50 X 102 3.00 X 102 1.00 X 102
1000 l.00 X 103
10,000 1.00 X 104
100,000 1.00 X 105 7.00

7.00 x 10 7.00 X 102

6 ULSI Technology

logarithm (base 10) of the maximum allowable number of particles, 0.5 j.Lm and larger, per cubic meter. The numerical designation of the class in English units is taken from the maximum allowable number of particles, 0.5 urn and larger, per cubic foot. Note that the concentration of the particle, in general, is roughly inversely proportional to the particle size to a 2.2 power.

In addition to the metric definition of new and existing classes, standard nomenclature for the verification of a class was introduced in Fed. Std. 209E. It is no longer sufficient to state that air meets class 100 or class 1; Fed. Std. 209E requires that classes are expressed by using the format

Class X (at Y urn)

where X represents the numerical designation of the airborne particulate cleanliness class and Y represents the particle size.

In Fed. Std. 209E, a new air cleanliness definition, the U descriptor is added.

The U descriptor specifies the maximum allowable numbers of ultrafine particles in a cubic meter of air in the size range from approximately 0.02 p.m to the upper detectable limit of a discrete-particle counter. Without referring to a specific particle size, the U descriptor defines the cleanliness as

,

UeX)

where X is the maximum allowable number of ultrafine particles per cubic meter of air.

'il The description of air cleanliness is illustrated by the fol1owing examples:

"Class M 2.5 (at 0.3 p.rn)" describes air with no more than 1060 particles/m ' with a particle size of 0.3 urn and larger.

"Class 100 (at 0.5 p.m)" describes air with no more than 100 particles/If with a particle size of 0.5 p.rn and larger.

"U(20)" describes air with no more than 20 ultrafine particles/rrr'.

Figure 1 shows the maximum allowable particle concentrations of each cleanliness class in U.S. Fed. Std. 209E as a function of particle size. The air cleanliness class in Japanese standard JIS B9920 rev. (revised) is shown in Fig. 2 for comparison.

Similar to Fed. Std. 209E, JIS B9920 rev. also defines cleanliness class by employing the logarithm of the maximum allowable particles per cubic meter. However, instead of specifying the cleanliness class at particle size of 0.5 j.Lm, the JIS B9920 rev. specified the cleanliness class at particle size of 0.1 f.1m.

Because it uses metric units, the Fed. Std. 209E has made the necessary change in the specification of air sample location for verification of air cleanliness.Y The sample location should be uniformly spaced throughout the clean zone operated in either a unidirectional (laminar) airflow or a nonunidirectional airflow environment The minimum number of sample locations required! for verification in a clean zone is specified below:

Unidirectional airflow (the lesser of (a) or (bj):

SI units:

(a) Af2.32

(b) A X 64/(lOM)O.5

and s IS per .ely

CHAPTER I: Cleanroom Technology 7

English units:

(a) Al25

(b) AI(Nc)O.5

Nonunidirectional airflow:

enger :hat

ess

ed. .les per de

SI units:

108-- 108 __
M 7
107 __ 10,000 107 __
M 6
10,000
106 -- M5 ]06 --
1000
M4
105 -- ]00 105 -- .. '

'" M3 '"
E 10 E
'<, 104 -- '<, 104-
'" V>
~ ~
u u
.~ M2 t
«l «l
0.. 0..
«l 103-- OJ 103 --
'0 0
!-< M 1 r-
102 -- 102 __

101--- 101--
Class 1
100-- Class 100 __ English units: AI(Nc)O.5

where A is the floor area of the clean zone (or entrance plane) in ft2 for English units and m2 for SI units, and M and Nc are the numerical designations of the class in SI and English units, respectively.

Note that number of locations must always be rounded to the next higher integer. One of the most useful new features of Fed. Std. 209E is the sequential sampling option.' The single sampling plan in Fed. Std. 209E requires, as it did in Fed. Std. 209D, that the air volume to be sampled should anticipate 20 particles estimated . from the air cleanliness class to be verified. For example, the sampling air volumes

.' required for the verification of a cleanroom of c1ass 1 should be at least 20 ft3. Using a standard air sampling rate of 1 ft3/min, it will take 20 minutes to complete a c1ass

ter

ith

Ii-

.ss fl-

fl-

~r,

zo

0.01

0.1

Particle size (11m)

o

FIGURE 1

Air clean1iness according to U.S. Fed. Std. 209E.

o

FIGURE 2

Air cleanliness according to Japanese Std. B9920 rev.

0.01

0.1

Particle size (urn)

8 ULSI Technology

1 cleanliness verification test. For air that is very dean, this statistical requirement demands that air be sampled for a very long time at. each location. Thus, measuring the cleanliness of air that contains very low concentrations of particles can be unacceptably expensive .. However, if air at a location is sampled while a running count of particles is recorded as a function of the time, frequently one can obtain a clear-cut indication of whether the air at that location passes or fails in a very short time by applying statistical criteria to the pattern of the count. This procedure allows verification of an airborne-particulate cleanliness class using samples of shorter duration than is required by the original single-sampling plan.

To use the sequential-sampling plan, record the number of particles observed as a function of time. Compare the count, as sampling continues, with the upper and lower limits, which are calculated by the two equations

Upper = 3.96 + 1.03E Lower = - 3.96 + I.03E

(1.1) 0.2)

where E is the expected count estimated from the air cleanliness class.

If the cumulative observed count for the sample exceeds the upper limit, then sampling is stopped and the air is judged to have failed. If the cumulative observed count drops below the lower limit, then sampling is stopped and the air is judged to have passed. If the cumulative observed counts equal 20 or less at the end of the sample duration, which is calculated from the air cleanliness class to anticipate a

i~ maximum of 20 particles, the air is also judged to have met the requirement.

1.3

CLEANROOM DESIGN CONCEPT

The design process starts with the translation of the process technology and the production volume into the specifications for the architectural, electrical, HVAC (heating, ventilation, and air-conditioning), central, and process utilities systems. The process technology is an important standard for quality requirement. For example, the cleanroom relates strongly to the feature size (design rules) of the technology in question. The quantity requirements are derived from the production volume combined with the technology. As a matter of course, attention should be paid to future developments of process tools and their impact on the cleanroom design.

With the aid of a rough computation, a first process tool list is developed. The process utilities requirements are established on the basis of this list. Electrical, mechanical, exhaust, gas, chemical, and other demands are listed in a matrix according to equipment tool. An accurate estimation of the average consumption of each process utility is essential for sizing the utility capacity properly. The facilities are subdivided into the following main systems:

Site infrastructure, site facilities, and buildings Power supply

Electrical and communication Cleanrooms and HVAC

CHAPTER I: Cleanroom Technology 9

.d as and

Central utilities

Process utilities Environment and safety

The design criteria of each facility system and its subsystems are formulated, parallel to the definition of the specifications. These criteria include the quality and quantity characteristics as well as the schematic set-up. It should be clear that the process utilities requirements and the design criteria are determining factors for costeffectiveness and that ignorance of these factors will almost always lead to overkill.

The design of a cleanroom normally starts with the optimization of cleanroom layout. Based on the targeted process technologies and the building architecture, a proper cleanroom system is selected and, subsequently, the relevant cleanroom performance criteria are defined.

nent mng nacntof <cut e by .rifi - uion

1.1) 1.2)

1.3.1 Cleanroom Layout

.hen ved Iged 'the te a

Most cleanrooms have a rectangular shape with a central aisle. The central aisle serves as the corridor to each process tunnel extending sideways into the remaining portions of the cleanroom. The ideal width of the central aisle and process tunnel should be 2.4 meters to allow most process equipment to be transported in or out easily. The ideal height of the filter ceiling should be 3.4 to 3.6 meters above the raised floor to facilitate the transportation and installation of vertical furnaces, which are approximately 3 meters high.

Most 200-mm-wafer process equipment has the same throughput as the 150- mm-wafer equipment, except for steppers and high-current implanters. The footprints of the furnace, chemical stations, stepper, and photoresist coater/developer are also larger. Therefore a 200-mm-wafer fabrication plant requires 10% to 20% more cleanroom space than the 150-mm-wafer fab. A 200-mm, 16-Mbit, DRAM wafer fab with a monthly output capacity of 20,000 wafers requires approximately 5000 m2 cleanroom area to accommodate the necessary process equipment and another 1000 m2 cIeanroom of lower classification to incorporate supporting facilities such as a gowning area, equipment-cleaning areas, and parts-cleaning areas, as well as a tube-cleaning area.

The entire Ie wafer manufacturing process consists of 14 to 20 process modules. A typical process module, illustrated in Fig. 3, in genera] consists of 10 to 20 individual process steps. Within each process module, a layer of thin dielectric or conductive film is applied to the silicon wafer via oxidation, LPCVD (low-pressure chemical vapor deposition), APCVD (atmospheric-pressure chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), or metal-deposition techniques. Circuit patterns are then formed on a layer of photosensitive material in the photolithography area. Subsequently, the wafers are sent to either the etching or the ion implantation area. In the etching area, circuit patterns are etched into the thin dielectric or conductive film whereas in the implantation area ions such as As, P, or B are implanted into wafers to form devices. After stripping the photoresists, the implanted wafers are loaded into a diffusion furnace to anneal out the crystal defects

Jroeatorothe

1m

'm-

:ure

"he neirdrch are

10 ULSI Technology

Film growth or deposition

Photolithography

r

FIGURE 3

Ie wafer manufacturing process module.

resulting from the ion implantation. The percentages of cJeanroom space taken up by each process are summarized as follows:

Photolithography 25% Diffusion and LPCVD 20%

Thin film 20%

Dry etching 15 %

Implantation 10%

Wet process 10%

The following cleanroom layout principles are often used to optimize the Ie production logistics.

Minimization of wafer transfer distance between each process area by putting photo, etching, and photo-resist removal close together.

Reduction of cross contamination by separating the back-end processes containing wafers exposed to metal1ic films from the front-end furnace and etching areas.

Flexibility for replacement and extension of process equipment.

Provision for interbay and intrabay automation and installation of equipment enclosures to encircle the wafer loading and unloading area in a highly classified environment (minienvironment).

Minimization of wafer transfer frequency between each process area by arranging process equipment in the following minimodule:

Furnace area:

Furnace, chemical station, and film measurement

CHAPTER I: Cleanroom Technology 11

Photo area:

Stepper, resist coater, developer, resist-baking hot plates, optical inspection, and SEM (scanning eJectron microscope)

Resist-baking hot plates, etcher, and optical inspection

Etching area:

Resist stripping area:

Resist asher, wet chemical station

An example of c1eanroom layout is given in Fig. 4. The film growth and deposition areas are located upstream of the photo area, whereas the etching, ion implantation, and photo-resist strip areas are located downstream. All process areas are

Jon implantation ~I Postmetal
'I


ess . 1 . L__ r photo
Ion imp antauon

h
up Premetal rl Premetal

c=
y
etching F
rl photo
Postmetal
b Thin film

etching y ~ growth
Ie Photoresist I I
b
Metal film

ng removal y ~ deposition
Jll- Diffusion rl Fl LPCVD
l1g
Chemical station y ~ Chemical station
_ll- Diffusion rl b LPCVD
~d
Chemical station 9 F? Chemical station
Ig-
Stocker
FIGURE 4
Interbay robot rail ( ) Cleanroom layout for a fully-
Intrabay Automatic Guided Vehicles automatic IC fab. 12 ULSI Technology

connected via an interbay wafer transfer system. Within each process area, wafers are retrieved from a stocker, which can store up to 150 wafer cassettes, and then transported to process equipment using intrabay automatically guided vehicles (AGVs).

1.3.2 Clean room Design8-17

Design can be developed on the basis of the formulated specifications and the design criteria. The prepared process layout is all-important. In principle, this layout is derived from the required process tools on the one hand and the logistic requirements on the other. In addition, the potential future developments within the process technologies have to be considered. This implies that the following trend must be taken into account.

In the 1970s, the manufacturing process required an environment that provided an overal1 cleanliness class 10,000 and a local class 100. The "ballroom" concept of one large room was created.

As a consequence of the developments of sub micron technologies, a need for a highly classified area originated in the 1980s. 111is led to the introduction of the "tunnel" concept, in which a corridor separates the process area from the service area. To achieve the required air cleanliness, the majority of the equipment maintenance functions were accommodated in the low-classified service areas. At the same time, the costly high-classified process tunnels where the wafers were handled were reduced.

Today, the process technologies are focused on 16-Mbit and 64-Mbit DRAM products. The process environment conditions required by these technologies are so stringent that the enclosure of the process environment for each process tool is being considered, moving the process tool in the direction of "clean machines."

This requirement resulted in the creation of the "mini environment" concept as shown in Fig. 5.l8-23 Within the enclosure of the minienvironment, an extremely high cleanliness c1ass (0.1 at 0.1 urn) is realized, whereas the overall production area has a cleanliness class 1000. The wafer processing and loading/unloading secti ons of process equipment will be affected automatically by input/output devices. The transport of wafers outside the mini environments is by means of SMIF pods (standard mechanical interfaces), a technique originally developed by Hewlett Packard.

In general, flexibility is a key issue within this framework. Modifications to the process layout or the process environment conditions should be easy to make at any time.

Another consideration in the initial design is the selection of the cleanroom system, since it is normative with respect to the building structure. The cleanroom principle is based on the isolation of the process environment from external influences using a laminar airstream. This airstream is filtered so that it contains only a few particles of a determined size.

In the current manufacturing environment, only 1 particle at 0.1 urn or larger per cubic foot air is regarded as the upper threshold value. In addition, the temperature, relative humidity, and speed of the airstream is controlled within very tight tolerances.

CHAPTER 1: Cleanroom Technology 13

; are ans- 1s).

Side View

sign

deents .chken

~SZ2S221 :I sz SZ SZ~ZIl2 SZ ~~ ~1!I2 22 21J:2 ~ 2~22SZ~21!!!1 sz sz 2 ~I!I SZ SZ 2 SZI!I s~ s:z 2Il! 12~2SZ!
9 ![ l l l l .~ l .~ l l l rl 9
Class 1000 0.1 rnIs Class I 0.4 rnIs Class 1000
Equipment
I I I I I I
I' 'f I "I t rt 'T t ~r ~ enclosure
I I I
Stepper t f t f

Track
I I I I I I I
~ I I I I I I I ded It of

for the 'Ice Helme "ere

Robotic transfer system

Cross Section

~vv \I V ""\tSf\( \I., V"VVVV\l V V!

, as

ely rea Jns 'he an.d. <he

my

Robotic transfer system

I I I Class I

+ • • 0.4 rnIs + ~ + Class 1000 O. J rnIs

! l Ul~l l l + 9

J HII U

l * +

Equipment enclosure

\M ; so

109

Class 1000

SMIF Pod ----

'------~--Indexer with

FIGURE 5

Creating an ultraclean minienvironment for a process tool using SMIF.

's-

m:es ;w

In the past, the common air speed was set at 0.45 mfsec. Currently, this value has been reduced to 0.35 m/sec based on experience. The reduced air speed offers a considerable cost reduction. In addition to the airflow, issues such as material selection, design details, and pressurization hierarchy are of great importance for the correct operation of the cleanroorn.

A laminar airflow allows the creation of a cleanliness class 100, 10, 1, or even better. As a matter of course, the area in which such laminar airflow is established depends on the layout.

14 ULSI Technology

To guarantee a highly classified process environment, the conditions in the background (overall production area) have to be controlled. In general, a factor of 10 is adopted between the cleanliness class of the critical area (foreground) and the background. The cleanliness classes 100,000, 10,0100, and 1000, up to 100, can be reached with a turbulent airflow. This means that air turbulence is acceptable, and, as a consequence, local air-supply diffusers can be applied and low air-speed values are permissible. The dividing line between laminar and turbulent flow, an important transition point with respect to the investment level, lies with cleanliness class 100. This is illustrated with the denomination of a turbulent or laminar class 100. Naturally, the generation of particles inside the cleanroom must be prevented as much as possible. For this reason, gowning procedures should be defined and implemented for persons entering the cleanroom and decontamination procedures must be followed for incoming material.

1.3.3 Cleanroom Concept

There are four basic concepts applicable to the cleanroom systern.i" All current designs can be derived from them. The first and least expensive concept is illustrated in Fig. 6. The supplied air is distributed in the room via a filter ceiling and is returned to the air-handling units via the lower sides of the walls. Both process and service functions are accommodated in one level. This approach has very low flexibility; layout modifications are difficult and, thus, relatively expensive. Consequently, this concept is seldom used. However, the introduction of filter fan units allows for a one-level concept with a certain degree of flexibility. The disadvantages, with respect to the location of remote equipment and the connection to the utility distribution networks sti11 remain.

The second, third, and fourth basic concepts depart from separated process and service levels. The process level is completely classified. Costs are reduced by accommodating only the primary process functions on this leve1. The service level has all piping, ductwork, and cabling systems, and it is also used for the installation of remote equipment. Moreover, the air will be returned via this level to the airhandling units, by which the process level receives a high cleanliness class without extra costs. All potential contamination sources should be enclosed and exhausted to prevent cross-contamination. All three concepts feature a high degree of flexibility. The difference between these concepts lies in the air-handling system, namely,

Option two, shown in Fig. 7, is based on the installation of centrifugal fan units on top of the process level. Air-return shaft are located at both sides of the building. An air-supply plenum is included between the fan units and the filter ceiling.

Option three, illustrated in Fig. 8, is based on the installations of axial fan units at both sides of the building. In this case the space between the roof and the filter ceiling serves as the air-supply plenum.

Option four, shown in Fig. 9, is based on the installation of filter fan units on top of the ceiling grid. Air-return shafts are located at both sides of the building. The space between the roof and the filter fan units serves as an air-supply

.ck-
. 10
the
I be /
0
.nd,
ues "
ant
00.
itu-
I as
for

led

/ .~
Of
,,~

je- ~
\ . 0
,m 0
q::
I to Q.)
E
lC- ro
V)
Jut Q.)
..c
-
'!pt t:
0
vel '"d
Q.)
.he ~
o
-ks 0
V)
ro
Q.)
nd ia
v
ic- .~
>-
1-<
las / Q.)
V)
on 0, '"d
t:
ro
T- V)
" V)
iut (l)
o
to 8
P..
y. ...t:
....
/ - .....
~
0 E
its 0
2
he -, c
ro
~
~r o
Il,) Q.)
o P..
;> <U 'C >-.
......
Its '- - ~ I
~ .~ E
~ ~
,le 0
~ 0
(J :~

~ ro
)n ~CQ
J-
'y
15 .1

16

E
::J
......
Q)
I-<
I
I-<
.@
Q)
..c
.......
"'0
c
cd
0..
0
.......
Q)
..c
.......
.......
cd
E
::l
C
Q)
-
0..
;>.,
0-
0..
;::::I ..
Vl
I
....
. @
Q)
..c
...,
eo
~
._
......
<J
Q)
~
~
0
<J
Vl
>-.
cd
~
Q)
"'0
.",
"'0
~
.-
cd
.......
Vl
C
._
Vl
._.
'2
;::::I
c
cd
4-1
~ E
>< 0
...,
cd ....
..c 0
::; .D
~ Ill,
..c
00 ......
~ E .......
0 cd
~ 2 E
;J C ::J
o cd C
v v
- .-
~u 'a 17

18

til til (].J U o I-< 0- c.,.....

o

0- S

Q) ...c

....

rfJ ....

·2

~

c:::

CI:l 4-0

I-<

~

<C

...c

....

. ~

Q\ E ~ 0 ~ 2 ;:l c:::

o ~

..... -

~u

CHAPTER 1: Cleanroom Technology 19

plenum. Since the air pressure inside the cleanroom is higher than that above the filter ceiling, airborne particles cannot infiltrate the cleanroom area. As a consequence, less expensive "dry" ceiling systems can be used. (Referred also to the filter ceiling system described in Section 1.4.1.)

1.3.4 Cleanroom Performance Criteria

Some common parameters25,26 and values for the quality characteristics of a typical c1eanroom are summarized in Table 6 and the details are elaborated in the following section.

Cleanliness class

The cleanest class (1\1-1) defined in the Fed. Std. 209E allows for

10 particles/rrr' or 0.28 particles/ft'' at 0.5 jlLm

350 particles/rrr' or 9.91 particles/fr' at 0.12 u.rn

The actual technical goal is far beyond the definition of the Fed. Std. 209E. In practice, cleanliness class is often defined for the MPPS (most penetrating particle

TABLE 6

Principal c1eanroom design criteria



RIP"" lilE FIZ

sc_

Design parameters

Criteria

Cleanliness, pieces/ff Process area ~ 0.12 p.m Service area ~ 0.30 urn

Temperature control, °C Photolithography area Other cleanroom area

:5 1

:5 1,000

22 ± 0.1 22:!: 0.5

Humidity control, % Photolithography area Other cJearuroom area

43 ± 2 43 ± 5

Air quality, ppb

Total hydrocarbons (THC) NO ...

S02

< 100 <0.5 <0.5

6.3 X 108

Cleanroom interior surface outgassing rate, torr-L'cmvsec

Cleanroom pressure, relative to outside ambient, Pa

> 30

Acoustical noise, dB

< 60 <3 < 1

Vibration (8 to 100 Hz), urn/sec Grounding resistance, n Magnetic field variation, mG Charging voltage, V

< ±1

< ±50

20 ULSI Technology

size) of 0.10 !-Lm to 0.12 p.rn. Figure 1027 illustrates the filtration efficiencies of HEPA (high-efficiency particulate air) filters with respect to different particle sizes. Note that around 0.1 urn the filtration efficiency is the lowest.

The current c1eanroom classification is, in general, defined as

Class 1 Class 1000

(at 0.12 u.rn) inside the process area (at 0.3 urn) in the surrounding area

During c1eanroom operation, in-line monitoring of particle density should be performed routinely. A practical goal is less than one particle/ft.' with a size greater than 0.12 urn for critical process areas.

Temperature and humidity control

The recommended temperature control requirements are

metrologyllithography area other c1eanroom area

22 ± 0.1 °C 22 ± O.ScC

A relative humidity of 43% at 22°C can be readily achieved by using regular chilled-water systems with the chilled water inlet and outlet temperature controlled

99.99999
~ 0.01 um
-: HEPA
u
c filter
Q)
'0 99.9999
t:::
"'"
Q)
c;
.9
<5 General
!::
ii HEPA filter
99.999 :('

I

FIGURE 10

Filtration efficiencies of HEPA filters with respect (0 particle sizes.

99.9 '-----'--'-----'--''----'--'

0.01

0.1 Particle size, 11m

CHAPTER I. Cleanroom Technology 21

; of

at 5°C and 12°C, respectively. Tolerances of 2% at 22 :!: O.l°C are achievable via dew point control in the make-up air units. Typical relati ve humidity requirements are

metrology/lithography area 43%:!: 2%

other cleanroom area 43% :!: 5%

.es.

lar ed

Air quality

Hydrocarbons comprise ethylene, benzene, methane, xylene, etc. The outside hydrocarbon contamination, typically in a range of 1.5 ppm to 50 ppm, can be reduced approximately 90% by use of active-carbon absorbers in the make-up air units. An approximate 98% reduction can be achieved with new active pleated filters. The inside hydrocarbon contamination can be reduced by the selection of low outgassing material finishes for the walls and floor. Chemically treated carbon composite filters located in the air recirculation can achieve sub-ppm THC (total hydrocarbon) results of 75 to 80 ppb.

Other external molecular contaminants are S02, S03, N02• halogen (CCI4, BC13, Br2, Cl-), and sulfur compounds such as H2S. The typical measured values of NOx and SOx in outside air, depending on local conditions and the location, are 5 to 100 and] 5 to 50 ppb, respectively. The measured NOx and SOx levels inside a cleanroom using ion chromatography, in general, are less than 0.5 ppb.

errter

Outgassing

The maximum outgassing rate of 6.3 x 108 torr-L'cm+-sec is a criterion for the selection of all materials in contact with the airflow in the make-up air and the recirculation air system. Outgassing is the evolution of a volatile compound from cleanroom materials or process tools, which may condense on the wafer and create problems due to organic and ionic contamination.

Cleanroom pressurization

The c1eanroom pressure should be positive (e.g., +30 Pa) relative to the outside pressure. Cleanroorn pressure is automatically controlled by adjusting the make-up air volume via variable-frequency drives in the make-up air units.

The dynamic pressure (velocity pressure) of the air should -be as low as possible to minimize pressure variation. The pressure variation and low-frequency sound waves of 0 . .2 to 8 Hz are caused by acceleration (air return) and deceleration (supply plenum) of airflow. Turbulent flow is reduced by eliminating sound attenuators. It is recommended that recirculation air velocity be kept below 2.5 m/sec.

Acoustical noise

The technical goal is to have a cleanroom in an "as-built" condition designed to meet the PNC (Pressure National Code) 60-dB sound levels as defined by the 1987 American Society of Heating Refrigerating and Air-Conditioning Engineers (ASHRAE) recommendation. A c1eanroom in an "as-built" situation means no process tools are operating, but all fans and HVAC systems are mnning. The challenge is to meet PNC 60 sound levels without installing sound attenuators in the recirculation fan units.

22 ULSI Technology

Vibration isolation

Vibration criteria apply in both the vertical and horizontal directions at the top of the cleanroom waffle slab. Maximum vibration velocity should be no greater than 3 urn/sec, measured in 1/3-octave bands between 8 to 100 Hz. Below 8 Hz the criteria vary by 6 dB per octave. The criteria apply only at the photography and metrology area. Most steppers wiI1 perform with floor vibrations (waffle slab level) of 5 u.m/sec, assuming that the criterion is met at the low end of the band at 8 and 10 Hz. Sensitive metrology equipment (such as the SEM) and defect measurement will determine vibration design criteria.

The entire clean production area should be provided with a waffle slab subdivided by joints. To meet the vibration limitations in the photolithography and metrology areas, the waffle slab is supported by 500 mm X 500 mm columns every 3.6 m. The column spacing in the other areas can be 7.2 m. The waffle slab typically has SOO-mm-wide main ribs and 200-mm subribs with heights of 800 mm and 400 mm, respectively. The waffle grid is 600 mm x 1200 mm to match the raised floor and filter ceiling grids.

Grounding

Grounding is required for safety to protect people against a shock hazard. One overall grounding-grid system, buried approximately 400 mm below grade, should be installed. The grounding grids of the different buildings must be interconnected to form an equal potential grounding network.

The wye point (secondary side) ofthe transformers should be grounded via copper bars connected to the grounding grid. All major electrical equipment, such as power distribution panels and MCCs (motor control centers), must be grounded to the main grounding grid. Cable trays, pipe racks, metal tanks, handrails, supports, etc. should also be grounded.

A ground-grid bar is required for each power and distribution panel. All receptacles should be provided with a grounding pole. The grounding of the control system must be connected in a way that permits only a single path to the ground. The lightning-protection installation and the low-impedance grounding grid (see EM I) must also be connected to the grounding grid. The grounding-grid system should have a grounding resistance not exceeding In.

Electromagnetic interference (EMI)

Process tools are sensitive to electromagnetic interference reaching them through cables, pipes, or electrical and magnetic fields. At the same time, the tools generate conducted and radiated interference. Compatibility is achieved when the generated interference is kept below the sensitivity of process tools. The level of interference in the building is caused by external sources as welll as internal sources. The effect of external sources can be limited by the building structure; the building should act as a shield against external sources of interference. This can be achieved by making the building a Faraday cage .. Usually reinforced steel panels are installed over th.e roof and along the waIls to provide the necessary shielding.

Doors and windows create leakage in the Faraday cage, so extra shielding is necessary. This can be achieved by creating other Faraday cages within our existing

) of

I

nan

ireroJIf 5

10 vill

rdi.'01- m. Gas

im,

md

ine .ild ted

ipas to .ts,

.pys'he 11) ild

gh . He ed .ce ~ct lct ng he

IS ng

CHAPTER I: Cleanroom Technology 23

cage of the building. In this way, a number of zones can be provided to increase shielding.

The most important measure with respect to the floors, walls, and roofs is the use of planar grounds. A planar ground or low-impedance grounding grid should be installed under the raised floor in the clean production area.

Electrostatic discharge (ESD)

Static charges can develop on surfaces due to touching and rubbing (triboelectricity). The discharge of that electricity to machines and to people damages semiconductors, disturbs process tools, and may cause injury. ESD can be controlled by grounding all machines, by controlling the relative humidity, and by constructing walls and floor coverings of slightly conductive materials to route electrical charges to ground. The control of triboelectricity enhances dust and particulate contamination control. All metal racks, pipelines, cabinets, cable trays, and rails are grounded to an equal potential bar or planar ground. The metal pedestals of the raised floor connect to the planar ground under the raised floor. The metal framework of the cleanroom wall systems should be connected to this planar ground. To enhance ESD control, air ionization systems might be installed at certain locations in the process area.28-33

1.3.5 Principal Technical Data for Sizing the Cleanroom Facilities

Several key design parameters that are essential for sizing the cleanroom facilities of a 200-mm-wafer fab are summarized below. The dimensions of these parameters are all converted to units per cleanroom area.

Production capacity Exhaust Recirculation air

Power for process equipment Process cooling water

Heat load

mask X (wafer/monthj/rrr' (m3/hr)/m2

Cm3/hr)/m2

W/m2

W/m2

W/m2

85-120

80 670 800 240 450

The size of a cleanroom increases with process complexity and the photo mask level. Typical1y, the monthly production capacity of one square meter of cleanroom is around 85 to 120 mask steps, with DRAMs at the lower end of the range and ASICs (application-specific lCs) at the higher end.

The exhaust air rate is high; about 12% of the recirculation air is replaced by fresh make-up air. Approximately 65% of the exhaust rate is attributed to furnaces, chemical stations, and tube-cleaning equipment.

The average power requirement of process equipment is approximately 800 W 1m2• One-third of the heat generated is carried away by process-cooling water, and another third by the exhaust air. The rest of the heat dissipated by equipment, recirculating fans, and lighting is removed by the cooling coils installed in the recirculation air units.

24 ULSI Technology

Facility

The power consumptions of major facilities are listed below:

Consumption

Office bui1ding

Deionized (DI) water system Process tool

Testing equipment

Utility equipment

Support cleanroom

Fab recirculation fans Boilers

Chillers

6% 3% 30% 10% 15%

3% 7% 8%

18%

The power consumption of the office building is relatively small, whereas up to 40% of the power demand is used to run the process tools and testing equipment and the remaining 50% is used to support cleanroom operations, including pumps, recirculation fans, lighting, boilers, and chillers.

Other quantities of facilities required to complete the processing of a 200-mm, ] 6 Mbit DRAM wafer are as follows:

Chemicals Deionized water Compressed dry air N2

O2

H2

Power

1.4

CLEANROOM INSTALLATION

10 kg 4.5 ton SS m3

2S m3 0.9 m ' 0.1 m3 470 Kwh

The cleanroom installation section starts with an introduction of the basic information on the cleanroorn subsystems followed by the discussion of the cleanroom installation approach. The investment cost required for constructing a semiconductor fab is analyzed last.

1.4.1 Cleanroom Subsystems= 34-36

The air-handling principle of cIeanrooms is based on the interrelated design of the process exhaust systems, the make-up air system, and the recirculation air system. Chilled water and hot water systems are required for temperature and relative humidity control. The filter cei1ing system, the cIeanroom partition system, and the raised floor system constitute the enclosing of a cleanroorn area. The features of a11 these systems are described in the following paragraphs.

CHAPTER I: Cleanroom Technology 25

lp nt

Process exhaust systems

The following main process exhaust systems can be identified:

General exhaust Scrubbed exhaust Solvent exhaust

The general exhaust and the solvent exhaust systems typically comprise ductworks, exhaust fans, bypass, and stacks. In addition, the scrubbed exhaust system includes scrubbers. The bypass allows entry of outside air if the pressure at the suction side of the operating fans exceeds a preset value.

The general exhaust system removes heat dissipated by the process equipment.

This exhaust air should not contain acids, caustics, or solvents. The solvent exhaust system removes air containing solvents from the process equipment. The exhaust fans employed should be explosion-proof. The scrubbed exhaust system removes air containing acids andlor caustics from the process equipment Ammonia, toxic, and silane exhaust systems are, in most cases, also connected to the scrubbed exhaust system upstream of the scrubber.

There are two types of scrubbers, namely, dry and wet scrubbers. A wet scrubber is an exhaust air treatment unit that removes the acids and caustics from the exhaust air by washing them out. The water used is typically city water. Waste water from the scrubber is routed to the: neutralization plant of the waste treatment area.

A dry scrubber removes toxicants from the exhaust air by absorbing them into the scrubber material at a desired temperature. If the scrubber material is saturated, it has to be replaced.

-s,

n,

r

Make-up air system

The make-up air system is designed to maintain the cleanroom pressure, to compensate for the air losses from building enclosure leaks, and to compensate for the process exhaust Another function of the make-up air system is control of humidity in the cleanroorns, i.e., by humidification or dehumidification, depending on the process environment and ambient conditions. For exact adjustment of the make-up air volume with the exhaust rates, frequency convectors are incorporated in both process

exhaust systems and the make-up air system. .

A make-up air unit, illustrated in Fig. II, withdraws outside air through an air intake grill and removes the majority of airborne particles via a prefilter. After passing through an air-cooling coil to remove excess moisture, the cold air is heated by an air heater prior to entering an air fan sandwiched between two sound attenuators. Fine particles are removed by a HEPA filter. The air is regulated by an air-volume controlling damper before it is fed into the make-up air ductwork, which distributes the make-up air to the suction side of the recirculation air units. During winter or dry season when the humidity is. low, steam generated by a humidifier is added to the air stream to maintain the moisture at a designated level

Recirculation air system

The recirculation air system serves three purposes:

1(

26 ULSI Technology

Steam humidifier

Sound attenuator

Dust absorber

Air intake

Dual air-cooling unit

FIGURE 11

Schematic diagram of the make-up air unit.

Temperature control Particle control

Air flow control

Depending on the c1eanroom design principle, three types of recirculation air units can be used:

Filter fan units Centrifugal fan units Axial fan units

A filter fan unit consists of an enclosure with a fan and a final filter assembly.

If required, the filter fan unit can be provided with a dry-cooling coil to control the temperature in the c1eanroom area within very tight margins. Filter fan units can provide a high degree of flexibility; however, the cost for a given air-handling capacity is also the highest among the three types of recirculation units. The filter fan units are best suited for a small cleanroom without enough space to accommodate the big recirculation air units and the necessary ductwork.

A centrifugal fan unit recirculates air by using a centrifugal fan and removes particles and heat by passing air through air filters and a set of cooling coils. The entire air-handling unit is sandwiched between a pair of sound attenuators to cut down the sound level. The conditioned air is routed to the filters in the ceiling grid via either ductwork (more expensive solution) or a plenum.

In the case of an axial fan unit, the recirculating air is withdrawn through a series of components: a prefilter, a cooling coil, a sound attenuator, and an air-volume controlling damper, all located in the basement of a cleanroom for easy maintenance. The conditioned air is then routed through a vertical mounted axial fan and the second sound attenuator before it is distributed directly into the air supply pJenum.

Both centrifugal and axial fan systems can be applied successfully within the current c1eanroom performance criteria. Naturally, the applications of both systems have pros and cons when they are compared. This means that each application requires its dedicated measures. In general, the ultimate selection of centrifugal or axial fans is not determined by the comparison of advantages and disadvantages but by the chosen c1eanroom concept.

CHAPTER 1: Cleanroom Technology 27

'.

The application of axial fans is most suitable when a fan bay concept is adopted.

The selection of a cleanroom system with recirculation air units on top of the cleanroom leads to centrifugal fan systems and a separate air supply plenum. The key pros and cons of axial fans compared with centrifugal fans can be summarized as follows:

Higher investment level but lower running cost

Higher sound pressure levels at relatively high frequencies More frequent and more comprehensive maintenance Easier mounting due to compact sizes

Easier air flow adjustment

Directly driven by a motor instead of by particle-generating belts Vibration generated at 19 to 30 Hz instead of below 17 Hz

Chilled water system'?

The total cooling capacity of all make-up air units, recirculation air units, air coolers, ventilation units, and central and process utility systems is provided by a chilled water system. The dehumidification operation in the make-up air unit requires a 5 to 11°C temperature range to remove the excess moisture from the air (wet-cooling principle). On the other hand, the temperature of the cooling coils employed in the recirculation air units needs to be controlled above the dew point of 9°C to prevent any moisture from condensing so that the humidity inside the cleanroom can be maintained at a constant level (dry-cooling principJe). By mixing the cooling water supply and return, a temperature of 14 to 18°C can be obtained.

A chiller consists of a condenser and an evaporator. In most cases, the chiller will be a water-cooled type .. The required water cooling is provided by a cooling tower. Inside the cooling tower, the cooling water is sprayed downward to meet the uprising outside air drawn in by a fan mounted on top of the coating tower. Asman portion of water evaporates as the water travels through the cooling tower. The evaporation of water demands heat and, thus, heat is removed from the cooling water. The evaporated cooling water must be replaced.

In many cases, the cooling water required for process equipment will also be taken from the chilled water system using a hea.t exchanger. The primary side of this process cooling water system connects to the chilled water system, the secondary side is designed as an "open" system to keep the water pressure in the cooling water return lines as low as possible. For certain process tools such as sputters, the low return cooling water pressure is essential for preventing water from leaking into the process chamber.

In an open process cooling water system, the recirculating cooling water is returned to a holding tank opened to atmosphere. The level control sensorsinstalled in the water holding tank allow a supply of deionized water to compensate for water losses due to evaporation. To avoid accumulation of particles in the systems, filter units are incorporated in the return lines.

Hot water system

The total heating capacity of an make-up air units, ventilation units, and certain process utility systems is provided by a hot water system. The hot boilers heat water to 90°C. The return temperature is, typically, 70°C.

ke

:s

e

v s

t I

28 ULSI Technology

Filter ceiling system

The filter ceiling system of the clean production area comprises ceiling grid framework.filters, blanks, and auxiliary steel structures fastened to the steel trusses or the concrete ceiling. The ceiling grid framework covers the entire clean production area and is suspended from the auxiliary steel structure by height-adjustable hanging rods to permit leveling. The grid is based on a 1200 x 600 mm system, i.e., on the size of the filters and blanks. The filter ceiling framework offers full flexibility with respect to the location of cIeanroom partitions, filters, blanks, sprinkler heads, and lighting fixtures.

There are two kinds of filter ceiling systems, namely, the fluid filter ceiling system and the dry filter ceiling system. The designation of fluid or dry ceiling is related to the type of sealing. Figure 12 illustrates that the airtight sealing between filters and a fluid ceiling grid is provided by a self-healing, highly viscous, silicon-based sealing gel. In the case of a dry filter ceiling, the airtight sealing of filter assemblies and blanks onto the ceiling grid is provided by an elastic gasket. The filters and blanks are secured with fasteners.

The fluid ceiling system is typically applied in a cleanroom with an overpressure above the filter ceiling (air supply plenum) which must be kept absolutely airtight to prevent the unfiltered air from entering into the highly classified c1eanroom. If the pressure inside the cleanroom area is higher than that above the filter ceiling, there is no risk of particulate infiltration, and a dry filter ceiling, which is not completely leaktight, can be applied. In the dry filter ceiling system, the pressurized air required for each filter is supplied either by a fan located right above the filter fan unit or by a flexible duct connected to each filter housing.

Although various manufacturers use different designations for filters, the names "HEPA" and "ULPA" (Ultra Low Penetration Air) are of the most frequent occurrence. In general, ULPAis used for filters having an efficiency of 99.9995% and more at particulate diameters> 0.12 u.m. Filters with lower efficiencies are typically designated as HEPA.38 For this reason, we recommend specifying a type of filter stating the efficiency and particle size. Details of HEPA filter construction are il1 ustrated in Fig. 13.

Standard HEPA filter size 60 em x 120 em

t

Sealant: a non-Newtonian fluid ensures a lasting seal

Sheet metal skirts minimize

Extruded aluminum channels support the filters

FIGURE 12

Positive fluid-sealing method for mounting HEPA filters.

CHAPTER 1: Cleanroom Technology 29

Filter frame

ses on ng he ith nd

Channel filled with fluid seal

Continuous sheet of corrugated filter medium

rid

ISed nd 11- 1d ks

Adhesive bond between filter pack and integral frame

re to he re ly ~d )y

Filter frame

11-

Gasket seal

Separator

es

Continuous sheet of flat filter medium

.rld

re

Adhesive bond between filter pack and integral frame

FIGURE 13

Details of HEPA filter construction.

The selection of filters depends on the level of cleanliness required. The cleanliness level in a cleanroom is controlled not only by the grade of air filter used but also by the amount of dust generated and the air flow pattern in the cleanroorn. Therefore, filters of the same grade may result in different actual performance.

Clean room partition system

Partition walls separate the process area from the service area in the c1eanroom.

The entire c1eanroom partition system should be grounded to meet ESD requirements. A typical cleanroom partition system consists of a framework, single-waned

(

30 ULSI Technology

or double-walled panels, glass panels, and door units. The framework is constructed of extruded aluminum. The walJ panels are typically metal sheet coated with a conductive paint. The glass panels are made of conductive clear glass or laminated plastic complete with associated sealings. The door units with glass have gaskets around the door posts and at floor side. The surface of the metal panels is smooth, cleanable, impact resistant, and does not generate or shed particles.

The partition wall system is constructed with flexible connections to minimize the transmission of vibrations between the filter ceiling grid and the partitions. All panels are easily dismountable and interchangeable to ensure optimum flexibility with respect to layout changes.

The c1eanroom partition system has a total height equal to the distance between the raised floor and the filter ceiling (3.4 to 3.6 meters) and is 50 to 60 mm thick depending on the ceiling grid framework width. The cleanroom partition system, including all its components, must meet the isolation resistance requirement of 106 to 108n.

Raised floor system

The raised floor should be installed 450 to 600 mm above the finished concrete waffle slab, and in general cover the entire clean production area. The grid of the raised floor is based on a 600 X 600 mm system and should be aligned with the centerlines of the filter ceiling grid. Some of the floor tiles should be perforated. The adjustment of the air-pressure drop and the balancing of the air flow in the clean production area can be achieved by selecting the floor tiles with proper perforating ratio.

The floor tiles should be static-dissipative and made of noncombustible vinyl that is chemical- and abrasion-resistant, impact-resistant, and smooth and meets the ESD isolation resistance requirement of approximately 106 n.

The raised floor should be laterally stable in a11 directions with or without tiles present. Floor tiles are supported at each corner by height -adjustable pedestals. These pedestals are glued to or bolted on the finished concrete waffle slab. An insulation plate, placed on top of each pedestal, attenuates footstep sound and ensures electrical conductivity. To avoid horizontal vibrations, some of the pedestals are reinforced with bracing to increase the rigidity.

1.4.2 Construction Project Approach and Schedule

Cost effectiveness is the key starting point in the design of a semiconductor plant. This means that the final result in terms of quality, cost, and timing must be ensured during the entire course of the project.

The baseline-management method offers an excellent guideline. According to this method, the entire project is subdivided into clearly identifiable phases, from broad to detailed, and the progress in each phase is recorded. This provides a total picture of the project at any time to help project managers to make critical decisions.

A project can be subdivided into the following main phases: the initial design, the tendering, the elaboration (preparation for the execution, construction, documents, etc.), the realization, and the process-tool hookup.

.red 'onlasmd )le,

llze All lity

een ick

ete

:he

~n-

'he .an ng

es se In .al ~d

It. :d

to ,n :il s.

1,

1-

CHAPTER 1: Cleanroom Technology 31

The initial design constitutes the first and most important project step. During this phase, all starting points will be translated into an integrated building and services concept with the associated specifications, cost, and time indicated. The target project result is, thus, defined clearly at the beginning. All subsequent phases are a further elaboration of these initial concepts.

In general, the construction of an Ie fab, from the start of the design activities to the first wafer out, extends over approximately two years. Design of facility systems and tendering of the relevant contracts takes 4 to 6 months. The construction of buildings and installation of all the utility systems take another 12 to 14 months. The final 4 to 6 months will be required to certify the facility systems, to install process equipment, and to test or tune processes.

The enclosed time schedule contains the timing of each project phase, subdivided into its essential parts. The development of site and buildings must receive the appropriate priority in the initial pbase. When the fab-module shell is closed and the interior thoroughly cleaned, the installation of the cleanroom systems can start. In addition, the site infrastructure (roads) must be partly completed at a very early stage to reduce dust and to provide access to the fab.

The time schedule can be summarized by the following milestones:

Event

Cumulative months

Start initial design

Release conceptual design of the site/buildings Release initial design document

Release preliminary design of the site/buildings Receive approved building permit

Award contract for site/buildings

Release preliminary design of all facilities Break ground

Start construction of buildings

Award contract for cleanroom/utilities

Award contract for mechanical/electrical work Start cleanroorn/utilities

Complete installation of cleanroorn/utilities Start equipment move-in/hookup

Complete testing/certification

Complete process-too] hookup

Complete functional testing

Start-up

o 2 3 4 6 6 6 6 7 8 8 15 20 20 21 23 24 24

1.4.3 Cost Analysis

After the specifications, the design criteria, the layout, and the conceptual designs of all subsystems are decided, the costs and time schedule can be determined accurately. Both are highly dependent on the dimensions and quality level. It is, therefore, incorrect to apply general characteristic values, e.g., the investment sum per square

32 ULSI Technology

meters of cleanroom. Such standard figures are only applicable within a dearly defined frame of reference, e.g., Ie fabs with a clean production area of some 3000 square meters projected for submicrometer technologies.

Approximately 75% of the investment is attributed to process equipment, whereas the remaining 25% is required for all the facilities including process utilities. The following table outlines the cost of each facility system:

Building 25%

Cleanroom systems 25%

Central utilities 10%

Process utilities 35%

Others 5%

A few years ago, the cleanroom systems consti tuted the most expensive item.

To date the cost of the cleanroom systems has decreased mainly because of better knowledge, whereas the cost of process systems in the last years has increased substantially due to more stringent purity requirements and technology developments. Except for the building, the cleanroorn, which houses process tools and test equipment, is the most expensive item. The average life span for the process equipment, the facility system, and the building is estimated at 5, 10, and 20 years, respectively. The depreciation costs can be calculated as follows:

Process equipment 88%

Facility system 10%

Building 2%

It is obvious that an y significant reduction of facility costs, which may ultimately impair the yield of the wafers, has little effect on the manufacturing costs. It is, however, important to pursue realistic and soundly based expectations for quality and quantity and to exclude any unrealistic goals and overkilling, in order to make the facility systems cost -effecti ve.

The facility systems should be designed to support at least three generations of process technology without major renovation. The following design principles are recommended:

The qua1ity of cleanroom, process chemicals, DI water, and process gases should be of state-of-the-art.

The facility system should be highly flexibile with respect to future modification, tool change, and automation.

The facility system should be capable of continuous operation while the facilities are undergoing expansion or modification.

1.5

CLEANROOM OPERATIONS

Preventive maintenance of an the electrical and mechanical components is absolutely necessary to prevent unscheduled shutdown in the c1eanroom ... Continuous monitoring of particles, temperature, and humidity inside the cIeanroom is required to alert process engineers to changes in the cleanroom environment so that steps

1 de- 1000

lent, rtili-

ern. .rrer .ubnts. »rent, ely.

ely IS,

lity ike

of 3.Ie

rld

Jil,

res

oIlS ~d ps

CHAPTER I: Cleanroom Technology 33

may be taken to prevent temperature- and humidity-sensitive processes from drifting out of control. Proper gowning procedure and cleanroorn maintenance practices are critical to prevent microcontamination.

1.5.1 Cleanroom Gowning

People are major sources of cIeanroom contaminants. Not only do they generate a large number of contaminants but they are also in close proximity to the wafers at many stages of the Ie manufacturing process. Therefore, an appropriate gowning procedure is necessary to minimize the exposure of hair, bare skin, and street clothes.

It has become a common practice for many Ie manufacturers to require its personnel to change from street clothes and street shoes into company-provided clean undergowning and shoes before entering a cleanroom gowning area. In the gowning area, personnel are obliged to cover hair, nose, mouth, and even the eyes with a hair net, a face mask, and goggles. Gloves, a hood with an integral face mask, a cleanroom bunny suit, and booties are then worn to achieve as complete body coverage as possible.I" Good cleanroom suit material is normally made of woven fabrics consisting of long synthetic fibers covered with a layer of PTFE (polytetrafluoroethylene) laminate materiaL This semiporous PTFE material can prevent particles from passing through but at the same time allow a high degree of vapor transmission.t" The c1eanroom suits and shoes should be washed regularly using deionized water and sodium-free detergent. The in-house or externally contracted laundry service should take appropriate measures to limit contamination while washing, packaging, transporting, and storing cleanroom garments." All cleanroom clothing, including gloves and boots, should be electrically conductive to minimize the accumulation of electrical charges. Before entering a process area, a hand wash using DI water to remove particles from gloves may be necessary to prevent contamination.

1.5.2 Cleanroom Maintenance

Proper c1eanroom maintenance is critical to minimize microcontamination. Some common industrial cleanroom maintenance practices are listed below.42-47

1. All personnel working in the cleanroorn should receive special training in gown-

ing, wafer handling, cleaning, and safety procedures.

2. Makeup and body powder is prohibited in the cleanroom.

3. Wool, fur collars, and jewelry should never be worn inside the cleanroorn.

4. Pencils, clip ballpoint pens, nonc1eanroom paper, woods, aerosol spray cans, and boxes made of cardboard are prohibited in cleanroom areas.

5. Documents or photos that cannot be reproduced on cleanroom paper have to be laminated in a conductive PP (polypropylene) film before they arc brought into the cleanroom.

6. Parts, boxes containing wafers, chemical bottles, and equipment should be thoroughly cleaned in a classified decontamination room adjacent to the cleanroom before they are brought into the cIeanroom.

34 ULSI Technology

7. Ceiling grids and cleanroom walls should be mopped with DI water regularly.

The floor should be washed with DI water several times each day. On average, one person is needed to clean each 1000 square meters of cleanroom.

8. Free-standing shield panels should be set up around process equipment during installation and maintenance to contain as much as possible any contamination that may be generated.

9. After equipment maintenance, dust or debris should be cleaned with a central vacuum cleaning system or a portable vacuum cleaner provided with a HEPA filter.

10. Maintenance hand tools should be cleaned in an ultrasonic bath to remove particles attached to their surfaces.

11. After they are detached from equipment, dirty parts should be covered before removal from the cleanroom.

12. Wafer cassettes or wafer boxes should be hand-carried one at a time. Movement of wafer cassettes by cart causes potential contamination problems due to vibration.

13 .. Pumps and equipment that have potential to generate contamination should be instaI1ed in a cabinet provided with an exhaust pipe connected to the general exhaust system.

i(" ~tTOMATION

II

With the ever-increasing process complexity, a process technology comprising some 20 mask levels and between 300 to 400 recipes becomes quite common. The number ofWIPs (wafers in process) inside an IC fab routinely exceeds 30,000 pieces. It becomes critically important to implement automation to resolve the common Ie manufacturing problems listed in Table 7.

TABLE 7

Common IC manufacturing problems and relevant solutions

..

; •• 1 __ •

Suggested actions

141

Problem

..

Wrong lot went to the equipment Operator cannot find cassette required Operator selects wrong recipe

Errors in manually recorded data

Lot tracking not performed promptly Equipment not correctly monitored Schedule does not reflect fab condition Inefficient recipe/bay setup Equipment capacity not fully utilized Operators fail to report problem

Online lot validation Automated stoker control Real-time recipe download Data upload from equipment Real-time lot tracking

Real-time equipment monitoring Real-time scheduling Oust in time) Recipe : cheduling

Automation

Real-time process data management

fly. Ige,

mg Jon

tral :PA

mr-

ore

ve~ to

be .ral

me Jer . It Ie

CHAPTER I: Cleanroom Technology 35

Three levels of automation can be distinguished:48,49

1. Shop-floor-control sofnl/are.50 A shop-floor-control software resident in a computer is used to assist the operations to manually control process equipment and transfer wafer cassettes between stations.

2. Computer Integrated Manufacturing (CIM).51 Automatic control of process equipment but manual transfer of wafer cassettes by operators.

3. Mechanization.52-55 Automatic control of equipment and transfer of wafer cassettes directly to process tools by robots.

1.6.1 Shop-Floor-Control Software

The shop-floor-control software developed either in-house or purchased from outside vendors has been used extensively by almost every manufacturer to replace process run cards on which process steps and recipes were listed. This software usually has the following features:

1. Recipe management

2. Lot management

\-VIP control Line balancing

Exception lot handling (holds/releases, splits/merges, scraps)

3. Scheduling and dispatching

Material priority handling and coordination lust-in-time scheduling and dispatching

Dynamic rescheduling based on changing conditions

4. Data collection

Equipment status

Measurement data

5. Cost analysis

6. Analysis and reporting

Lot history

SPC (statistical process control) chart Production report

A good shop-floor-control program can significantly improve cycle time and reduce WIP by optimizing lot batching, scheduling, and dispatching.

1.6.2 Computer Integrated Manufacturing

To reduce human-induced errors and enhance the equipment productivity, many fabs built in the late 1980s started to link process equipment to a host computer. The following equipment control features have been implemented:

36 ULSI Technology

Bar code reader Equipment setup Recipe download

Process data acquisition and upload Equipment state and status Equipment alarm management Equipment maintenance support

The semiautomatic fab operation mode can improve equipment utilization rate and fab yield considerably. Real-time equipment monitoring can minimize equipment idle time and provide early warning of potential equipment failures and problems. Automatic recipe download can improve wafer yield by eliminating recipe errors. It can also reduce equipment downtime resulting from incorrect operations.

1.6.3 Mechanization

(

The interbay automation system has been adopted by many IC manufacturers to automatically transfer and track wafer cassettes. Automatic stokers located in each process tunnel are linked by an overhead clean rail system. Wafers are transferred automatically from one process tunnel to the other on a vehicle driven by a linear motor. An interbay automation system can avoid confusion and save considerable time in tracking a wafer within a fab.

Intrabay automation is the last step to achieve a fully automatic operation mode.

An AGV (automatic guided vehicle), shown in Fig. 14, is used to transfer wafer cassettes automatically from a stocker directly to process equipment. Intrabay automation can reduce the number of operators required. It can also significantly reduce wafer scratches and breakage by eliminating manual handling of the wafers.

However, the intrabay automation system has not been very popular outside Japan due to relatively high installation and maintenance costs. In the 300-mm wafer fab of the future, the wafer cassette might be so heavy that the intrabay automation system becomes absolutely necessary.

1.6.4 SEeS Equipment Interface

The establishment of communication between a host computer and process equipment for downloading the processes recipe and monitoring of equipment status is a necessary step for factory automation. Programming the communication software, in general, follows the SECS (Semiconductor Equipment Communication Standard) protocol published by SEMI (Semiconductor Equipment Manufacturing Institute).

The SECS standard allows manufacturers to produce equipment that can be linked to any host computer without specific knowledge of the computer. The standard also allows host system designers to program a host computer and to plan a computer network without specific knowledge of the equipment functions. In addition, system designers can use the standard to connect different pieces of semiconductor equipment to each other.

rate »rob:lpe is.

, to ich red

car

hIe

de. asraIce

.de fer on

pIS

:-e,

d) )

be 11- '1-

n,

or

CHAPTER I: Cleanroom Technology 37

FIGURE 14

Automatic wafer transfer to process tool using AGV (Courtesy of Murata of Iapan.i

There are two different sets of SECS standards: SECS-I and SEeS-II. The SECS-l standard describes the physical connections, signal levels, data rate, and logical protocols used to exchange messages between a host computer and process equipment. The SECS .. II standard defines the contents of the messages passed between the host computer and the process equipment.

The SECS- I stipulates that equipment should be connected to the host computer by a serial communication cable with an RS-232C connector. Through the RS-232C cable, data signals can be transmitted over a distance of more than 15 meters.

An SECS- II message consists of 13 to 257 bytes of 8-bit data. Every byte of data represents a character or a single-digit number. Each message block begins with a single byte giving the total length of the message block, followed by a l C-byte message header. The message header contains the .ID number of a particular piece of equipment with which the host computer intends to establish communication. It also identifies the type of message data, such as an alarm code, equipment status code, etc . Up to a maximum of 244 bytes of message data follows immediately after the message header. Every SECS-II message ends with a 2-byte checksum.

The checksum computed by the host computer adds the binary value of all the preceding data bytes in an SECS-U message. After receiving the incomingSECS-II message, the equipment repeats the computation. If the data transmission is noisefree, the checksum computed by the equipment should match exactly that sent by the host computer.

38 ULSI Technology

1.6.5 Cost Analysis

For every $100 in equipment investment, an additional amount of approximately $15 is needed to implement a fully automatic system in a 200-mm fab. A cost breakdown of each level of automation is illustrated below:

Shop-floor-control software and hardware Interbay automation

Semiautomatic process equipment interfacing Intrabay automation

10% 20% 30% 40%

When an Ie fab is operated in a fully automatic mode, the fab utilization rate can be increased up to 10%, the fab yield can be increased about 5% and the number of operators can be reduced by 75%. Therefore, the additional investment in fab automation is justified economically.

1.7

RELATED FACILITY SYSTEMS

Apart from the c1eanroom subsystems described in Section lA, other process utility systems can significantly affect the wafer yield. The quality characteristics and the evolution of the specifications and the features are discussed in the following subsections.

i ..

~~i

1.7.1 DI Water56-63

Large quantities of DI (deionized) water are required to process Ie wafers. The consumption ofDI water increases with the wafer size. The DI water and chemical tanks of the earlier-generation 200-mm-wafer wet-benches are twice as large as those of a l50-mm-wafer wet-bench. As a result, the DI water and chemical consumption of a 200-mm-wafer Ie fab are also doubled.

Cassette type

Cassetteless type

FIGURE IS

Comparison of the DJ water tanks of cassette and cassetteless chemical stations.

u

r

il L

n

l~

$15

)wn

rate iber fab

tilmd mg

miks of of

CHAPTER 1: Cleanroom Technology 39

Since the early 1990s, the cassetteless wet-benches have been developed. In these wet-benches, wafers are unloaded from wafer cassettes to a quartz boat, which is subsequently picked up by a robot arm. The robot arm dips the boat into a series of chemical and DI water tanks in a way similar to a conventional wet-bench. Figure 15 illustrates that the sizes of the DI water tanks of a 200-mm-wafer cassetteless wetbench are about the same as a conventional 150-mm-wafer cassette type wet-bench. Table 8 also confirms that the consumption of DI water and chemicals of a 200- mm-wafer cassetteless wet-bench is close to that of a conventional 150-mm-wafer wet-bench. For each 200-mm, 16-Mbit, DRAM wafer produced, approximately 4.5 tons of DI water are required.

A state-of-the-art DI-water installation consists of two loops:

The make-up loop removes particles and colloids, total organic carbons, microorganisms, ionic impurities, and total dissolved solids from the raw water. In other words, it has a pretreatment section for removal of gross particles of . sizes larger than 1 j.Lm and a purifier section for removal of ionic impurities,

bacteria, and dissolved gases.

The polishing loop removes the last traces of contaminants that originate in the construction materials or come from secondary microbial contamination.

There are many contaminants in raw water, such as particles, organic material, inorganic material, microorganisms, bacteria, and dissolved gases. As the raw water is fed through the DI water system, all of these contaminants are removed sequentially by a series of different types of filters, a high-vacuum degasifier, and an ionexchange unit. Figure 16 shows the filtration spectrum of different types of filters employed in a DI water system.

The operating principles of multimedia filters, percoated filters, and microfilters in the make-up loop are similar. The filters let raw water pass through and trap the suspended solids and colloids in the filter media. When the pressure drop across the filter reaches a predefined. threshold value, the dirty filtration media is regenerated

TABLE 8

Comparison between a ISO-mm-wafer chemical station and a 200-mm-wafer chemical station

; I

_§!i aM .. -

PM

Wafer Size

150mm

200mm

Wafer transfer Number of cassettes Chemical tank, L DI water tank, L Particle

DI water batch Density, pieceslL Size, jJLm

Spin dry

Density, pieceslL Size, f.Lm

Cassette Double 27.6 ]9

Cassette Cassette CassetteIess
Single Double Double
29.5 57.5 25
]8 45 20 30 0.3

30 0.3

30 0.3

10 0.16

10 0.3

10 0.3

10 0.3

10 0.16

40 ULSI Technology

~,~ 4 •
-5, .u
'~ 0-
0
o
~ Vl
0
.J;) ,_
'~ o
;;: 'E
j .u ro
~~i~ OJ)
c 'I:
ro .u
.... U
<l.l ro
<:i a:l
'f:
~ 0:
...c '"
! ..., Il.l
'~ ~
Il.l
Il.l '0
:0 Il.l
.'~ 7d
;;: c
~ .
'@
::r:
FIGURE 16 • lA ~
c
2A ::J
• ,~
'"
0
.' sA E
OIl
0
lOA <l.l
• Ul
....
<l.l
>
0
.' 20A ~
'. soA
• 100 A
• 200 A
• soaA
• 1000 A
E • 2000 A
0
~ 5000 A
::J •
E
2:! • 1
x fl
2
c;:
,_) 2
• J.l
• 5 J.l
• 10 1-1
• 20 J.l
• 50 J.l
• 100 1-1. Vl

2 ;;

c:
ro '(i)
o 0
'~ ...
0.
~ c
'0 '§
'0
::J <l.l
"0 ..0 ~
U :;: 0
E
or.
0
U
U
c;:
.J;)
0
f-<
~
C
Il.l
E
OJ)
'5-
03 c
u '@
'0
0 0 0.,
..9: ~
'"
..0 .::J
'0 '0
<U 0:;
e:::: 0
U
c.
QJ
0:
0.,
~ ~
cr.,
:E Filtration spectrum of different types of filters, (Courtesy of Christ AG. Switzerland.)

through a back-washing operation. Chemicals such as PeCl, are often injected at the filter inlet to enhance the agglomeration of suspended solids and thereby improve the filtration efficiency.

RO (reverse osmosis) units are used to remove smaller particles and metallic ions. The operating principle of RO units is illustrated in Fig. 17,. As water enters the spiral-wound modules, water molecules diffuse through the porous membrane but microparticles, microorganisms, and ions are held back by the membrane. The rejected impurities are drained in the concentrated filtration effluent, and very few impurities are held back inside the membranes of the RO units. Conversely, multimedia fiIters and micro filters keep the captured particles in the filter media. RO units are regenerated by injecting RO permeate water on their feed side to wash away the sediments attached to the porous membrane.

Ultrafilters are used to remove submicrometer-size particles. During normal filtration mode, water is fed to the outside of a band of hollow fibers. As the water

(

tthe rove

allic iters rane The few ultirnits / the

rmal -ater

CHAPTER I: Cleanroom Technology 41

/MiCfOPar1iCle or

o micro-organism

o • 0 0 •

00.. 0

.0000.0.

• • 0

00

• • 0

o 0



• •





o 0

0 0
0 0 0
0 0 0 0
0 0 o 0 0 0 0 0
0 0 •
0 0 0
0 0
0 0 o 0 0
0 • •
0
• •
• 0 Concentrate

o

o

o o 0

o

-

Raw water-

o 0 0

o

o 0

• 0

o

o

o •

0 0
0 1 0 0 L ! 0
0 0 0
0 0 0 0
0 0 0 0
0 0 0
0 0
0 0 0
0
Pure water FIGURE 17

Operation principle of reverse osmosis filters.

penetrates through the porous fibers, particles are left behind on the exterior surface. During regeneration, the particles are washed away by the purified water fed in the reverse direction. A cross section of a hollow ultrafiltration fiber and a schematic diagram of an ultrafiltration cartridge are illustrated in Fig. 18.

The majority of TOC (total organic carbons) is removed in the make-up loop via the reverse osmosis units and the remaining portion of TOC is removed in the polishing loop by first ionizing it in the UV lamp modules and later capturing the ionized TOe in the mixed-bed polishing cartridges.

In the polishing loop, the DI water will be continuously circulated at a velocity greater than 1.5 mlsec from the process area back to the DI water installation to maintain low levels of contamination. AU piping behind the polishing cartridge is constructed of PVDF (polyvinylidene fluoride) to minimize the release of particles and TOe.

PEEK (polyether ether ketone), a plastic tubing, and GOLDEP, an electropolished stainless steel piping passivated by Cr203 film, are reported to have much lower TOC- and metallic-ion dissolving characteristics compared to PVDF, and they have also been used in the polishing loop.

The evolution of DI water system design, shown in Fig. 19, can be characterized by the introduction of new techniques and materials.

For 256K DRAM devices, the DI water system includes the following features:

Pretreatment filters for removing particles in the micrometer range RO units for removing ions, TOC, and particles

A vacuum degasifier for removing dissolving gases Use of 254 nm UV lamps for bacterial sterilization

Use of mixed-bed ion exchange unit for removing residual ions Use of membrane filters to capture particles

42 ULSI Technology

(a)

Permeate

(~

,

il'l

t

Feed water inlet

Hollow fiber membrane bundle

Permeate

Bonded section

(b)

FIGURE 18

(a) Schematic diagram of the cross section of an ultrafiltration fiber. (b) Schematic diagram of an ultrafiltration cartridge, (courtesy of Asahi Chemicals of Japan)

For 1M DRAM devices, the following additional features are included:

Use of polishing cartridges with low TOe leachables Use of ultrafiltration units as final filter

PVDF supply piping instead of pve (polyvinyl chloride) or PP Periodical sterilization of the distribution loop with ozone.

For 4M DRAM applications, the use of 185 nrn UV lamp sterilization to reduce for TOe was adopted, For 16M DRAM, the DI water system process flow is similar to that for the 4M DRAM except that the RO and ultrafiltration filters are of better quality, For 64M DRAM applications, the use of secondary RO units in the make-up is forecast.

.uce iilar etter ~-up

CHAPTER I: Cleanroom Technology 43

Process item

1M

I. Raw water pretreatment removes gross particles

2. Reverse osmosis I

3. Intermediate storage tank

4. Vacuum degasifier removes Ob CO2 etc.

5. UV 254 nm removes bacteria

6. Mixed-bed ion exchanger in-situ regeneration

7. Mixed-bed ion exchanger external regeneration

8. Reverse osmosis II

9. Pure water storage tank

10. UV 185 nm removes TOe

J .1. Mixed-bed ion exchange no regeneration

12. Membrane filter 0.1 urn

13. Ultrafiltration

14. Point of use

Reclaim

256K

4M116M

64M

C-
o
.3
0.0 CD
t: t:
..c .c
~ '"
0 "0
0.. 0.. FIGURE 19

Evolution of ultrapure water system design. (Courtesy of Christ AG. Switrerland.y

Evolution of the DI water specifications is illustrated in Table 9. The specifications of most parameters, except for resistivity, have been tightened one order of magnitude for the l-Mbit DRAM to the Iti-Mbit DRAM.

One of the most critical impurities in DI water is the dissolved oxygen, which has to be kept as low as possible to prevent native oxide growth on bare silicon wafers. Much work has been done to improve the performance of vacuum degasifiers, There are three popular degasification processes: the hot-water process involves heating DI water to a temperature above 55°C; the nitrogen purging process entails injecting nitrogen counter flow into a DI water vessel; and the catalytic process involves

44 ULSI Technology

TABLE 9

Evolution of DI water specifications

Process technology
256KDRAM 1M DRAM 4MDRAM 16M DRAM 64MDRAM
Design rule, p.m 2.0 1.2 0.8 0.5 0.3
Resistivity, Mn-Cill > 17.0 > 17.5 >18.0 > 18.] > 18.2
Particle (pieces/cc)
>0.2j..Lill < 30 <10
> 0.1 urn < 50 < 20 <5 < 1
> 0.085 p.m <10 <2 < 0.5
> 0.05 u.rn <5 <1
Bacteria, unit/L < 200 < 50 <10 <I <1
TOC, ppb < 100 < 50 < 20 <5 < 1
Oxygen, ppb < 100 < 100 < 50 <10 <5
Silica, ppb <to <5 <3 <] < 0.2
Na, ppb 1 <1 < 0.1 < 0.05 < 0.01
Cl, ppb <1 < 0.1 < 0.05 < 0.01
Metal ion, eeb <I < 0.1 < 0.05 < 0.01 r
Source: Courtesy of Christ AG. Switzerland. feeding Dr water through a vessel packed with pa1ladium catalytic resin. These three degasifiers are expensive. Recently, a new degasifier operated at a room temperature of 23°C and employing neither nitrogen nor a catalyst has been developed. A vacuum level beyond 700 mm Hg is generated externally. The dissolved oxygen is removed by the boiling water vapor bubbling through the DI water. The dissolved oxygen level can be kept around 2 ppb.

Over 70% of the DI water supplied to the points-of-use can be recovered.P? The reclaimed water can be fed directly to the raw water storage tank or pumped to an RO permeate storage tank after passing through various treatment steps such as activated carbon filters, a TOC UV lamp module, ion-exchange units, and RO units.

1.7.2 Chemica) Supply System64-65

Close to 20 types of ultrapure chemicals are used in IC manufacturing processes. About two-thirds of them are acid and caustic and the remaining are organic solvents. These chemicals are used for the following processes:

Process Chemicals

Prefumace cleaning NH40H, }-hS04, HCl, H202 and IPA

Thin film wet etching HN03, H P04, HF, BHF (buffered HF)

Photoresist coating and developing Photoresist, developer, acetone

Residual photoresist removal H2S04, H202, organic resist stripper

Postmetal process cleaning Organic solvent

Particles and especially metallic impurities in the chemicals will significantly effect the wafer yield. The metallic impurities will cause degradation of gate oxide integrity and thereby result in early breakdown. The metallic impurities also enhance OSIF (oxidation induced stacking faults), resulting in junction leakage and a decrease of carrier lifetime.

-

RAM

:hree iture uum Jved !gen

The .RO ated

.ses. -nts.

.itly ide en.d a

CHAPTER I: Cleanroom Technology 45

TABLE 10

Evolution of chemicals specifications

aeMiS tZ'fll'

i&!iiFWi&£ .«_BaSi Mhd d J

Process technology

256KDRAM 1M DRAM 4MDRAM 16M DRAM 64MDRAM
Design rule, urn 2.0 1.2 0.8 0.5 0.3
Particles (pcs/cc)
>O.5f-lm < 100
> 0.3 urn < 50 < 10
>0.2j.Lm < 500 < 50 < 10 < 1
> 0.1 urn < 100 <10
Anion, ppb < 2000 < 1000 < 500 < 100 < 50
Metal, ppb < 100 < 50 <10 < I < 0.2
Source: Courtesy of Merck- Kanto. With the ever increasing chip size and process complexity, the continuing reduction ill gate oxide thickness, and the ever tightening device leakage current requirement, the demand on the qualities of chemicals becomes very severe. Table 10 shows the evolution of the chemical specifications with respect to process technologies.

In submicron fabs, all bulk chemicals, except the highly viscous photoresist, are centrally delivered to points of use via chemical supply systems. A chemical supply system, as shown in Fig. 20,65 consists of three parts: the chemical deli very modules normally located in a separate room adjacent to the cleanroom, the chemical delivery piping network, and the central controller.

There are two types of chemical supply modules. One is a big storage tank with a 1000 to 9000 L capacity equipped with external pumps and filters. This type of chemical supply module is used to supply chemicals consumed in' large quantities, such as H2S04, NH40H, H20, IPA, and photoresist developer. Chemicals are pumped from chemical drums supplied by the vendors into the big holding tank and then are delivered to the points of use as needed.

The other type of chemical supply module, used for delivering chemicals used in smaller volume, is a cabinet unit that houses two chemical drums, each with a 200 L capacity. One of the two chemical drums serves as a supply tank and the other as a standby. The chemical supply module automatically switches to a standby tank when the supply tank is empty. HEPA filters are often installed inside the chemical supply cabinet to provide a clean, laminar-flow environment.

It is a common practice to periodically recycle the chemicals inside the chemical drum through an external filter, when the tank is not delivering the chemicals, to reduce the particles. When the chemicals are delivered by a pneumatically driven bellows pump, particles trapped inside the filter may be pushed out due to the pulsating action of the pumps. To overcome this problem, some advanced chemicalsupply modules employ a combination of the pumped and pressurized delivery techniques.P" When the chemical supply module is in the supply mode, the chemical is delivered out of the holding tank by a constant nitrogen source, and when the chemical supply module is in the standby mode, the chemical is recycled through external pumps to filter out particles.

The piping networks delivering acid and caustic chemicals are made of PFA (perfluoroalkoxy) pipe enclosed in a seamless clear PVC tube that is connected to

'0
1::! .....
>:: c ('I
0 ;::I
U 14
I ~ ~I ,_
I 0 r
oJ)
c
OJ r
'"
.:,t.
H ro t-
v
.....l
I
1---------,

1 1

! (:d-aE 1

I b b I

1 C i:: ,E:l 1

1 0 8 ~ I

I '" I

1 1

p

- .+;::! c c o ;::I

U

1 1 I 1 I 1 1 I I I I I I I I I I I 1 1

1---- 1

1 I

1 I 1 1 1 1 1 1 I 1 I I I I 1 1 1 1 1

1 1 1 1 1 1 I I I I I I I I I I I I I I I I

I I I I I I I

<IS I U E I E 2 1 ~ -0 I U I

1 1 I I I I I I I I I I I

I

-------_j

I I I I I I

l __

46

.I<:
>=:
ro
0
~
c..
>=:
@
,_
::l
I
I
I
I
I
I
I
I
I
r
I
I
I
r
I
I
I
I
I E
I Q)
I ......
<.Il
I >,.
I so
, >,
I P..
I 0.,
I >,
I <.Il
I ~
I 0
I .§
I Q)
I ..c
r u
I til
I ....
I 0
J E
I til
,_
I 1;0
I til
I = .-
N'O
I ~ .~
I
I
! ~ E
J i..?1l
I ~ 0
_j ~CI) CHAPTER 1: Cleanroom Technology 47

a valve box. Sensors are installed at the bottom of the valve box to detect chemical leakage. The piping for sol vent is constructed of electropolished SS316L tubing.

Each chemical delivery module and all interface controllers, each connected to a point of use, are linked to a master controller that monitors the status of every delivery module and activates the chemicals supply function on receiving the request from any point of use.

1.7.3 Process Gas Piping System66--73

Over 20 different ultrapure gases are used in semiconductor manufacturing processes. Among these gases, N2, O2, H2, and Ar are often caned bulk gases because large quantities are used, whereas other gases, including He, AsH3, PH3, SiH4, NH3, and NF3, are classified as special gases because only small quantities are needed.

In general, N2 comes from three sources: an N2 pipeline delivered from a remote air-separation plant, a liquefied-nitrogen storage tank located in the gas yard, and an on-site N2 generation plant. 02 and Ar are supplied from liquefied gas storage tanks. H2 is delivered from either a liquefied-gas storage tank or a bank of high-pressure gas cylinders. These bulk gases pass through purifiers and gas filters to remove impurities and particles before entering a gas-distribution piping system located inside the cleanroom. The special gases are sent directly to process tools from gas cylinders located inside gas cabinets.

The gas cabinet is an exhausted safety enclosure that contains the gas cylinders and the associated gas-handling panels. The basic function of the gas cabinet is to allow purging and safe exchange of the cylinders. The gas panels incorporate all components for control and monitoring of the high-purity gases. The gas cabinet usually contains two process cylinders to allow automatic switch-over when one cylinder is empty. One nitrogen cylinder is also available for purging the piping line.

With a few exceptions, such as Ch and SiH2Ch, the supply pressure for bulk and special gases is normally kept around 5 kg/em". At each point of use, the pressure of each gas has to be independently and locally controlled by a series of valves, pressure regulators, pressure sensors, and particle filters located inside a gas manifold box. Each gas is distributed at a special pressure dictated by the process tool. For each process tool there are one or more gas manifold boxes installed nearby for gas distribution and control.

The evolution of bulk-gas specifications with respect (0 process technology is shown in Table 11. From the 1M DRAM to the 4M DRAM, particles and impurities levels have to be reduced by one order of magnitude. To achieve the tight process-gas quality specifications required by a 16M DRAM process technology, the selection of gas components, piping-system design, and installation procedures becomes extremely critical.

Ultraclean gas components with the following features are used extensively in

the submicrometer level process-gas piping systems:

Minimum dead space

Metal seal to prevent any leak to outside

Interior surface with submicrometer-level roughness

48 ULSI Technology

TABLE 11

Evolution of bulk gas specifications

~'mm~~~~~~~~mm~~_~~~

DRAM f.eehnology
256K 1M 4,M 16M 64M
Design rule, I-lm 23 1.3 0.8 0.5 0.3
Particle level
Size, p.m 0.3 0.2 0.1 0.1 0.05
Density, pcs/cc < 10 < 10 <5 <s <S
Impurity level, ppb
O2 < 100 < 50 <10 <s <]
CO <ilO <5 < 1
CO2 <:to <5 <]
CH4 <10 <5 < 1
H20 level
Dew point, DC < -76 < -80 < -90 < -100 < -120
Concentration, ppb 1,000 500 llOO 10 0.13
Metallic level, ,j..Lg/m3 ] II 0.1 0.01
Source: Courtesy of BOC of England. No thread seal and no moving parts

Fittings with bearing or welding applied to all joints

All of these features are aimed at minimizing particles and adsorbed moisture from the interior wall that makes contact with the ultrapure process gases, reducing the trapping of unwanted gas in the dead space, and preventing ambient moisture and oxygen from leaking into the piping systems. The performance characteristics of the ultraclean gas components can be illustrated by the following examples.

Figure 21 shows the schematic diagrams of a can vemional branch piping method and an integrated gas valve.67 The dead space of the former is 1.3 cc and of the latter

Flow dire"tiJ

Dead space 1.3 cc

Dead space O.OI cc

(a) Two-way piping valve

(b) Integrated valve

FIGURE 21

(a) Conventional branch piping method and (b) newly developed integrated gas valve.

ure mg ure JCS

lOd :ter

CHAPTER I: Cleanroom Technology 49

2

Background

I02L_~--~--~--~--~--~~

o

102L_~~~--~--~--~--~~

o

40

80

120

80

120

40

Flow rate (cc/min)

Flow rate (cc/min)

(a)

(b)

FIGURE 22

Gas displacement time (a) for branch valve and (b) for integrated valve.

is 0.01 cc. As illustrated in Fig. 22, the integrated valve, which has a dead space two orders of magnitude lower, offers much better gas displacement characteristics than the conventional branch piping method. Recently, block valves that have several integrated single valves in a single metal block have been used by some IC manufacturers in their process-gas distribution systems.

Hundreds of diaphragm valves and bellows valves are used in a process-gas piping system. Schematic diagrams of these two valves are shown in Fig. 23. Compared with the bellows valve, the diaphragm valve has much lower dead space, and therefore exhibits superior gas-displacement characteristics.v'

In the past decade, gas components with an interior surface electropolished to a roughness below 1 u.m have become a standard. The moisture outgassing characteristics of an EP (electropolished) surface can be improved further by growing a Cr203 passivation layer in an oxygen ambient. The oxygen-passivated EP piping has a golden color and is also known as GOLDEP piping. Figure 24 shows the moisture outgassing characteristics observed in the start-up phases of three different gas-piping systems." Within a few hours of purging, the moisture level of the gas piping system employing GOLDEP tubing and gas components with all-metal seals (see case C) drops to a few ppb. It will take more than a month of continuous N2 purging for the moisture level of the gas-piping system using conventional EP tubing and gas components with plastic seals to drop below 5 ppb. Figure 24 also illustrates the importance of purging continuously after the welding work is completed.

Table 12 summarizes the gas components and their relevant characteristics, such as interior surface roughness and extemalleakage rate, with respect to process technology ranging from 256K DRAM to 64M DRAM. The surface roughness of

50 ULSI Technology

Stem /Spring tr~~~~~ Mct~ diaphragm

Valve seat

(a) Diaphragm valve

2

Bellows valve

(b) Bellows valve

-------------

Metal diaphragm

Spring Stem Valve seat

50 100

Background

102~------~------~--------~------~------~

o

250

150

200

H2 flow rate (cc/min) (c)

FIGURE 23

(a) Diaphragm valve, (b) bellows valve, (c) gas displacement characteristics.

gas piping and the external leakage of gas components has been improved by several orders of magnitude. The bellows valves have given way to diaphragm valves. The only plastic parts remaining in the gas-piping system are the membrane gas filters installed at the beginning of the main gas piping. Compared with the total gas flow rate in the main pipe, the outgassing rate of a membrane filter is negligibly small. The conventional Borden tube pressure gauge contains a spiral hollow coif that moves the pressure indicator needle by expanding and contracting as the pressure fluctuates. This type of spiral coil has a large dead space and also generates particles. The Borden tube pressure gauges are being replaced by flow-through type pressure

:m

at

-ral [he

In~ate fhe v'es 'tu[he

are

CHAPTER 1: Cleanroom Technology 51

500

• SUS316L-EPtubing

• Include plastic materials

• Interrupt purging at night

®

,

I I

• SUS3J6L-EP tubing

• Include plastic materials

• Continuous purging

r--.

.0 P. P.

';;' 300 .2

'o:i

'-

C

(l) o c o

U

o

'"

::r::

®

, \ \

100

FIGURE 24

Moisture outgassing characteristics of three piping systems during the startup stages.

TABLE 12
Evolution of process-gas components
DRAM
technology 2561( 1M 4M 16M 64M
Piping material SS316LBA SS316LBA SS316LEP SS316LEP SS316LGEP
Internal surface SCH-IOS SCH-IOS SCH-5S SCH-5S SCH-5S
Roughness, Rmax, jJ.m <3-5 < 1.0 < 0.7 < 0.3 < 0.1
Tube fitting Swagelog VCR VCR VCR MCG/JSK
Ring Metal Metal Metal
External leak, atm-cc/s I E-4 1 E-6 1£-8 I E-ll I E-13
Valve
Large Bellows Bellows Bellows Diaphragm Diaphragm
Small Bellows Bellows and Diaphragm All-metal All-metal
diaphragm diaphragm diaphragm
Filter
Cutoff size.ji.m 0.02 0.02 0.01 0.01 0.005
Large flow rate Membrane Membrane Membrane Membrane Membrane
Small flow rate Membrane Membrane Ceramic Metal Metal
Pressure regulator Diaphragm Diaphragm Diaphragm Diaphragm Diaphragm
Pressure gauge Borden Borden Borden Flow-through Flow-through
tube tube tube pressure sensor pressure sensor
Source: C0U11esy of BOC of England. 52 ULSI Technology

sensors that monitor gas pressure with a built-in metallic diaphragm attaching to the interior wall of the gas tubing.

Improvement has also been made to gas cylinder valves. The conventional CGA (Compressed Gas Association) cylinder valve has been replaced by a newly developed DISS (Diameter Index Safety System) cylinder valve. The DISS gas cylinder valve employs a metallic gasket to provide a better seal at the contact between the male gas cylinder outlet and the female connector attaching to the gas-distribution system. Special provisions are also made to minimize the torque force, and thereby the abrasion, generated at the surface of the gas cylinder outlet when the male and female DISS connectors are tightened.

The design and construction concepts of an ultraclean process-gas piping system

is similar to the ultraclean gas components:

Leak free

Particle free

Dead space free Qutgas free Corrosion free Plastic material free

Purge valves are often installed at the ends of the subbranches extended sideways from the bulk-gas main piping. A small volume of gas is continuously purging out of the piping system to ensure that the process gas is not stagnant inside any section of the piping system.

In addition to the proper selection of gas components, the actual field installation procedures plays a decisive role in determining the final gas quality. All the components and tubing should be wrapped in a nitrogen-filled plastic package prior to shipment from the vendors. The on-site subassembly of gas components should be performed in a clean booth with a cleanliness better than class 100. The installation of the subassembled parts should also be in a dean environment, preferably after the installation of HEPA filters. During welding, Ar containing 3 to 5% H2 is used as an arc gas and back-seal gas to prevent the hot welding spot from oxidizing with ambient oxygen and moisture. After welding, the piping should be purging continuous1y with N2 to get rid of the adsorbed moisture. Helium leak tests are also applied to an the welding spots to ensure there will be no leakage due to defecti ve welding.

1. 7.4 Space Management

Space management refers to the arrangement of process utilities including make-up air and exhaust ductwork, process pipings, electrical cable tray and bus bars, etc. in both the utility building and the c1eanroom area. It comprises the design of the process utilities distribution network and the design of the pipe rack on which the process utilities are installed. Space management, which is often overlooked during cleanroom design and construction, results in an optimum and flexible process utilities distribution.

Each process utility piping network can be subdivided into main pipings and branch pipings. Figure 25 illustrates the arrangement of main piping and branch

to the

eGA levellinder ~n the lution ereby e and

'stem

.idegmg any

ition omIf to j be tion

the

san ibi.sly , all

up .tc. .he he uress

nd ch

~
r---
r-- ~
~
I--
'[%
I-- ".:"'-.. " c
r---- r---- B ~ [%
t;s,' o 0. V
c-- E ._
~ q) ::I
I- ez:: [
t-- ~ [ I
(:
t-- ts:s
,~
lJllJl
,"'-.." r----
- [c ~
"
"
"
" Ie
~~ "
t-- ±: , ~
Jj .lfJt1 It J\J1
r-- 5S [ ~
--n
ts:s - --~
I- ------- )f-I( 1= V
~
,"'-.." ------- of
- -1)
I

~ - --- l.// , ~
t-- t
--- jJ
o 0 0 0 '?lI
[;S f(>lfO!
t-- " L .~
"
"
CSS "1\ , I' ~
- -'!UU- rru-
~. ~~
I-- ~",,~: - ~ II }/-:
I~ _l V

I-- r-, "'-.. '\
I _;)C
f- ~
~ off'-
-
- l"-,,"'-.. ~

I-- :--,"'- ~

"'-"
I-- E

o

e

c ro (j)

-

U

4-0 o

......

. _

- ._

.....

~ <I) V) (j) U o i-< 0..

(j)

.s

4-0 o

53

54 ULSI Technology

headers in the basement of a cleanroom. The main headers of the process utilities are based on a horizontal distribution of piping, cabling, and exhaust ductwork. The branch headers of the process utilities, which crisscross over the main header, are based on a vertical distribution of piping, cabling, and exhaust ductwork. From the branch header, process utilities are connected upward to the process equipment located at the second floor or downward to the pumps and power cabinets located on the first floor.

The optimal height of the c1eanroom basement, from the floor level to ceiling, should be approximately 6 meters to allow enough clearance for people to walk freely under the main utilities headers.

The pipe rack is required to support the main and branch headers of the process utilities and occasionally the central utilities, such as chilled water for the recirculation air units.

1.8

SUMMARY AND FUTURE TRENDS

Because devices are continuously miniaturized, the design of the cleanroom system and process utilities is increasingly critical for the Ie manufacturing process. As feature sizes decrease and wafer sizes increase, purity requirements become more stringent. A low defect density demands a substantial improvement in the control of the wafer environment. The current cleanroom classification is based on 1 particle with a size equal to or larger than 0.1 urn per ft3 in the wafer environment, obtained in a downward laminar air flow at a maximum speed of 0.35 m/sec. -';

The design of a c1eanroom system must be flexible to allow any desired process layout. The two-level cleanroom concept is recommended, in which the upper level is the clean production area with the process equipment, and the lower level is a utilities distribution area and a return air plenum as well as a site for installing remote equipment. All c1eanroom subsystems should be integrated for optimum performance. Material selection and basic setup for each subsystem must satisfy the requirements of the manufacturing process. Vibration, temperature, relative humidity, ESD and EMI, and particle and chemical contamination must all be controlled to ensure high quality cleanroom performance.

The baseline management method, which divides the entire project into clearly identifiable phases, is the recommended approach for a c1eanroom construction project. The time span from beginning the design to starting up the process is estimated to be approximately 2 years, divided into a 6-month preparation period, a 14-month realization period, and a 4-month hookup and final-testing period. Of the total investment for a semiconductor fab, 75% purchases the process equipment and 25% pays for the facilities and utilities. Of the latter amount, approximately 35% pays for the process utilities and 25% finances the cleanroom system.

The generation of particles inside the cleanroom must be prevented as much as possible. Gowning procedures are imposed on persons entering the cleanroom and decontamination procedures must be followed for incoming materials. Sources of microcontamination inside the c1eanroom are minimized by proper c1eanroom maintenance.

ities The

, are 1 the t 10- don

ling, eely

cess ula-

tern

As lore II of .cle ned

.roper vel mg lerretty, I to

fly on es-

I, a

he .nd ,%

as nd of

..

CHAPTER 1: Cleanroom Technology 55

Other related process utilities require the highest possible quality level. DI water, chemical supplies and process gases require attention and investment.

Total integration of process utilities requires space management-the optimal arrangement of the various utilities distribution networks and the supporting pipe rack. Trends in cleanroom design are toward minienvironments in which the wafer loading/unloading and processing areas are enclosed .. Wafers are transferred automatically in and out of the mini environment Outside these minienvironments, the wafers are sealed inside a portable pod for transport. To eliminate microcontamination and reduce native oxide growth on silicon wafers, the nitrogen tunnel has been proposed for manufacturing IG DRAM. In this future cleanroom, the wafers will be transported by robots, one piece at a time, inside a nitrogen tunnel that connects to each piece of process equipment An alternative solution may be the use of cluster tools with dry HF etch chambers to clear the native oxide before a native-oxidesensitive process is performed. In designing the cleanroom layout, enough space should be kept between process tools to accommodate the cluster tools, which usually have large footprints.

During the last three decades, the wafer size has increased from 75 mm to 200 mm, and the standard loading capacity of a wafer cassette has remained at 25 pieces. The lot size for the 300-mm wafer will become much smaller. Smaller lot size can significantly reduce the wafer processing cycle time; however, it can also dramatically increase the wafer handling frequency. Automatic transfer of the delicate wafers between process tools is the only applicable solution. Ultimately, the process tool manufacturing community must come to an agreement on standardizing the robotics interface so that total automation can be implemented smoothly.

Total automation is a starting point in the design of the process layout. This means that the required space and logistics must be planned. Three basic levels of automation can be distinguished: tool automation, intrabay automation, and interbay automation. Interbay automation is now a standard feature. Intrabay automation is becoming popular and will become absolutely necessary in the future 300-mm wafer fab.

REFERENCES

1. 1. Ohmi, "Future Trends and Applications of Ultra-Clean Technology," Tech Dig. lEDM, 49 (1989).

2. 1. Ohmi, "Ultraclean Cleanroom Environments: Closed System Essential for HighQuality Processing within Advanced Semiconductor Lines," Microcontamination, 8(6),27 (1990).

3. T. Ohmi and 1. Shibata, "Developing a Fully Automated, Closed Wafer Manufacturing System," Microcontamination, 8(7), 25 (1990).

4. T. Ohrni, "Breakthrough for Scientific Semiconductor Manufacturing in 200 I. A Proposal from Tohoku University," Realize, Inc. (Japan) 1992.

S_ D. W. Cooper, S. J. Gretzinger, L. R Ackman, and V. Srinivasan, "Selecting Nearly Optimal Sampling Locations Throughout an Area. Application to Cleanrooms and Federal Standard 209," institute of Environmental Sciences, Annual Technical Meeting, New Orleans, LA, ]990, p. 257.

6. S. 1. Grotzinger and D. W. Cooper, "Selecting a Cost-Effective Number of Samples to Use at Preselected Locations," Journal of the lES, 35(1),41 (]992).

56 ULSI Technology

7. D. W. Cooper and D. C. Milholland, "Sequential Sampling Plan for Federal Standard 209," Journal of the 1£S, 33(5), 28 (1990).

8. R. Simon, "Clean Room Technology for Semiconductor Manufacturing," (German), Stroemungsmechanik und Stroemungsmaschinen, 39 139 (Nov., 1988).

9. K. Unno, "Cleanroom," Denski Zairyo (Electronic Material) 1988 Sup. Vo!., 134 (1988).

10. T. Kawarnata, "Cleanroom," Denski Zairyo (Electronic Material) 1989 Sup. Vol., 125 ( 1989).

j 1. N. Namiki, "Cleanroorn," Denski Zairyo (Electronic Material) 1990' Sup. Vo!., 136 (1990)..

12. B. Patel, 1. Greiner, and T. R. Huffman, "Constructing a High-Performance, Energy-

Efficient Cleanroom," Microcontamination, 9 (2),29 (1991).

13. B. Newboe, "Successful Cleanroom Designs," Semic. Intl., 14 (6), 106 (1991).

14. 1. R. Weaver, "Cleanroorn Reverse Design Principle," Semic.Tntl., 14 (10), 4 (1991).

15. R. W. Frick and M. C. Miller, "Designing NASA's Largest Cleanroom," Microcontamination, 10 (l), 37 (1992).

16. A. Saiki, "Cleanroorn," Denski Zairyo (Electronic Material) 1993 Sup. Vol., 143 (1993).

17. T. Kawamata, "Cleanroom," Denski Zairyo (Electronic Material) 1994 Sup. Vol., 133 (1994).

18. R. A. Hughes, G. B. Moslehi, D. M. Campbell, K. J. Radigan, W. Lukaszek, and E.

D. Castel, "Eliminating the Cleanroom: More Experiences with an Open-Area SMIF Isolation Site (OASIS)," Microcontamination, 8 (4).,35 (1990).

1. Inbody and V. E. Bradley, "Upgrading an Existing Wafer Fab Facility with SMIF Technology," Microcontamination, 8 (9), 25 (1990) .

C. Y. Hsu and L. C. Tu, "Designing, Operating a Submicron Facility with Isolation Technology," Microcontamination, 10 (3), 29 (1992).

T. Baechle, G. Marvell, W. Fosnight, and M. Lynch. "Assessing the Capabilities of a Minienvironment to Meet Increasing Environmental Specifications," Microcontaminalion, 10 (5), 25 (1992).

T. Baechle, G. Marvell, and M. Lynch, "Evaluating the Capabilities of Mini-Environments Using Polished Silicon Monitor Wafers," Microcontamination, 10 (5), 35 (1992).

w. C. Grande, "Upgrading a Class 100 Fab through Use of Manual-Access Microenvironments," Microcontamination, 11 (I) 25 (1993).

A. Morizuki, "Cleanroom for Semiconductor Industries," Denski Zairyo (Electronic Material), 35 (Aug., 1990).

T. Ohmi, Y. Kasarna, K. Sugiyama, Y. Mizuguchi, Y. Yagi, H. Inaba, and M. Kawakami.

"Controlling Wafer Surface Contamination in Air Conditioning, Particle Removal Subsystems," Microcontamination, 8 (2), 45 (1990).

26. T. Ohmi, H. Inaba, "Cleanroom Special Edition - Environmental Control Technique," (Japanese), Nikkei Microdevices, ] 1 S, (Sep., ] 989).

27. Suzuki, "Supercleanroorn," (Japanese), Hitachi Hyoron (Commentary), 68 (9) 737 (1986).

28. M. 1. Bader, "Ionizers for Clean Room. Eliminating Both Electro tatic Charging and Dust," (German), Plastverarbeiter; 39 (12). 44 (.1988).

29. J. R. Turner, D. K. Liguras, and H. 1. Fissan, "Clean Room Applications of Particle Deposition from Stagnation Flow: Electrostatic Effects," Journal of Aerosol Science, 20 (4),403 (1989).

30. P. C. D. Hobbs, V. P Gross, and K. D. Murray, "Suppression of Particle Generation in a Modified Clean Room Corona Air Ionizer," 1. Aerosol Science, 21 (3),463 (I 990).

31. A. Steinman, "Evaluating Air Ionization Sy .tem :' Evaluation Engineering. 29 (4), (1990).

l 19.
. l
... 20 .
,..
,...
,....
I~l
~~ 21.
22.
23.
24.
25. lard

in),

~8). 125

[36

gy-

ni-

3). 33

E. IF

IF

h-

a a-

nts

1-

1-

] ,

,-

.,

7

d

CHAPTER I: Cleanroom Technology 57

32. P. C. D. Hobbs, V. P. Gross, and K. D. Murray, "Reviewing Clean Corona Discharge:

Laser-Produced Plasma Ionization Technologies," Microcontamination, 9 (6), 19 (1991).

33. T. Sebald, "Continuously Working Monitoring System for Clean Room Ionizers," Environmental Engineering, 5 (4),16 (1992).

34. T. Takenami, T. Ohmi, and S. Fukuda, "Air Conditioning and Particle Filtration Systems for Energy Saving," Sol. State Technol., 32 (4), 161 (1989).

35. P. Naughton, "HVAC Systems for Semiconductor Cleanrooms. Part 1: System Components," ASHRAE Trans., Part 2,620 (1990).

36. P. Naughton, "HVAC Systems for Semiconductor Cleanrooms. Part 2: Total System Dynamics," ASHRAE Trans., Part 2, 620 (1990).

37. T. Ohmi, H. Inaba, and T. Takenarni, "Using Water- Based Cooling Systems in Cleanroom Environments," Microcontamination, 7 (12), 27 (1989).

38. Y. Suzuki, S. Oikawa, and 1'. Sekiguchi, "Super Cleanroom Technology: A High-Tech Balancing Act," Microcontamination, 6 (9), 59 (I988).

39. B. Brandt and L. A. Wright, "Analyzing Particle Release of Clean room Headcoverings," Microconiamination, 8 (10), 53 (1990).

40. R. Spector, C. Berndt, and C. W. Berndt, "Reviewing Methods for Evaluating Cleanroom .

Garment Fabrics," Microcontamination, 11 (3), 31 (1993).

41. P. Ravis, G. H. Ranta, "How to Choose a Clean Room Laundry," Institute of Environmental Sciences, Annual Technical Meeting, New Orleans, LA, 1990, p. 355.

42. K Skidmore, "Keep Your Clean Room Particle Free," Semic. Intl., 12 (9), 94 (1989).

43. W. K. Kwok and 1. T. Summers, "Characterization of Cleanroom Wipers: Particle Generation," Institute of Environmental Sciences, Annual Technical Meeting, New Orleans, LA, 365 (1990).

44. R. K. Schneider, "Developing and Implementing a Cleanroom Construction Protocol," Microcontamination, 8 (8), 35 (1990).

45 .. H. H. Schicht, "Contamination Control. An Indispensable Factor in High-Technology Manufacturing Tasks," 1. Aerosol Science, 21, Supp 1, 719 (1990).

46. J. Greiner and M. O'Halloran, "The Forgotten Functions: Support Facilities for the Modern Microenvironment," Microcontamination, 9 (3), 45 (1991).

47. A. M. Dixon, "Protesting Your High-Tech Investment through Sound Cleanroom Maintenence Practices," Microcontamination, 10 (11), 38 (1992).

48. T. Ohmi, "Proposal for Advanced Semiconductor Manufacturing Equipment: An Approach to Automated IC Manufacturing," Proceedings of the 5th Symposium on Automated Integrated Circuits Manufacturing, IEEE, 1989, p. 3.

49. T. Ohmi, T. Shibata, "Requirements of CAM in IC Technology," Microelectron. Eng., 10 (3-4), 177 (1991).

50. P. K John, "Optimal Partitions for Shop Floor Control in Semiconductor Wafer Fabrication," Europ. 1. Oper. Res., 59 (2),294 (1992).

51. Y. Mizokami, "Total CIM System for Semiconductor Plants," IEEE/SEMI International Semiconductor Manufacturing Science Symposium~ISMSS '90, May 21-23, 1990.

52. R. S. Weiss, "Automation and Particulate Control within an IC Manufacturing Facility," Proceedings of the 5th Symposium on Automated Integrated Circuits Manufacturing, IEEE, 1989, p. 199.

53. H. Tabata, T Yamashita, M. Murata, M. Onishi, and T. Tsubaki, "Autonomous Mobile Robot Transport System for Clean Rooms," (Japanese), R&D, Research and Development, Kobe Steel, Ltd., 40 (3),36 (1990).

54. 1. Y. Wang, A. S. Li, and B. V. Ilene, "A Magnetic Levitation Transport Path," IEEE Trans. Semic. Manuf., 4 (2), 145 (1991).

58 ULSI Technology

55. T Araki, "Autotransfer System," Japanese, Denski Zairyo (Electronic Material) 1994 Sup. Vol., 141 (1994).

56. H. Sato, M. Hashimoto, T Shinoda, and Y. Hiratsuka, "Ultrapure Water System for 16M DRAM," Japanese, Semiconductor World, 8 (6), 54 (1989).

58. A. Houzuki and K. Ushigoe, "Ultrapure Water Manufacturing System," (Japanese), Denski Zairyo (Electronic Material) 1989 Sup. Vol., 118 (1989).

59. M. Furuichi.v'Ultrapure Water Manufacturing System," (Japanese), Denski Zairyo (Electronic Material), 69 (Aug., 1990).

60. M. Toto, "A Closed Ultrapure Water Manufacturing System," (Japanese), Denski Zairyo (Electronic Material), 59 (Aug., 1991).

61. K. Oda and T.. Ozaki, "Ultrapure Water Manufacturing System," (Japanese), Denski Zairyo (Electronic Material) 1991 Sup. Vol., 108 (1991).

62. y. Yagi, 1. Imaoka, Y. Kasama, and T. Ohrni, "Advanced Ultrapure Water Systems with Low Dissolved Oxygen for Native Oxide Free Wafer Processing," IEEE Trans. Semic. Manuf., 5 (2), 7 (1992).

63. T. Doi, "Ultrapure Water Manufacturing System," (Japanese), Denski Zairyo (Electronic

Material) 1993 Sup. Vol., 137 (1993). ..

64. K. 1. Pate, "Examining the Design, Capabilities, and Benefits of Bulk Chemical Delivery Systems," Microcontamination; 9 (10),25 (1991).

65. K. Kobayashi, M. Tamura, 1. Shimada, and H. Sakai, "Chemical Autodelivery System," Denski Zairyo (Electronic Material) 1993 Sup. Vol., 157 (1993).

66. T Ohmi, Y. Kasama, K. Sugiyama, Y Mizuguchi, Y. Yagi, H. lnaba, and M. Kawakami, "Examining Performance of Ultra- High-Purity Gas, Water, and Chemical Delivery Subsystems," Microcontamination, 8 (3), 27 (1990).

67. T. Ohmi, K. Sugiyama, F. Nakahara, M. Tsuda, Y. Sugano, and N. Onaga, "The Reduction of Residual Gas After Purging by Eliminating the Dead Space in the Valve," (Japanese), Nikkei Microdevices, 126 (Jul., 1988).

68. Y Mukagawa and K. Tamura, "The New Trend of Semiconductor Process and Supporting Facility Technologies," (Japanese), Denski Zairyo (Electronic Material), 22 (Aug., 1990).

69. K. Sugiyama, F. Nakahara, and T. Ohmi, "Designing a Gas Delivery System for Lower Subrnicron ULSI Processes," Microcontamination, 7 (7), 29 (1989).

70. D. H. Hope, R. J. Markle, 1. F. Fisher, 1. B. Goddard, 1. Notaro, and R. D. Woodward, "Installing and Certifying SEMATECH'S Bulk-Gas Delivery Systems," Microcontamination, 8 (5), 3 i (1990).

71. M. Nakamura, 1. Ohmi, and K. Kawada, HAll Metal and Oxygen Passivation Tubing Technology for Ultra Clean Gas Delivery System," Institute of Environmental Science Technical Meeting, San Diego, 605 (1991).

72. S. Kamoki, K. Sugiyama and M. Nakamura, "Gas Delivery System for Semiconductor Processes," (Japanese), Electronic Material 1993 Sup. Vol., p. 151 (1993).

73. T Aida, "Gas Delivery System for Semiconductor Processes," (Japanese), Electronic Material 1994 Sup. Vol., 147 (1994).

PROBLEMS

1. Due to contamination that occurred in the cleanroom, the wafer defect density, measured at sizes above 0.3 p.m, has increased fivefold from 0.2 Drcrrr' to ].0 D/cm2. Use the following equation along with the data provided in Tables J and 2 to estimate the yield loss of a 4M DRAM and a ] 6M DRAM wafer.

1994

16M

Den-

~lee-

tiryo

mski

with mic.

onic

very

-m,"

ami, )ub-

tion .se),

ortug.,

wer

ard, 'tm-

mg nee

'tor

me

'ed the . .Jd

CHAPTER I: Cleanroom Technology 59

where D is the defect density and A is the chip area. Note that the density of defects is roughly inversely proportional to the defect size to the second power.

2. The class 1 according to Japanese Std. B9920 rev. describes air cleanliness with no more than 10 particle/rrr' of a size 0.1 ,f..Lm or larger. Calculate the equivalent air cleanliness class according to U.S. Fed. Std. 209E.

3. Estimate the numbers of sampling locations and the total air sampling time required to certify a submicrometer fab having 2000 m2 class Ml process area and a 2000 m2 class M3 service area. Use the single sampling plan and sample the air at 28.3 L/min.

4 .. An accidental spillage of an IPA bottle raised the cleanliness level of a 10m2 cleanroom from class 1000 to class 100,'000. Assuming the air exchange rate of the cleanroom is 60 times per hour, estimate the time required for the air cleanliness to recover to class 1000.

5. The cleanliness of outside ambient air is around class M7 according to Fed. Std. 209E.

Estimate the cleanliness of the air leaving the make-up air unit having a HEPA filter of 99.97% filtration efficiency. What is the minimum filtration efficiency of the ULPA filters required for the process area to reach a class M 1 (at OJ urn) cleanliness?

6. The cleanliness of a cleanroorn is achieved by recirculating air through HEPA filters. Estimate the annual electricity requirement for maintaining the air cleanliness of a 4000 m2 submicrometer cleanroorn. The HEPA filter coverage of the entire c1eanroom is 60%. The average air speed leaving HEPA filters is 0..35 rn/sec, The average pressure drop across the HEPA filter is 120 Pa. The efficiency of the axial fan unit is 85%.

7. By adopting the mini environment concept, the HEPA coverage of the conventional cleanroom described in Problem 6 can be reduced by half. The total investment of 'implementing SMIF is around $25 million. Estimate the annual saving in electricity and compare that with the SMIF investment cost The cost of electricity is $0.1 per kWh. The amortization period of SMIF investment is 7 years and the annual interest of the capital is 6%.

8. To maintain a constant air velocity of 0.35m/sec,. the pressure drop across the HEPA filter has to be increased over time .. Use the data provided in Problem 6 to estimate the annual increment in electricity cost for a HEPA filter having an initial pressure drop of 100 Pa and an annual pressure increment of 10 Pa.

9. To control the relative humidity level of a cleanroom at 43%, the outside air has to be cooled to 9°C to remove the excess moisture before being heated to the operating temperature of 22°C. Since 11 large amount of heat is released by the process tools located inside the cleanroorn, significant energy saving on chillers and boilers can be realized by lowering the outlet temperature of the make-up air to, for example, 14 °C instead of 22°C. Use the data provided in Section] .3.5 to estimate the annual. energy saving achieved in a submicrorneter fab with a monthly production capacity of 15,000 wafers. Assume the average mask level is 20 and the average heat removal efficiency of a chiller is 5. i.e., 5 kW heat removed per kW of electricity required. The cost of electricity is $0.1 per kWh.

10. Estimate the gas displacement time of a 1I4-in. bellows valve at the flow rate of 50 cc/min .. Repeat the same calculations for a diaphragm valve .. The dead space of the bellows valve and the diaphragm is 2 cc and 0.2 ce, respectively. The gas displacement time is defined as the time required for the displaced gas to reach 0.01 % of its initial

rnnrpntr...::a,t;nn

CHAPTER 2

Wafer-Cleaning Technology

C. y. Chang and T. S. Chao

2.1 INTRODUCTION

,.,'

',r ! '

,~I, ,~,

;:: ;;~.

".ail

'"

ULS1 technology requires more stringent and reliable means to control the surface smoothness and to get rid of particles and contamination, such as metallic and organic residues on the silicon wafer surface, than does ViS1 technology.

The well-known RCA wet clean! processes have been used extensively since the 1970s, The basic mechanisms of cleaning will be discussed in the following section. This discussion is followed by a presentation of recent developments that modify the standard RCA process to meet the more severe requirements and various applications of Ul.Sl processes. These processes include thinner « 5 nm) gate oxide formation, metal contact, and high-crystal-quality epitaxy. Next, we will address dry cleaning processes that meet the future requirements of integrated cluster-processing systems. Recent developments in surface electronic-state configurations, surface termination (e.g., H-terminated or F-terminated), surface kinetics, and reactions win be discussed in order to understand the surface-cleaning processes.

Supporting technologies, such as 02-content-free deionized water, pure gas delivery systems, special designs for avoiding particulate generation in the process chamber, cleanroom design, etc., that are crucial to avoid surface contamination of the processing wafer have been discussed in detail in Chapter 1 and will be readdressed where they are needed.

2.2

BASIC CONCEPTS OF WAFER CLEANING

The wafer-cleaning process has been the important and critical step in semiconductor manufacturing for over 30 years. The most common process used today is the RCA wet cleaning process.' The RCA cleaning process was first developed by Kern and

60

You might also like