4-Bit Asynchronous Up Counter: Program
4-Bit Asynchronous Up Counter: Program
4-Bit Asynchronous Up Counter: Program
Program:
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
end counter;
begin
begin
if (CLR='1') then
end if;
end process;
Q <= tmp;
end archi;
4 bit asynchronous down counter:
Program:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
end counter;
begin
begin
if (CLR='1') then
end if;
end process;
Q <= tmp;
end archi;