Random Counter LFSR
Random Counter LFSR
use ieee.std_logic_1164.all;
entity lfsr is
port (
cout :out std_logic_vector (7 downto 0);-- Output of the counter
enable :in std_logic; -- Enable counting
clk :in std_logic; -- Input rlock
reset :in std_logic -- Input reset
);
end entity;
architecture rtl of lfsr is
signal count :std_logic_vector (7 downto 0);
signal linear_feedback :std_logic;
begin
linear_feedback <= not(count(7) xor count(3));