0% found this document useful (0 votes)
807 views11 pages

Using The UVM Libraries With Questa Verification Horizons BLOG

The document discusses using the Universal Verification Methodology (UVM) libraries with Questa simulation software. It notes that Questa includes pre-compiled UVM and DPI libraries so no compilation is needed. It describes how to run UVM-based testbenches using the built-in libraries and how to control the UVM version used. It also provides instructions for compiling the UVM DPI library yourself if not using the built-in version.

Uploaded by

Prashant Jain
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
807 views11 pages

Using The UVM Libraries With Questa Verification Horizons BLOG

The document discusses using the Universal Verification Methodology (UVM) libraries with Questa simulation software. It notes that Questa includes pre-compiled UVM and DPI libraries so no compilation is needed. It describes how to run UVM-based testbenches using the built-in libraries and how to control the UVM version used. It also provides instructions for compiling the UVM DPI library yourself if not using the built-in version.

Uploaded by

Prashant Jain
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG

VERIFICATIONHORIZONSBLOG

UsingtheUVMlibrarieswithQuesta
byRichEdelmanandDaveRich
Introduction

TheUVMisaderivativeofOVM2.1.1.Ithassimilarusemodel,andisruningenerallythesameway.
OnesignificantchangeisthattheUVMrequiresaDPIcompiledlibraryinordertoenableregular
expressionmatching,backdooraccessandotherfunctionality.
WhenrunningUVMbasedtestbenches,werecommendusingthebuiltin,precompiledUVMand
DPIcompiledlibraries.Thiswillremovetheneedtoinstallanycompilersorcreateabuild
environment.

POSTAUTHOR

PostedMarch8th,2011,byDaveRich

Share

POSTTAGS

methodology,questa,UVM,UVM1.0,UVM
1.1

POSTCOMMENTS

27Comments

OneotherissuetomentionifyouareconvertingfromOVMtoUVM,andifyouusestop_request()
and/orglobal_stop_request(),thenyouwillneedtousethefollowingplusarg,otherwiseyour
testbenchwillendprematurelywithoutawaitingyourstop_request().
vsim+UVM_USE_OVM_RUN_SEMANTIC+UVM_TESTNAME=hello
SimulatingwithUVMOutOfTheBoxwithQuesta

TheUVMbaseclasslibirariescanbeusedoutoftheboxwithQuesta10.0borhigherveryeasily.
ThereisnoneedtocompiletheSystemVerilogUVMpackageortheCDPIsourcecodeyourself.The
Questa10.0breleaseandeveryreleaseafterwardscontainsaprecompiledDPIlibrary,aswellasa
precompiledUVMlibrary.Theonlydependencyisthatyourhostsystemrequiresglibc2.3.4orlater
installed.Questa10.0cWindowsusersonly,pleasereadthisimportantnoteaboutthelocation
oftheDPIlibraries.

ABOUTVERIFICATIONHORIZONS
BLOG

Thisblogwillprovideanonline
forumtoprovideweeklyupdates
onconcepts,values,standards,
methodologiesandexamplesto
assistwiththeunderstandingof
whatadvancedfunctional
verificationtechnologiescando
andhowtomosteffectively
applythem.We'relooking
forwardtoyourcommentsand
suggestionsonthepoststo
makethisausefultool.

Youcaneasilyusethesesteps:
@DENNISBROPHYTWEETS

vlibwork
vloghello.sv
vsimhello
Noticethatwedonthavetospecify+incdir+$(UVM_HOME)/src,$(UVM_HOME)/src/uvm_pkg.svto
vlog,oraddasv_libcommandtothevsimcommandtoloadtheuvm_dpisharedobject.

RobFishdiscusseshow#IEEE5G
maybeequaltoorgreaterthan5.
Joinusandmakeithappen.
#MWCS16https://t.co/TJeSRooLtc

ControlingUVMVersions

Thepathto5gisthroughstandards
assertsPatrickSlaats.#IEEE5G
https://t.co/DtRaC3254i

EachreleaseofQuestacomeswithmultipleversionsoftheUVMprecompiledandreadytoload.By
default,afreshinstallofQuestawillloadthelatestversionofUVMthatisavailableintherelease.If
anolderversionofUVMisneeded,thisversioncanbeselectedinoneoftwoways.

NormShawwalksthroughthe
evolutionofwirelesssystems.
#IEEE5Gat#MWC2016
https://t.co/nT31lWSSpH

Modifythemodelsim.iniFile

Insidethemodelsim.inifile,itcontainsalinewhichdefinesalibrarymappingforQuesta.Thatlineis
themtiUvmline.Itlookssomethinglikethis:
mtiUvm=$MODEL_TECH/../uvm1.1b
ThisexampleispointingtotheUVM1.1breleaseincludedinsidetheQuestarelease.Ifwewanted
todowngradetoUVM1.1a,thenwewouldsimplymodifythelinetolooklikethis:
mtiUvm=$MODEL_TECH/../uvm1.1a
CommandLineSwitch

Followdennisbrophy

@DAVE_59TWEETS

Whatdoesaparent/childclass
relationshipmeanin
#SystemVerilog?
https://t.co/VZRbKqAHFv
@sricvc@mentor_graphicsShould
befixed,oratleastfriendliernow.
@TudorTimi@sricvc

https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

1/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG

TheQuestacommandscanalsoacceptaswitchonthecommandlinetotellitwhichlibrariestolook
for.Thisswitchoverrideswhatisspecifiedinthemodelsim.inifileifthereisaconflict.Theswitchis
L.Ifthisswitchisused,thenallQuestacommandswiththeexceptionofvlibwillneedtousethe
switch.
vlibwork
vloghello.svL$QUESTA_HOME/uvm1.1a
vsimhelloL$QUESTA_HOME/uvm1.1a...

Ifyouareusingsomeotherplatform,oryouwanttocompileyourownDPIlibrary,pleasefollowthe
directionsbelow.
IfyouuseanearlierQuestainstallation,like6.6dor10.0,thenyoumustsupplythe+incdir,andyou
mustcompiletheUVM.
Forexample,with10.0aonlinux,youcando
vlibwork
vloghello.sv
vsimcsv_lib$UVM_HOME/lib/uvm_dpi
ifyouuseyourownUVMdownload,oryouuseQuesta6.6dor10.0youneedtodothefollowing:
vlibwork
vlog+incdir+$UVM_HOME/src$UVM_HOME/src/uvm_pkg.sv
mkdirp$UVM_HOME/lib
g++m32fPICDQUESTAgWshared
I/u/release/10.0a/questasim//include
$UVM_HOME/src/dpi/uvm_dpi.cc
o$UVM_HOME/lib/uvm_dpi.so
vlog+incdir+$UVM_HOME/srchello.sv
vsimcsv_lib$UVM_HOME/lib/uvm_dpi

@hackonteurit'samatterof
perspective

Followdave_59

@JHUPCEYTWEETS

VerificationAcademynow:enduser
KartikRajushareshisexperiences
withQuestaCDCwitharea
engineershttps://t.co/EsjDypl9Bx
VerificationAcademynow:engineers
learnthelatestCDCtechniquesand
techfromteamexperts,R&D,and
otherusers
https://t.co/K0wd1UMKK2
#53DACnow:ShawnFengof
Oraclereviewspropertychecking
methodologyattheVerification
Academy#semieda
https://t.co/tPiK3K18CP

Followjhupcey

BuildingtheUVMDPISharedObjectYourself

Ifyoudontusethebuiltin,precompiledUVM,thenyoumustprovidethevlog+incdir+andyoumust
compiletheUVMyourself,includingtheDPIlibrary.
In$UVM_HOME/examples,thereisaMakefile.questawhichcancompileandlinkyourDPIshared
object.
ForLinux(linux):

cd$UVM_HOME/examples
setenvMTI_HOME/u/release/10.0a/questasim/
makefMakefile.questadpi_lib
>mkdirp../lib
>g++m32fPICDQUESTAgWshared
>I/u/release/10.0a/questasim//include
>../src/dpi/uvm_dpi.cco../lib/uvm_dpi.so
ForLinux64(linux_x86_64)

cd$UVM_HOME/examples
setenvMTI_HOME/u/release/10.0a/questasim/
makeLIBNAME=uvm_dpi64BITS=64fMakefile.questadpi_lib
>mkdirp../lib
>g++m64fPICDQUESTAgWshared
>I/u/release/10.0a/questasim//include
https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

2/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG

>../src/dpi/uvm_dpi.cco../lib/uvm_dpi64.so
ForWindows(win32):

cd$UVM_HOME/examples
setenvMTI_HOME/u/release/10.0a/questasim/
makefMakefile.questadpi_libWin
>mkdirp../lib
>c:/QuestaSim_10.0a/gcc4.2.1mingw32vc9/bin/g++.exe
>gDQUESTAWshared
>BsymbolicIc:/QuestaSim_10.0a/include
>../src/dpi/uvm_dpi.cco
>../lib/uvm_dpi.dll
>c:/QuestaSim_10.0a/win32/mtipli.dlllregex
Note:ForWindows,youmustusetheGCCprovidedontheQuestadownloadpage:(questasimgcc
4.2.1mingw32vc9.zip)
Saveto/tmp/questasimgcc4.2.1mingw32vc9.zip
cd$MTI_HOME
unzip/tmp/questasimgcc4.2.1mingw32vc9.zip
<createstheGCCdirectoriesintheMTI_HOME>
UsingtheUVMDPISharedObject

Youshouldaddthesv_libswitchtoyourvsiminvocation.Youdonotneedtospecifytheextension,
vsimwilllookfor.soonlinuxandlinux_x86_64,and.dllonWindows.
linux:

vsimsv_lib$UVM_HOME/lib/uvm_dpidorunallquitf
linux_x86_64:

vsimsv_lib$UVM_HOME/lib/uvm_dpi64dorunallquitf
win32:

cp$UVM_HOME/lib/uvm_dpi.dll.
vsimsv_libuvm_dpidorunallquitf
RunningtheexamplesfromtheUVM1.1Release

IfyouwanttoruntheexamplesfromtheUVM1.0ReleaseyouneedtogettheOpenSourcekitit
containstheexamples.
1.DownloadtheUVMtar.gzandunpackit.
Gotohttp://verificationacademy.com/verificationmethodologythedownloadlinkisinthe
UVM/OVMDownloads&Contributionsbox.
OntheAccelleradownloadpage,clickonDownloadUVM
2.setyourUVM_HOMEtopointtotheUVMinstallation.
setenvUVM_HOME/tmp/uvm<version#>
3.Gototheexamplethatyouwanttorun.
cd$UVM_HOME/examples/simple/hello_world
4.Invokemakeforyourplatform:
https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

3/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG

ForWindows(win32)
cd$UVM_HOME/examples/simple/hello_world
makeDPILIB_TARGET=dpi_libWinfMakefile.questaall
#Note:forwindows,youneeda"developmentarea",withmake,gcc/g++,etc.Usingcygwinistheeasiestsolution
ForLinux(linux)
cd$UVM_HOME/examples/simple/hello_world
makefMakefile.questaall
ForLinux64(linux_x86_64)
cd$UVM_HOME/examples/simple/hello_world
makeBITS=64fMakefile.questaall
MigrationfromOVMtoUVM

AnOVMdesigncanbemigratedtoUVMusingascript.ManyOVMdesignscanworkwithoutany
handcodedchangesorotherintervention.Itisagoodideatofirstgetyourdesignrunningonthe
latestversionofOVM2.1.2,beforestartingthemigrationprocess.
ThesedesignscanbeconvertedfromOVMtoUVMusingthedistributedconversionscript:
cd$MY_TEST_BENCH
$UVM_HOME/bin/ovm2uvm
Incertaincaseshandcodedchangesmightberequired.
Usingtheovm2uvmscript,youcanrunadryruntryandseewhatmustbechanged.Thereare
manyoptionstothescript.Beforeusingit,youshouldstudyitcarefully,andrunitindryrunmode
untilyouarecomfortablewithit.Inallcases,makeabackupcopyofyoursourcecode,beforeyou
usethescripttoreplaceinplace.
Bydefaultitdoesnotchangefiles.
Hereisasimplescriptwhichcopiestheovmcode,thenapplies
thescript.
#Copymyovmsourcetoanewplace.
(cdovmsourcetarcf.)|(mkdirpuvmsourcecduvmsourcetarxf)
#Doadryrun
$UVM_HOME/bin/ovm2uvm.pltop_diruvmsource
#Examinethe*.patchfile
.
#Ifsatisfiedwiththeanalysis,changeinplace
$UVM_HOME/bin/ovm2uvm.pltop_diruvmsourcewrite
IfyouaremigratingtotheUVMfromOVM,youareNOTrequiredtousethisscript,butyoumustdo
aconversionbysomemeans.
OnceyourOVMdesignisconvertedtoUVM,youarealmostreadytorun.
TheUVMrequiresthatyouusesomeDPIcode.Additionally,theUVMdefinesadifferentsemantic
forrun().IfyouareusinganOVMdesignconvertedtoUVM,andyouusestop_request()or
global_stop_request(),thenyouneedtoaddaswitch:
https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

4/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG

vsim+UVM_USE_OVM_RUN_SEMANTIC+UVM_TESTNAME=hello
InordertoNOTusethisswitch,youneedtochangeyourOVMdesign.YouneedtoNOTuse
stop_request()orglobal_stop_request().Youshouldcauseyourtestandtestbenchtobecontrolled
byraisingobjectionsasthefirstthinginyourruntasks,andthenloweringyourobjectionswhereyou
previouslyhadyourstoprequests.
MoreinformationaboutmigratingfromOVMtoUVMcanbefoundintheVerificationAcademy
Cookbook(registrationrequired).

MOREBLOGPOSTS

DVCon:ThePresentandtheFuture

LanguageTransitions:TheDawningofAgeofAquarius

COMMENTS

27commentsonthispost | AddYourOwn
CommentedonMarch9,2011at9:54am
BySystemLevelDesignBlogArchiveBlogReview:March9

[]DaveRichoffersupsomeinsideknowledgeforusingUVM1.0withQuesta.Ifyouworkinthisenvironment,[]

CommentedonMay16,2011at7:31am
Bymdt

localhost%/work/sv/uvm1.0p1/examples>makefMakefile.questadpi_lib
mkdirp/work/sv/uvm1.0p1/lib
g++m32fPICDQUESTAgWsharedI/asic/ssg_vol2/modeltech/questa6_4a/questasim/include/work/sv/uvm
1.0p1/src/dpi/uvm_dpi.cco/work/sv/uvm1.0p1/lib/uvm_dpi.so
Infileincludedfrom/work/sv/uvm1.0p1/src/dpi/uvm_dpi.cc:30:
/work/sv/uvm1.0p1/src/dpi/uvm_hdl.c:Infunction`intuvm_hdl_set_vlog(char*,t_vpi_vecval*,PLI_INT32):
/work/sv/uvm1.0p1/src/dpi/uvm_hdl.c:234:error:`vpi_release_handlewasnotdeclaredinthisscope
/work/sv/uvm1.0p1/src/dpi/uvm_hdl.c:Infunction`intuvm_hdl_get_vlog(char*,t_vpi_vecval*,PLI_INT32):
/work/sv/uvm1.0p1/src/dpi/uvm_hdl.c:294:error:`vpi_release_handlewasnotdeclaredinthisscope
/work/sv/uvm1.0p1/src/dpi/uvm_hdl.c:311:error:`vpi_release_handlewasnotdeclaredinthisscope
/work/sv/uvm1.0p1/src/dpi/uvm_hdl.c:Infunction`intuvm_hdl_check_path(char*):
/work/sv/uvm1.0p1/src/dpi/uvm_hdl.c:341:error:`vpi_release_handlewasnotdeclaredinthisscope
make:***[dpi_lib]Error1
Whatswronghere?

CommentedonMay16,2011at7:47am
ByDaveRich

mdt,
YouareusingaveryoldversionofQuesta.Youneedatleastversion6.6d.10.0aisthecurrentversion.

CommentedonMay25,2011at7:22am
Bymdt

Dave,
Thankyouverymuch!

CommentedonMay25,2011at8:01am
Bymda

https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

5/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG

Hi,
Iamtryingtouseuvm1.0p1with10.0b.Canyoupleasespecifysteps?
Iuseduvm_1.0with10.0aandeverythingwasworkingOK.
NowIamgettingthefollowing
Warning:(vsim3747)Failedtofinduserspecifiedfunctionuvm_hdl_check_pathinDPIsearchlist.
.
Fatal:(vsim160)10.0b/verilog_src/uvm1.0p1/src/dpi/uvm_svcmd_dpi.svh(27):Nullforeignfunctionpointerencounteredwhencalling
dpi_get_next_arg_c
Thanksinadvance

CommentedonMay25,2011at8:20am
ByDaveRich

With10.0b,theoutoftheboxinstructionschangetonosv_libswitchrequired.

CommentedonSeptember2,2011at2:42am
Byorenieru

Hi,
theimportantnoteforquesta10.0cwindowsuserslinkisbroken.Whatdoesitsays?
Thanks

CommentedonSeptember2,2011at11:52am
ByDaveRich

YouneedaSupportNetaccounttoseethedocument.BasicallyitsaystheDPIlibrarywasplacedinthewronglocationinthe
distributionandyouneedtomoveorlinkittothecorrectlocation.

CommentedonSeptember24,2011at10:21am
BySrinivasanVenkataramanan

HiDave,
IamtryingtorunUVM1.1onQuesta10.0candamfacingthesameissue.JustlikeorenieruIamalsoseeingthatimportantlink
saysbrokenlink.Whereisthisuvm_dpi.sosupposedtobeintheinstalldir?Andmoreimportantlywhatisthefinalusemodeltouse
theprecompiledDPIforUVM1.1?
Thanks
Srini
http://www.cvcblr.com

CommentedonSeptember24,2011at10:22am
BySrinivasanVenkataramanan

BTW,quickupdateasimplefindcommandonCygwin(Windows)revealednoresultsforuvm_dpi.so,soamIsupposedtocompileit?
Willthe10.0c1relelasehavethefix?
Thanks

CommentedonSeptember25,2011at6:39pm
ByDaveRich

Youneedtomove
/win32//uvm_dpi.dll
to
https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

6/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG

//win32/
Then,nosv_libswitchisrequired.

CommentedonMay10,2012at3:27am
ByBK

HowtoresolveerrorinsimulatingUVM1.1W7(64bit)Questasim10.0ceveninstalledgcc4.2.1mingw32vc9.
**Fatal:(vsim7019)CantlocateaCcompilerforcompilationofDPIexporttasks/functions.
#
#Time:0nsIteration:0Unknown:File:UNKNOWN
#FATALERRORwhileloadingdesign

CommentedonMay10,2012at2:33pm
ByDaveRich

Makesureyouareusingthe32bitversionofQuesta(recommendedanywaysbecause32bitmodeisfaster)witha32bitcompiler.
Didyouputthecompileronyoursystempath?

CommentedonMay25,2012at1:38am
ByNagendra

hi,
howtoupdateuvm1.0touvm1.1?inlinux

CommentedonApril24,2013at10:37am
Bychandan

Hi,
Iamgettingerrorwithuvm1.1dwithquesta10.0b
makeDPILIB_TARGET=dpi_libWinfMakefile.questaall
mkdirp../../../lib
/cygdrive/c/questasim_10.0b//gcc4.2.1mingw32vc9/bin/g++.exegDQUESTAWsharedBsymbolicxc
I/cygdrive/c/questasim_10.0b//include../../../src/dpi/uvm_dpi.cco../../../lib/uvm_dpi.dll/cygdrive/c/questasim_10.0b//win32/mtipli.dll
lregex
Infileincludedfrom../../../src/dpi/uvm_dpi.cc:34:
../../../src/dpi/uvm_regex.cc:26:22:error:vpi_user.h:Nosuchfileordirectory
Infileincludedfrom../../../src/dpi/uvm_dpi.cc:34:
../../../src/dpi/uvm_regex.cc:Infunctionuvm_re_match:

CommentedonApril25,2013at8:58pm
Byulfathussain

IamtryingtosimulatetheexampleswithQuestasim10.0c.IcancompileokbutwhenItrytosimulateIgetalotoferrormessageslike
thefollowing
**Warning:(vsim3770)Failedtofinduserspecifiedfunctionuvm_hdl_check_path.Thesearchlistwasempty.
#Usingsv_lib,sv_root,andsv_liblistargumentscanprovideasearchlist
#ofsharedlibrariesthatwillbeusedtoresolveuserspecifiedfunctions.
#Time:0nsIteration:0Instance:/hello_worldFile:D:/HDS/uvm/examples/simple/hello_world/hello_world.sv
#**Warning:(vsim3770)Failedtofinduserspecifiedfunctionuvm_hdl_deposit.Thesearchlistwasempty.
#Usingsv_lib,sv_root,andsv_liblistargumentscanprovideasearchlist
#ofsharedlibrariesthatwillbeusedtoresolveuserspecifiedfunctions.
#Time:0nsIteration:0Instance:/hello_worldFile:D:/HDS/uvm/examples/simple/hello_world/hello_world.sv
#**Warning:(vsim3770)Failedtofinduserspecifiedfunctionuvm_hdl_force.Thesearchlistwasempty.
https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

7/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG

#Usingsv_lib,sv_root,andsv_liblistargumentscanprovideasearchlist
#ofsharedlibrariesthatwillbeusedtoresolveuserspecifiedfunctions.
Cananyonegivemeasolution?
Thanks

CommentedonApril25,2013at11:13pm
ByDaveRich

PleasereadtheimportantnoteaboveaboutusingtheUVMwith10.0conWindows.Thatreleasehadmisplacedfiles.

CommentedonApril28,2013at9:12pm
Byulfathussain

Providedlinkisnotopening.CanutellmewhattodotorunUVMonQuesta10.0conwindows

CommentedonApril29,2013at1:49am
ByRameshSedam

AmverynewtoUVMandstuckwiththiserror..pleasehelpinslovingthis.
**Error:pci_package.sv(27):nearendpackage:syntaxerror,unexpectedendpackage,expectingfunctionortask
make:***[comp]Error2

CommentedonMay29,2013at5:00am
Byprabhu_k

hidave,
iamtryingtostimulatequestasim10.1cbutiamgettingtheerrorasfailedtofinduserspecifiedfunctionuvm_hdl_check_pathinDPI
C/C++source..thanksinadvance

CommentedonSeptember27,2013at1:25pm
Byvijaykumarv

whilecompilingtheUVMbasedtestbench,Questasim10.2toolgavethesemesagesasunexpectedsignal11error.pleasesuggest
us,whywearegettingerror.

CommentedonSeptember27,2013at1:30pm
ByDaveRich

Pleasecontacthttp://supportnet.mentor.comandprovidemoreinformationabouttheOSversion,commandlineused,andany
messagespriortothefatalerror.

CommentedonFebruary10,2014at7:30pm
ByHanySalah

afterreadthisnote,,stillIfailedtorunubusexampleexistinuvmkitdevelopedbyaccellera,,shallyouderivemethenestedstepsto
dothissoastoknowmymistakes,,,

CommentedonJuly29,2014at12:21am
Bykuddin

Hi,Dave
Idliketoknowwhethermodelsim/6.3gcancompileuvm1.1d

https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

8/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG
CommentedonAugust14,2015at1:55am
Bypriyanka

whilecompilationinquesta10.0b,Iamgettingawarning:
#**Warning:(vsim8607)G:/projects/work/spi_top.v(120):Nonpositivereplicationmultiplierinsideconcat.Replicationwillbeignored.
Howtoremovethis.
Thanks.

CommentedonAugust14,2015at7:25am
ByDaveRich

PleaseusetheVerificationAcademyforumstoaskquestionslikethisandsupplythesupportinglinesofcodethatarecausingtheerror.

CommentedonMarch3,2016at7:49am
Bysaketa

Hiall,
imusingModelSim10.1ctosimulateanUVMcodeusingUVM1.1b,igotthefollowingfatalerror:
vl_unpack_regbit:Cannothandletype
whatdoesitmean?

AddYourComment
Name(required)
Mail(willnotbepublished)(required)

SubmitComment

RecentPosts

Archives

ThefirstISO26262certifiedblogpost!

June2016

PortableStimulusTakingCenterStageatDAC

Standards,PartnersandIndustryCollaborationUpdate

May2016

ThefirstISO26262certifiedblogpost!

5ThingsILearnedatthe2016SAEWorldCongress

PortableStimulusTakingCenterStageatDAC

2016BangaloreeditionofMentorsForumforVerificationis
roundthecorner

Standards,PartnersandIndustryCollaborationUpdate

UVM:TheValueofFlexibility

5ThingsILearnedatthe2016SAEWorldCongress

StillwaitingItsFridayafternoon,andIdonthavemyRTL

2016BangaloreeditionofMentorsForumforVerificationis
roundthecorner

FPGAPrototypingiscominghome

April2016

NotoKnowVIPValidated!
DVConUSA2016:HeraldingFormalsNewWave

UVM:TheValueofFlexibility
StillwaitingItsFridayafternoon,andIdonthavemyRTL
FPGAPrototypingiscominghome
NotoKnowVIPValidated!

March2016
DVConUSA2016:HeraldingFormalsNewWave
DVConUS:UVMIsBIG
IntroducingtheVerificationAcademyPatternsLibrary!
VerificationHorizonsNewsletterDVConEditionAvailable

https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

9/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG
February2016
PortableStimulusApplicationsatDVCon2016
DebugDataAPIInAction
DVConU.S.Bigger,Bolder&MoreComprehensive
GoalpostsArentOnlyforFootballUseTheminFormal
AnalysisToo!

January2016
WhatsGoingOnWithMySystemVerilogQueue?
R2D2andUltraLowPowerDesign&Verification
AreYouStrugglingtoReachTimingClosurewithYourLow
PowerDesignYouMayHaveCDCProblems!

December2015
ISO26262faultanalysisworstcaseisreallytheworst

November2015
FormalTechTip:HowGoodPropertiesCanbeOver
constrainedandHowtoFixIt
NotoKnowVIPPart3
ModelingCPUInstructionSetswithaPortableStimulus
Specification

October2015
GettingISO26262faultsstraight
UVMForum2015LIVE!
DebugDataAPIReleasedforFirstReview
IEEESAEDA&IPInteroperabilitySymposium

September2015
BacktoSchool:HowtoEducateYourselfandYour
ColleaguesAboutFormalandCDCVerification
MentorAnnouncesJointPortableStimulusContributionwith
Cadence,Breker

August2015
ReadyforaVerificationExtravaganzaintheLandof
VerificationEngineers?
Conclusion:The2014WilsonResearchGroupFunctional
VerificationStudy
HowFormalTechniquesCanKeepHackersfromDrivingYou
intoaDitch,Part2of2
Part12:The2014WilsonResearchGroupFunctional
VerificationStudy
BeatingDesignComplexitywithVirtuaLAB
Part11:The2014WilsonResearchGroupFunctional
VerificationStudy
HowFormalTechniquesCanKeepHackersfromDrivingYou
intoaDitch,Part1of2

July2015
UVM:TheNextIEEEStandard(1800.2)
VerificationHorizons:TheDAC2015Issue
Part10:The2014WilsonResearchGroupFunctional
VerificationStudy
Part9:The2014WilsonResearchGroupFunctional
VerificationStudy
Part8:The2014WilsonResearchGroupFunctional
VerificationStudy
Part7:The2014WilsonResearchGroupFunctional
VerificationStudy
NotoKnowVIPPart2

June2015
DrivingMoreAccurateDynamicPowerEstimation
NEWFormal&CDCCoursesonVerificationAcademy
ItsTimeforaNewVerificationDebugDataAPI(DDA)
AccelleraPortableStimulusWorkingGroupAccepting
TechnologyContributions
Part6:The2014WilsonResearchGroupFunctional
VerificationStudy
AnAgileEvolutioninSoCVerificationPanel@DAC

May2015
UVMDebug.Acontestusingclassbasedtestbenchdebug

https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

10/11

7/7/2016

UsingtheUVMlibrarieswithQuestaVerificationHorizonsBLOG
NotoKnowVIP
Part5:The2014WilsonResearchGroupFunctional
VerificationStudy
ASYNC2015:TheMostImportantCDCConferenceYouve
NeverHeardOf
VerificationAcademy:ThePlacetoMeetatDAC

April2015
Part4:The2014WilsonResearchGroupFunctional
VerificationStudy
DVCon,Reuse,andSoftwareDrivenVerification
DoFormalAppsHelpD&VEngineersCrosstheChasmInto
DirectFormalPropertyChecking?ThisOracleCaseStudy
SuggestsTheyDo(Part2of2)
DoFormalAppsHelpD&VEngineersCrosstheChasmInto
DirectFormalPropertyChecking?ThisOracleCaseStudy
SuggestsTheyDo(Part1of2)
20YearsAgo10YearsAgoTomorrow(DAC)

https://blogs.mentor.com/verificationhorizons/blog/2011/03/08/usingtheuvm10releasewithquesta/

11/11

You might also like