0% found this document useful (0 votes)
155 views5 pages

Trouble Running Questasim 10

The author was having trouble running a simple UVM example in Questasim 10.0a, as it was failing with errors related to missing DPI functions. Other users provided solutions like adding +define+UVM_NO_DPI to remove the DPI dependency, or following the Makefile examples to properly include the UVM DPI files. Later releases of Questasim include the UVM files by default, but for 10.0a the DPI files need to be manually compiled and included in the simulation run.

Uploaded by

Prashant Jain
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
155 views5 pages

Trouble Running Questasim 10

The author was having trouble running a simple UVM example in Questasim 10.0a, as it was failing with errors related to missing DPI functions. Other users provided solutions like adding +define+UVM_NO_DPI to remove the DPI dependency, or following the Makefile examples to properly include the UVM DPI files. Later releases of Questasim include the UVM files by default, but for 10.0a the DPI files need to be manually compiled and included in the simulation run.

Uploaded by

Prashant Jain
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 5

7/7/2016

TroublerunningQuestasim10.0awithsimpleUVMexampleSimulatorSpecificIssuesAccelleraSystemsInitiativeForums

AccelleraSystemsInitiativeForums UVM(UniversalVerificationMethodology) SimulatorSpecificIssues

TroublerunningQuestasim10.0awithsimpleUVMexample
Startedbycliffc,Mar05201105:12PM

Posted05March201105:12PM

cliffc
Hi,All

ItriedtorunasimpleUVM1.0exampleusingQuestasimv10.0a.TheexampleranwithUVM1.0ea.Ithinkthe
problemhastodowithsomemissingoptimizationswitchesthatIfoundintheUVMexamplesQuestaSimMakefile.
Thefailingexample:
Command:qverilogsvmfcufrun.f
(top.svfile)
moduletop
importuvm_pkg::*
logicclk
clkgenck(clk)
initialbegin
myrun_task()
$finish
end
taskmyrun_task
`uvm_info("top","myrun_taskrunning",UVM_LOW)
#100ns
endtask
endmodule
(clkgen.svfile)
`include"CYCLE.sv"
moduleclkgen(
outputlogicclk)
initialbegin
clk<='0
forever#(`CYCLE/2)clk=~clk
end
endmodule
(CYCLE.svfile)
`ifndefCYCLE
`defineCYCLE10
`endif
`timescale1ns/1ns
(run.ffile)

http://forums.accellera.org/topic/235troublerunningquestasim100awithsimpleuvmexample/

1/5

7/7/2016

TroublerunningQuestasim10.0awithsimpleUVMexampleSimulatorSpecificIssuesAccelleraSystemsInitiativeForums
f../../uvm.f
+incdir+..
../clkgen.sv
top.s
(uvm.ffile)
+incdir+/home/uvm/src
/home/uvm/src/uvm.sv
///home/uvm/src/uvm_pkg.sv
(partialtranscript)
QuestaSimqverilog10.0aCompiler2011.02Feb212011
/home/questasim/bin/../linux/qverilogsvmfcufrun.f
Compilingpackageuvm_pkg
Compilingmoduleclkgen
Compilingmoduletop
Importingpackageuvm_pkg
Toplevelmodules:
top
+/home/packages/questasim_10.0a/questasim/linux/vsimlibworktopcdorunallquitfappendlogl
qverilog.logvopt
vsimappendlogdo{runallquitf}lqverilog.loglibworkcvopttop
...
Loadingsv_std.std
Loadingwork.uvm_pkg
Loadingwork.top(fast)
**Warning:(vsim3770)Failedtofinduserspecifiedfunction'uvm_hdl_check_path'.Thesearchlistwasempty.
Usingsv_lib,sv_root,andsv_liblistargumentscanprovideasearchlist
ofsharedlibrariesthatwillbeusedtoresolveuserspecifiedfunctions.
Time:0nsIteration:0Instance:/topFile:top.sv
**Warning:(vsim3770)Failedtofinduserspecifiedfunction'uvm_hdl_deposit'.Thesearchlistwasempty.
Usingsv_lib,sv_root,andsv_liblistargumentscanprovideasearchlist
ofsharedlibrariesthatwillbeusedtoresolveuserspecifiedfunctions.
Time:0nsIteration:0Instance:/topFile:top.sv
...
**Warning:(vsim3770)Failedtofinduserspecifiedfunction'dpi_get_next_arg_c'.Thesearchlistwasempty.
Usingsv_lib,sv_root,andsv_liblistargumentscanprovideasearchlist
ofsharedlibrariesthatwillbeusedtoresolveuserspecifiedfunctions.
Time:0nsIteration:0Instance:/topFile:top.sv
**Warning:(vsim3770)Failedtofinduserspecifiedfunction'dpi_get_tool_name_c'.Thesearchlistwasempty.
Usingsv_lib,sv_root,andsv_liblistargumentscanprovideasearchlist
ofsharedlibrariesthatwillbeusedtoresolveuserspecifiedfunctions.
Time:0nsIteration:0Instance:/topFile:top.sv
...
runall
**Fatal:(vsim160)/home/uvm/src/dpi/uvm_svcmd_dpi.svh(27):Nullforeignfunctionpointerencounteredwhen
calling'dpi_get_next_arg_c'
Time:0nsIteration:0Process:/uvm_pkgFile:/home/uvm/src/dpi/uvm_svcmd_dpi.svh
Fatalerrorat/home/uvm/src/dpi/uvm_svcmd_dpi.svhline27
HDLcallsequence:
Stoppedat/home/uvm/src/dpi/uvm_svcmd_dpi.svh27

http://forums.accellera.org/topic/235troublerunningquestasim100awithsimpleuvmexample/

2/5

7/7/2016

TroublerunningQuestasim10.0awithsimpleUVMexampleSimulatorSpecificIssuesAccelleraSystemsInitiativeForums
calledfrom/home/uvm/src/dpi/uvm_svcmd_dpi.svh32
calledfrom/home/uvm/src/base/uvm_cmdline_processor.svh245
calledfrom/home/uvm/src/base/uvm_cmdline_processor.svh61
calledfrom/home/uvm/src/base/uvm_root.svh275
calledfrom/home/uvm/src/base/uvm_root.svh255
calledfrom/home/uvm/src/uvm_pkg.sv0
DoIneedsomenewswitchesoroptionstorunUVM1.0onQuestasim?
ThanksCliffCummings
Posted06March201107:39AM

lenguyen8888
HiCliff,
Iranintothesameissue.Thereare2possiblesolutions:
1.Add+define+UVM_NO_DPI

2.Followtheexamples/simple/phases/run_test/Makefile.questa(oranyothersimpleexamples).Pleasenoteyour
gcc/g++version,thecodewouldnotworkwitholderGCC(mineisgcc4.3.2)
Bydefault,UVMwouldbringinsomeDPIforregexpinthenewresourceconfiguration.
Hopethatthishelps,
NguyenLe
Posted16March201103:26PM

DavidLong
HiCliff,
UVM1.0requiressomeDPIcodeyouneedtoincludeitinyourcompileroptionsfile,e.g.

+incdir+${UVM_HOME}/src
${UVM_HOME}/src/dpi/uvm_dpi.cc

YourUVMEAexamplesshouldthenbuildOKwithQuestaversion10.Notethatyouwillalsoneedtoupdatethe
phasesemanticsinyourUVMEAexamplestomatchUVM1.0(oryouarelikelytoseeyoursimulationexitattime0).
IfyoudonotwanttomodifyyourOVM/UVMEAcode,theotheralternativeistoaddthe
+UVM_OVM_RUN_SEMANTICcommandlineoptiontoqverilog.
AdditionalNote:YoudonotneedtosettheincdirifyouareusingtheversionofUVMincludedwithQuesta(as
opposedtoaversionfromtheAccellerawebsite)itissetimplicitlytopath_to_uvm_pkg/../verilog_src/uvm
1.0/src
Regards,
Dave
EditedbyDavidLong,18March201104:32AM.
Addednote
Posted18March201101:12PM

dave_59

Pleaseseehttp://go.mentor.com/uvm10questa(http://go.mentor.com/uvm10questa)forinstructionsfor
runningQuesta10.0awiththeUVM.

R_C1363912821

http://forums.accellera.org/topic/235troublerunningquestasim100awithsimpleuvmexample/

Posted25March201112:34AM

3/5

7/7/2016

TroublerunningQuestasim10.0awithsimpleUVMexampleSimulatorSpecificIssuesAccelleraSystemsInitiativeForums
dave_59said
Pleaseseehttp://go.mentor.com/uvm10questa(http://go.mentor.com/uvm10questa)forinstructionsforrunningQuesta10.0a
withtheUVM.

Dave,thanksforpointingtothelink.
Thelinkspecifiiesfollowingcommandstorunonwindows
vlibwork
vloghello.sv
cp$UVM_HOME/lib/uvm_dpi.dll.
vsimcsv_lib./uvm_dpi
Questasim10.0beta2versiondoesnotcontainlibdirectoryoruvm_dpi.dllfile.TheAccellerauvmdoescontain
uvm_dpi.svhanduvm_dpi.cc.
Canyoupleasespecifystepsfor10.0beta2
Posted25March201106:37AM

dave_59
Ifyouareusingareleasepriorto10.0a,thenyoumustfollowthedirections
BuildingtheUVMDPISharedObjectYourself

setting$UVM_HOMEtothelocationwhereyoudownloadedtheAccelerakit.Questa10.0awasthefirstreleasethat
includedtheAccellerakit.
Dave
Posted28March201111:03PM

R_C1363912821
Dave,

Usingvsimcsv_lib./uvm_dpiwithQuesta10.0amakesitworkingwithoutanyerror/warning.
NguyenLe,
Firstsolution
1.Add+define+UVM_NO_DPI
doesnotworks.
Thanks,
RC
Posted10May201110:49PM

krunal.kapadiya
TorunanyUVMexamplewithquestasim10.0a,noneedtoadd+define+UVM_NO_DPI".

JustsettheenvironmentofUVM_HOMEformethodology&MTI_HOMEforsimulatorasmentionedbelow,any
UVMexamplewillrun.
setenvUVM_HOME~/uvm1.0p1/src
setenvMTI_HOME~/questa10.0/questasim
KrunalKapadiya
eInfochipsPvtLtd.
www.einfochips.com

enchanter
http://forums.accellera.org/topic/235troublerunningquestasim100awithsimpleuvmexample/

Posted07June201108:36PM

4/5

7/7/2016

TroublerunningQuestasim10.0awithsimpleUVMexampleSimulatorSpecificIssuesAccelleraSystemsInitiativeForums
What'sdifferencebetweenthesimulationwithorwithout"+define+UVM_NO_DPI"?
What'sdifferencebetweenthetwosolution?
1.Add+define+UVM_NO_DPI
2.Followtheexamples/simple/phases/run_test/Makefile.questa(oranyothersimpleexamples).Pleasenoteyour
gcc/g++version,thecodewouldnotworkwitholderGCC(mineisgcc4.3.2)
Posted16March201410:32PM

chandan
Iamabletorunbydefiningsv_libassuggestedinhttp://go.mentor.com/uvm10questa
(http://go.mentor.com/uvm10questa).

qverilog+incdir+../../../src../../../src/uvm.sv+incdir+.${FILES}Rsv_lib../../../win64/uvm_dpi

BacktoSimulatorSpecificIssues

AccelleraSystemsInitiativeForums UVM(UniversalVerificationMethodology) SimulatorSpecificIssues

http://forums.accellera.org/topic/235troublerunningquestasim100awithsimpleuvmexample/

5/5

You might also like