08 Circuits & Systems. Fundamental Theory & Applications
08 Circuits & Systems. Fundamental Theory & Applications
08 Circuits & Systems. Fundamental Theory & Applications
379
it
+ vt
(a)
CIRCUIT NOISE
Noise is present in all electronic circuits. It is generated by
the random motion of electrons in a resistive material, by the
random generation and recombination of holes and electrons
in a semiconductor, and when holes and electrons diffuse
through a potential barrier. This article covers the fundamentals of noise from a circuit viewpoint. The principal sources
are described, circuit models are given, and methods for its
measurement are discussed. Noise models for the bipolar
junction transistor (BJT) and the field effect transistor (FET)
are given. The conditions for minimum noise in each are derived.
The notation for voltages and currents corresponds to
the following conventions: dc bias values are indicated by
an uppercase letter with uppercase subscripts, e.g., VDS, IC.
Instantaneous values of small-signal variables are indicated
by a lowercase letter with lowercase subscripts, e.g. vs, ic.
Mean squared values of small-signal variables are represented by a bar over the square of the variable, e.g., vs2,
ic2, where the bar indicates an arithmetic average of an
ensemble of functions. The root-mean-square (rms) value is
the square root of the mean squared value. Phasors are
indicated by an uppercase letter and lowercase subscripts
(e.g. Vs, Ic). Circuit symbols for independent sources are
circular, symbols for controlled sources have a diamond
shape, and symbols for noise sources are square. Voltage
sources have a sign within the symbol, and current
sources have an arrow. In the numerical evaluation of noise
equations, Boltzmanns constant is k 1.38 1023 J/K
and the electronic charge is q 1.60 1019 C. The standard temperature is denoted by T0 and is taken to be T0
290 K. For this value, 4kT0 1.60 1020 J and the
thermal voltage is VT kT0 /q 25.0 mV.
THERMAL NOISE
Thermal noise, also called Johnson noise, is generated by the
random thermal motion of electrons in a resistive material. It
is present in all circuit elements containing resistance and is
independent of the composition of the resistance. It is modeled the same way in discrete-circuit resistors and in integrated circuit monolithic and thin film resistors. The phenomenon was discovered (or anticipated) by Schottky in 1928 and
first measured and evaluated by Johnson in the same year.
Shortly after its discovery, Nyquist used a thermodynamic argument to show that the mean squared open-circuit thermal
noise voltage across a resistor is given by
v2t = 4kTR f
(1)
where k is Boltzmanns constant, T is the absolute temperature, R is the resistance, and f is the bandwidth in hertz
(b)
v2t
4kT f
=
R2
R
(2)
v2t
= 4kTR
f
(3)
Si ( f ) =
i2t
4kT
=
f
R
(4)
v2t = 4kT
f2
Re Z df
(5)
f1
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
380
CIRCUIT NOISE
v2t
= 4kT Re Z
f
(7)
i2n
4kTo f
(8)
NOISE TEMPERATURE
The noise temperature Tn of a source is the temperature of
a resistor having a value equal to the output resistance of
the source that generates the same noise as the source. It is
given by
Tn =
v2ns
4kRS f
(9)
2
is the mean squared open-circuit noise voltage genwhere vns
erated by the source in the band f, and RS is the real part of
the output impedance of the source. If the source noise is expressed as a current, the noise temperature is given by
Tn =
i2ns
4kGS f
i2sh = 2qI f
(6)
Rn =
(10)
(12)
EXCESS NOISE
Flicker noise in resistors is referred to as excess noise. It is
caused by the variable contact between particles of the resistive material. Metal film resistors generate the least excess
noise, carbon composition resistors generate the most, and
carbon film resistors lie between the two. The mean squared
short-circuit excess noise current generated by a resistor R is
given by
i2ex =
2
f
Kf IDC
f
(13)
SHOT NOISE
Shot noise is generated by the random emission of electrons
or by the random passage of electrons and holes across a potential barrier. The shot noise generated in a device is mod-
Kf I m f
fn
where I is the dc current, n 1, Kf is the flicker noise coefficient, and m is the flicker noise exponent. The spectral density of flicker noise is inversely proportional to frequency. For
this reason, it is commonly referred to as 1/f noise.
Flicker noise in BJTs can increase significantly if the baseto-emitter junction is subjected to reverse breakdown. This
can be caused during power supply turn-on or by the application of too large an input voltage. A normally reverse-biased
diode in parallel with the base-to-emitter junction is often
used to prevent it.
2
ns
where i is the mean squared short-circuit noise current generated by the source in the band f, and GS is the real part
of the output admittance of the source.
(11)
v2ex =
2
K V2 f
R2S f
Kf IDC
= f DC
f
f
(14)
CIRCUIT NOISE
v2ex
= 10
NI/20
VDC
ln( f 2 / f 1 )
ln 10
(15)
ln( f 2 / f 1 )
ln 10
(16)
BURST NOISE
Burst noise is caused by a metallic impurity in a pn junction
caused by a manufacturing defect. It is minimized by improved fabrication processes. When burst noise is amplified
and reproduced by a loudspeaker, it sounds like corn popping.
For this reason, it is also called popcorn noise. When viewed
on an oscilloscope, burst noise appears as fixed-amplitude
pulses of randomly varying width and repetition rate. The
rate can vary from less than one pulse per minute to several
hundred pulses per second. Typically, the amplitude of burst
noise is 2 to 100 times that of the background thermal noise.
NOISE BANDWIDTH
In making noise measurements, it is common to precede the
measuring voltmeter with a filter of known noise bandwidth.
The noise bandwidth of a filter is defined as the bandwidth of
an ideal filter that passes the same mean squared noise voltage, where the input signal is white noise. The filter and the
ideal filter are assumed to have the same gain.
The noise bandwidth B in hertz of a filter is given by
B=
1
A20
|A( f )|2 d f
(17)
Slope
(dB/decade)
1
2
3
4
5
20
40
60
80
100
Low
pass
Butterworth
1.571f3
1.111f3
1.042f3
1.026f3
1.017f3
1.571f3
1.220f3
1.155f3
1.129f3
1.114f3
f0
2Q
(18)
If f a and f b, respectively, are the lower and upper 3 dB frequencies of the filter, an alternative expression for the noise
bandwidth is
( f fa )
2 b
(19)
This expression is often used to approximate the noise bandwidth of unknown band-pass filters.
A second-order band-pass filter is often realized by a firstorder high-pass filter in cascade with a first-order low-pass
filter. The noise bandwidth is given by
A20
Equal
areas
Real Pole
1.571f0
0.785f0
0.589f0
0.491f0
0.420f0
B=
A(f)
381
A20
Band
pass
B=
( f + f2 )
2 1
(20)
0
B
Figure 2. The bandwidth of an ideal filter is equal to the noise bandwidth of a physical filter if the two filters have the same area beneath
their magnitude-squared response curves.
382
CIRCUIT NOISE
bandwidth are available. With both filters driven simultaneously from the white noise source, the ratio of the noise bandwidths is equal to the square of the ratio of the output
voltages.
MEASURING NOISE
Noise is usually measured at an amplifier output, where the
voltage is the largest and easiest to measure. The output
noise is referred to the input by dividing by the gain. A filter
with a known noise bandwidth should precede the voltmeter
to limit the bandwidth. The measuring voltmeter should have
a bandwidth that is at least 10 times the filter bandwidth.
The voltmeter crest factor is the ratio of the peak input voltage to the full scale rms meter reading at which the internal
meter circuits overload. For a sine wave, the minimum voltmeter crest factor is 2. For noise measurements, a higher
crest factor is required. For Gaussian noise, a crest factor of
3 gives an error less than 1.5%. A crest factor of 4 gives an
error less than 0.5%. To minimize errors caused by overload
on noise peaks, measurements should be made on the lower
one-third to one-half of the voltmeter scale.
A true rms voltmeter is preferred over one that responds
to the average rectified value of the input voltage but has a
scale calibrated to read rms. When the latter type of voltmeter is used to measure noise, the reading will be low. For
Gaussian noise, the reading can be corrected by multiplying
the measured voltage by 1.13.
Real Signals
Let va(t) and vb(t) be two noise voltages having the mean
squared values va2 and vb2. Define the sum voltage vsum(t)
va(t) vb(t). The mean squared value of the sum is given by
where
is the correlation coefficient defined by
va (t)v (t)
= b
v2a v2b
(23)
VaV
= r + ji = b
v2a v2b
(24)
(25)
Vn I
= r + ji = n
v2n i2n
taken here to represent the rms value rather than the peak
value of the variable at that frequency. To illustrate the addition of noise phasors, let Va and Vb be the phasor representations of two noise voltages at a particular frequency. The sum
is given by Vsum Va Vb. The mean squared sum is calculated as follows:
(26)
(22)
Y = G + jB =
= n
(28)
v2n
v2n
It follows from these definitions that ZY 2.
vn in AMPLIFIER NOISE MODEL
A general noise model of an amplifier can be obtained by reflecting all internal noise sources to the input. In order for
CIRCUIT NOISE
Zs
Vs
Vts
Vn
Amplifier
Vi
In
383
Zs
Vo = AVi
(a)
Amplifier
Vn
+
+
Is
Ys
Its
In
Vi
Zi
Vo = AVi
(b)
the reflected sources to be independent of the source impedance, two noise sources are requireda series voltage source
and a shunt current source. In general, these sources are correlated. The amplifier noise model is described in this section.
The equivalent noise input voltage is derived for the case
where the source is represented by a Thevenin equivalent.
The equivalent noise input current is derived for the case
where the source is represented by a Norton equivalent. A
more general phasor analysis is used.
Thevenin Source
Figure 3(a) shows the amplifier model with a Thevenin input
source, where Vs is the source voltage, Zs RS jXS is the
source impedance, and Vts is the thermal noise voltage generated by the source. The output voltage is given by
Vo =
AZi
[Vs + (Vts + Vn + In Zs )]
Zs + Zi
(29)
where A is the loaded voltage gain and Zi is the input impedance. The equivalent noise input voltage Vni is defined as the
voltage in series with the amplifier input that generates the
same noise voltage at the output as all noise sources in the
circuit. Its value is given by the sum of the noise terms in
the parentheses in Eq. (29) and is independent of the amplifier input impedance.
The mean squared value of Vni is solved for as follows:
AZi 2
4kTR f
v2no =
S
Zs + Zi
+ v2n + 2(Re Zs ) v2n i2n + i2n |Zs |2
(31)
v2no
|A|2
for
Zs = 0
(32)
(33)
384
CIRCUIT NOISE
source admittance, and Its is the thermal noise current generated by the source. The output voltage is given by
A
Vo =
[Is + Its + VnYs + In ]
Ys + Yi
SNR =
SNR =
v2ni
(36)
2
where vni
is given by Eq. (30). The SNR is often expressed
2
). The SNR is maximized by miniin decibels as 10 log(vs2 /vni
2
2
imizing vni. The source impedance that minimizes vni
can be
2
2
/dRS 0 and dvni
/dXS 0 and
obtained by setting dvni
solving for RS and XS. The solution for RS is negative. Because this is not realizable, RS 0 is the realizable solution
for the least noise. The source impedance which minimizes
2
vni
is given by
v2
= 0 ji
n
i2n
(37)
Because minimum noise occurs for RS 0, it can be concluded that a resistor should never be connected in series
with a source at an amplifier input if noise performance is a
design criterion. Although the output impedance of a source
is usually fixed, the SNR can be improved by adding a reactance in series with the source that makes the total series
reactance equal to the imaginary part of Zsm. When this is the
2
case, vni
is given by
v2ni = 4kTRS f + v2n (1 i2 ) + 2r RS v2n i2n + i2n R2S
= 4kTRS f + v2n + 2r RS v2n i2n + i2n (R2S XS2 )
(34)
where A is the loaded voltage gain and Yi is the input admittance. The equivalent noise input current Ini is defined as the
current in parallel with the amplifier input that generates
the same noise voltage at the output as all noise sources in
the circuit. Its value is given by the sum of the terms in the
brackets in Eq. (34) with the exception of the Is.
The mean squared value of Ini is solved for as follows:
v2s
Norton Source
(38)
i2s
i2ni
(39)
2
is given by Eq. (35). The SNR is expressed in deciwhere ini
2
bels as 10 log(is2 /ini
). The source admittance that minimizes
2
2
2
ini
can be obtained by setting dini
/dGS 0 and dini
/dBS 0
and solving for GS and BS. The solution for GS is negative.
Because this is not realizable, GS 0 is the realizable solution
2
for the least noise. The source admittance that minimizes ini
is given by
i2
= 0 + ji
n
v2n
(40)
Because minimum noise occurs for GS 0, it can be concluded that a resistor should never be connected in parallel
with a source at an amplifier input if noise performance is a
design criterion. Although the output admittance of a source
is usually fixed, the SNR can be improved by adding a susceptance in parallel with the source that makes the total parallel
susceptance equal to the imaginary part of Ysm. When this is
2
the case, ini
is given by
i2ni = 4kTGS f + v2n G2S + 2r GS v2n i2n + i2n (1 i2 )
= 4kTGS f + v2n (G2S B2sm ) + 2r GS v2n i2n + i2n
(41)
F=
v2ni
v2ts
=1+
v2n + 2(r RS + i XS ) v2n i2n + i2n (R2S + XS2 )
4kTRS f
(42)
v2
=
1 i2 ji
n
i2n
(43)
CIRCUIT NOISE
i2n
[R + Rso ]
2kT f
(45)
where R is the real part of the correlation impedance Z defined by Eq. (27). Let in2 be expressed in terms of the noise
conductance Gn, so that in2 4kT0Gnf. It follows that Fo can
be written in the alternate form
Fo = 1 + 2Gn
T0
[R + Rso ]
T
Gn
[(RS Rso )2 + (XS Xso )2 ]
Rns
(47)
(48)
Norton Source
For the amplifier model of Fig. 3(b) in which the source is
modeled by a Norton equivalent circuit, F is given by
v2n (G2S + B2S ) + 2(r GS i BS ) v2n i2n + i2n
i2ni
(49)
F=
=1+
4kTGS f
i2
ts
The optimum source admittance Yso that minimizes F is obtained by setting dF/dGS 0 and dF/dBS 0 and solving for
GS and BS. The admittance that is obtained is equal to the
reciprocal of the optimum source impedance and is given by
i2
1
Yso =
= Gso + jBso =
1 i2 + ji
n
(50)
Zso
v2n
When Ys Yso, F is given by Eq. (44). Note that the imaginary
part of Yso is equal to the imaginary part of Ysm that maximizes the signal-to-noise ratio.
With the relations 1 i2 Gso vn2 / in2 and r
G vn2 / in2, Eq. (44) can be written
Fo = 1 +
e2n
(G + Gso )
2kT f
Fo = 1 + 2Rn
T0
(G + Gso )
T
(52)
v2n
[(GS Gso )2 + (BS Bso )2 ]
4kTGS f
(53)
where G is the real part of the correlation admittance Y defined by Eq. (28). Let vn2 be expressed in terms of the noise
resistance Rn, so that vn2 4kT0Rnf. It follows that Fo can be
written in the alternative form
(46)
385
Rn
[(GS Gso )2 + (BS Bso )2 ]
Gns
v + in2 Ro1
vn3 + in3 Ro2
vo = K vs + vn1 + in1 RS + n2
+
Gm1 Ro1
Gm1 Ro1 Gm2 Ro2
+ +
(51)
(54)
386
CIRCUIT NOISE
RS
vs
vni1
+
vni2
+
Ri1
i01
R01
+
R01
i01
R01
RL
v0
Amplifier 1
vn2
vn3
+
+
Gm1 Ro1
Gm1 Ro1 Gm2 Ro2
i
in3
+ in1 RS + n2 +
+
Gm1
Gm1 Ro1 Gm2
vni = vn1 +
(56)
Zs
Vs
Vts
Vn1
In1
Amplifier 1
Zi
I01
I0(sc)
Z0
N
Vs + Vts
Zi
Io(sc) = gm N Zs
+
In j
N
Zs
j=1
N
j=1
Zi
Vn j
Zi
Zi + Zs
N1
Zi
Zs
N
N1
Vnk
Zi
k=1
Zi + Zs
N 1 k= j
(57)
v2n
+ 2(Re Zs ) v2n i2n + Ni2n |Zs |2
N
(58)
vn
N=
(59)
|Zs | i2n
Amplifier 2
Vn2
In2
Amplifier 2
Zi
I02
Z0
CIRCUIT NOISE
Transformer
Zs
++
Vs
Amplifier
1:n
R1
387
R2
Zi
v0
(a)
n2 (Zs + R1) + R2
nVs
vt1
vn
+
+
Amplifier
in
+
Zi
v0
(b)
Vo =
AZi
n2 (Zs + R1 ) + R2 + Zi
(60)
v2
R
v2nis = 4kT RS + R1 + 22 f + n2
n
n
!
R
+ 2 Re Zs + R1 + 22
v2n i2n
n
2
R
+ n2 i2n Zs + R1 + 22
n
(61)
v2nis
The current in a pn junction diode consists of two componentsthe forward diffusion current IF and the reverse saturation current IS. The total current is given by I IF IS.
The forward diffusion current is a function of the diode voltage V and is given by IF IS exp(V/ VT), where is the emission coefficient and VT is the thermal voltage. (For discrete
silicon diodes 2, whereas for integrated circuit diodes
1.) Both IF and IS generate uncorrelated shot noise. The
total mean squared noise is given by
i2n = 2q(IF + IS ) f = 2q(I + 2IS ) f 2qI f
(64)
(62)
in
in
rd vn
vn
n =
|Zs | i2n
(a)
(63)
(b)
Figure 7. (a) The noise model of a diode. (b) Small-signal model with
the diode replaced with its small-signal resistance.
388
CIRCUIT NOISE
Kf I f
f
(66)
(67)
where
=
1+
re
ifb
ro
ie
+
vt2
ishc
io
R2
v2
IC = IE = IB
ishb
C ic ( sc )
E
v1
VCB + VA
IC
(65)
ro =
vt1 +
ie
ib
R1
V
re = T
IE
i2shb = 2qIB f,
v2tx = 4kTrx f,
i2fb =
K f IB f
f
v2t2 = 4kTR2 f
(70)
i2shc = 2qIC f
(72)
(73)
ie
(R + rx ) + ie (re + R2 )
1+ 1
(74)
to obtain
ie =
(75)
(69)
(71)
(76)
Gm =
rie + R2
(77)
CIRCUIT NOISE
389
R1 + r x
+ re
1+
1+
v2ni(min) = 4kT (R1 + rx + R2 ) f
1+ 1
(78)
(85)
i + ishc
v1 v2 + vnb vne + 0
Gm
!
(79)
r0 + rie R2
1 G m R2
(80)
Eq. (79) represent
It will be assumed
ro is large enough
It follows that vni is
IC
"
v2ni
(81)
IC
For minimum noise, this equation shows that the series resistance in the external base and emitter circuits should be minimized and that the BJT should have a small rx and a high .
2
Although vni(min)
decreases as increases, the sensitivity is
not great for the range of for most BJTs. For example, as
2
decreases by 0.32 dB.
increases from 100 to 1000, vni(min)
Superbeta transistors have a in the range 1000
2
10,000. As increases from 1000 to 10,000, vni(min)
decreases
by only 0.096 dB. It can be concluded that only a slight improvement in noise performance can be expected by using
higher- BJTs when the device is biased at IC(opt).
2
If IC IC(opt), then vni
can be written
(82)
v2ni(min)
2
This equation shows that a plot of vni
versus log(IC /IC(opt))
would exhibit even symmetry about the value IC /IC(opt) 1.
2
This means, for example, that vni
is the same for IC IC(opt) /2
2
as for IC 2IC(opt). In addition, the sensitivity of vni
to changes
in IC decreases as increases. For example, at IC IC(opt) /2
2
2
and IC 2IC(opt), vni
is greater than vni(min)
by 0.097 dB for
100, by 0.033 dB for 1000, and by 0.010 dB for
10,000.
2
It can be seen that vni
if IC 0 or if IC . It follows
2
that there is a value of IC that minimizes vni
. This current is
called the optimum collector bias current, and it is denoted
2
by IC(opt). It is obtained by setting dvni
/dIC 0 and solving for
IC. It is given by
IC(opt) =
VT
R1 + r x + R2
1+
(86)
This expression gives the mean squared equivalent noise input voltage for both the CE and the CB amplifier. The SNR
2
for either amplifier is given by SNR vi2 /vni
, where vi2 is the
mean squared value of v1 for the CE amplifier and the mean
squared value of v2 for the CB amplifier.
I
+ 2q C f (R1 + rx + R2 )2
2
R1 + rx + R2 VT
+
+ 2qIC f
IC
(84)
v2ni
v2
= v2ni + 2n22 + 22
Gm ric
v2n2 i2n2
G2m ric
i2n2
G2m
(87)
rx /(1 + ) + re + RS
(RS + rx )/(1 + ) + re
(88)
390
CIRCUIT NOISE
C
rx
vn
+
IC
C
vn
+
in
in
in = ishb + ifb +
(a)
(b)
Figure 9. The vnin noise models of the BJT. (a) First model. (b)
Second model.
First Model. There are two formulations for vn2 and in2 for
the BJT, which differ by the placement of rx in the model. For
the first, Eq. (81) can be written
vni = vt1 vt2 + vn + in (R1 + rx + R2 )
(89)
(97)
i2n = 2qIB f +
2qI
Kf IB
f + 2C f
f
(99)
In this case, ishb, ifb, and ishc appear in the expressions for both
vn and in. The correlation coefficient is given by
"
K f IB f
1
rx
2qIB f +
=
f
v2n i2n
!
I
rx VT
+
(100)
+2q C f
IC
The second form of the vn in BJT noise model is shown in Fig.
9(b). The first form has the simpler equations.
VT
IC
in = ishb + ifb +
ishc
(90)
(91)
ishc
IC
(96)
VT
f
IC
2qI
Kf IB
f + 2C f
f
(92)
(93)
Because ishc appears in the expressions for both vn and in, the
correlation coefficient is not zero. It is given by
2kT f
v2n i2n
(94)
rx
vt1
vtx
ishc
ishb+ifb
ie
R1
v1
it2
R2
Amplifier
vn2
in2
ii2
vi2
Ri2
vo = Avi2
(95)
Figure 10. Circuit for calculating the equivalent noise input voltage
of an amplifier preceded by a BJT commoncollector stage.
CIRCUIT NOISE
sources are not shown. The resistor rx and all BJT noise
sources are shown external to the BJT. The source it2 models
the thermal noise current in R2. The voltage across Ri2 is proportional to the short-circuit current through Ri2, that is, the
current ii2 evaluated with Ri2 0. It is given by
Q2
Rs
Gm
[v + vt1 + vtx + (ishb + ifb )(R1 + rx ) + vn2] (101)
1
v
(ishb + ifb ) + ishc + it2 + n2 + in2
R2
2
R1 + r x
= 4kT (R1 + rx ) f +
1+
R
2
+
r
R
R + rx
x
1
+ re
1+ 1
+ 22 v2n2 i2n2
1+
R2
Kf IB f
[(R1 + rx ) re ]2
+ 2qIB f +
f
2
4kT f
R1 + r x
+ re
+ 2qIC f +
+ i2n2
R2
1+
ic2(sc)
Q1
vn2
+ in2
R2
+
(i + it2 + in2 )
+ (ishb + ifb ) R1 + rx
Gm
Gm shc
(102)
v2ni
ic1(sc)
v2n2
(103)
where
2 is the correlation coefficient between vn2 and in2.
It can be seen from Eq. (103) that the vn2 noise is increased
by the CC stage. The in2 noise is decreased if R1 rx /
re /. The noise voltage generated by the base shot and flicker
noise currents can be canceled if re (R1 rx). For R2
2VT /IC, the thermal noise generated by R2 can be neglected
compared to the shot noise in IC.
BJT Differential Amplifier
Figure 11 shows the circuit diagram of a BJT differential amplifier. For simplicity, the bias sources are not shown. It is
assumed that the BJTs are matched and biased at equal currents. The emitter resistors labeled R2 are included for completeness. For lowest noise, these should be omitted. The
source int models the noise current generated by the tail current source, and the resistor rt models its output resistance.
For minimum noise output from the differential amplifier,
the output signal must be proportional to the differential
short-circuit output current iod(sc) ic1(sc) ic2(sc). The subtraction cancels the common-mode output noise generated by the
tail current int. Although a current-mirror active load can be
used to realize the subtraction, the lowest-noise performance
is obtained with a resistive load. With a resistive load on each
collector, a second differential amplifier is required to subtract the output signals. The analysis presented here assumes
that the circuit output is taken differentially. In addition, it
is assumed that rt is large enough so that it can be approxi-
vs1
391
Rs
R2
R2
va
vs2
rt
int
Figure 11. Circuit for calculating the equivalent noise input voltage
of a BJT differential amplifier.
mated by an open circuit. This is equivalent to the assumption of a high common-mode rejection ratio.
The simplest method to calculate the equivalent noise input voltage of the differential amplifier is to exploit symmetry
by resolving all sources into their differential and commonmode components. The common-mode components are all canceled when the output is taken differentially. Therefore, only
the differential components are required. When the sources
are replaced by their differential components, the node labeled va in Fig. 11 can be grounded. This decouples the differential amplifier into two CE stages.
Consider the effect of the base shot noise currents. For Q1,
ishb1 is replaced with i
shb1 (ishb1 ishb2)/2. For Q2, ishb2 is replaced
by i
shb2 (ishb2 ishb1)/2. The differential short-circuit collector
output current iod(sc) ic1(sc) ic2(sc) is proportional to i
shb1 i
shb2
ishb1 ishb2. If ishb1 and ishb2 are not correlated, it follows that
2
2
2
iod(sc)
contains a term that is proportional to ishb1
ishb2
. Be2
2
cause ishb1
ishb2
, the base current shot noise is increased by
3 dB over that in a CE amplifier. Likewise, the thermal noise
of the base spreading resistance, the thermal noise of R1 and
R2, the base current flicker noise, and the collector current
shot noise are increased by 3 dB over those of a CE amplifier.
The mean squared noise input voltage of the differential am2
2
, where vni
is given by Eq. (82). Above
plifier is given by 2vni
the flicker noise frequency band, the noise is minimized when
each BJT is biased at a collector current given by Eq. (84).
BJT at High Frequencies
Figure 12 shows the high-frequency T model of the BJT with
the emitter grounded and the base driven by a voltage source
having the output impedance Zs RS jXS. The base-to-emitter capacitance and the collector-to-base capacitance are
given by
c =
c =
F IC
VT
(104)
cjc0
(1 + VCB / C )m c
(105)
392
CIRCUIT NOISE
B
Vts
rx
Vtx
Ib
C Ic (sc)
Ishb
re
Zs
ro
Ie
+
Vs
F=
v2ni
(109)
4kTRS f
Ishc
Io
XS = F (RS + rx )
1+
IC =
to-collector junction, C is the built-in potential, and mc is the
junction exponential factor. All noise sources are shown in
the circuit except the base flicker noise current, which can be
neglected at high frequencies.
If the current I0 through r0 is neglected, it is straightforward to show that the short-circuit collector output current is
given by
!
I
(106)
Ic(sc) = Gm Vs + Vts + Vtx + Ishb (Zs + rx ) + shc
Gm
(110)
VT
(RS + rx )(1 + 2 F2 )
1+
(111)
2
The corresponding expressions for vni
(min) and F are
1+
= 4kT (RS + rx ) f
1+ 1
1+
rx
F = 1+
RS
1+ 1
v2ni(min)
(112)
(113)
where Gm is given by
Gm =
+ jc re
1
+ jre cT (Zs + rx ) + re
1+
(107)
SeriesShunt Amplifier
X
c
)
e
T
S
+ 2 c2 r2e
1+
2
XS
+ re cT (RS + rx )
+
1+
(108)
ic (sc)
vo
RS
RS
vs
RF
vs
vni
+
RE RF
RE
+
(a)
(b)
vo
RE
RE + RF
CIRCUIT NOISE
393
ic (sc)
RF
vni
+
vo
is
is
RS RF
vo
RF
R2
RS
R2
(b)
(a)
Figure 14. (a) Shuntshunt feedback amplifier with a BJT input stage. (b) Equivalent circuit
of the input stage used to calculate the equivalent noise input voltage.
2
value of vni
is obtained from Eq. (83) with R2 replaced with
RE RF. It is given by
2
R1 + rx + RE RF VT
+
+ 2qIC f
IC
+ 2q
(114)
2
flicker noise is neglected, vni
is given by Eq. (83) with R1 re2
placed with RSRF). It follows that ini
is given by
r x + R2
4kT f
1+
i2ni =
RS RF
RS RF
2
r x + R2
+ 2qIB f 1 +
(116)
RS RF
!2
1
rx + R2 VT
1
+
+
+ 2qIC f
RS RF
IC
ShuntShunt Amplifier
Figure 14(a) shows the simplified diagram of a shuntshunt
feedback amplifier with a BJT input stage. The bias sources
and networks are omitted for simplicity. The signal source is
represented by the current source is in parallel with the resistor RS. If the loop gain is sufficiently high, the transresistance
gain is given by vo /is RF.
The circuit in Fig. 14(b) can be used to evaluate the input
stage noise. The figure shows the BJT with its collector connected to signal around and the circuit seen looking out of the
base replaced by a Norton equivalent circuit with respect to
is and vo. The equivalent noise input voltage is modeled by the
source vni. The short-circuit collector current ic(sc). is given by
R R
ic(sc) = Gm is (Rs RF ) + v0 S F + vni
RF
(115)
394
CIRCUIT NOISE
id
is1
R1
vt1
+
v1
D id (sc)
is2
B
G
ig ig = 0
1
gm
ishg
is1
gmb
is2
is
v2t1 = 4kTR1 f,
rds
ifd
itd
i2td
i0
vt2 +
R2
v2
Figure 15. Small-signal T-model of the FET with all noise sources.
the JFET. The small-signal transconductances and drain-tosource resistance are given by
gm = 2 K(1 + VDS )ID 2 KID
(117)
gmb = gm
rds =
(119)
=
2 + VSB
(121)
i2shg = 2qIG f
(122)
i2fd =
Kf ID f
f L2Cox
(123)
i2fd =
Kf ID f
f
(124)
2
It follows from the equation for itd
that the mean squared
thermal noise current generated in the channel is the same
as the thermal noise current generated by a resistor of value
1.5/gm.
Looking to the left in Fig. 15 into the branch where the
current i
g is labeled, the Thevenin equivalent circuit consists
of the voltage v1 vng in series with the resistance R1, where
vng is given by
(118)
VDS + 1/
ID
gm
f,
= 4kT
1.5
v2t2 = 4kTR2 f
is
channel and the shot noise generated in the gate bias current.
The latter is zero for the MOSFET. The mean squared values
of these sources are
(120)
(125)
v1 + vng
1+
(126)
ris =
1
(1 + )gm
(127)
(128)
It follows that
id = is =
(129)
(130)
1
ris + R2
(131)
CIRCUIT NOISE
(132)
itd + ifd
gm
(133)
Kf
4kT
v2ni = 4kT[R1 + R2 (1 + )2 ] f +
f +
f
4K f L2Cox
3 KID
(134)
where 0 for the case where the bulk is connected to the
source.
For minimum noise in the MOSFET, it can be concluded
from Eq. (134) that the series resistance in the external gate
and source circuits should be minimized and the MOSFET
should have a high transconductance parameter K and a low
2
flicker noise coefficient Kf . The component of vni
due to the
channel thermal noise is proportional to 1/ ID. This decreases by 1.5 dB each time ID is doubled.
vn in Noise Model for a MetalOxideSemiconductor
Field Effect Transistor
For the MOSFET vn in noise model, the mean squared values
of vn and in are given by
Kf
4kT
v2n =
f +
f
4K
f
L2Cox
3 KID
(135)
i2n = 0
(136)
vn
vn
in
(a)
395
(b)
Figure 16. The vnin noise models of the FET: (a) MOSFET model.
(b) JFET model.
Kf
4kT
f
v2ni = 4kT (R1 + R2 ) f + 2qIG f +
f +
4K f
3 KID
(137)
where IG is the gate bias current. This current is commonly
assumed to be zero when the gate-to-channel junction is reverse biased. For a high source impedance, the effect of the
gate current on the noise might not be negligible. In particular, attention must be paid to the variation of the gate current
with drain-to-gate voltage. In general, the gate current increases with drain-to-gate voltage. Some devices exhibit a
threshold effect such that the gate current increases rapidly
when the drain-to-gate voltage exceeds some value. The
drain-to-gate voltage at which this occurs is called the IG
breakpoint. It is typically in the range of 8 V to 40 V. The
JFET noise is minimized in the same way that the MOSFET
noise is reduced.
vn in Noise Model for a Junction Field Effect Transistor
For the JFET vn in noise model, the mean squared values of
vn and in are given by
Kf
4kT
f
v2n =
f +
4K f
3 KID
(138)
i2n = 2qIG f
(139)
396
CIRCUIT NOISE
M2
+
vn2
vn1
+
vs
M2
vn2
io
io
vn1
vo
M1
M1
vs
vo
V
(a)
(b)
V
2ID
+
vn2
M2
io
vs
vo
vn3
M3
vn1
M1
vn1
+
vs
vn4
vn2
M4
V
(c)
vo
M2
(d)
io
M1
(140)
v2n1
gm2
gm1
2
v2n2
(141)
v2ni
Kf f
=
2 W L f
2nCox
1 1
"
1+
L1
L2
2
(142)
CIRCUIT NOISE
v2ni =
Kf1 f
2 W L f
2nCox
1 1
"
1+
Kf2
Kf1
L1
L2
2
(143)
(144)
v2ni =
(145)
In order for the quiescent output voltage to be midway between the rail voltages, the circuit is commonly designed
with gm1 gm2. When this is true and the low-frequency ap2
proximation is used for vn2 in Eq. (135), vni
can be written
v2ni =
1
2
Kf1 f
Kf2 f
+
2 W L f
2
2nCox
2
pCoxW2 L2 f
1 1
(146)
(147)
397
gm2
gm1
2
(v2n2 + v2n4 )
(148)
398
CIRCUIT STABILITY
in1
vn1
vn2
in1
vn
+
in
in2
in2
(a)
vn
(b)
(c)
BIBLIOGRAPHY
W. R. Bennett, Methods of solving noise problems, Proc. IRE, 44: 609
638, 1956.
J. R. Pierce, Physical sources of noise, Proc. IRE, 44: 601608, 1956.
H. Rothe and W. Dahlke, Theory of noisy fourpoles, Proc. IRE, 44:
811818, 1956.
H. A. Haus, Representation of noise in linear twoports, Proc. IRE, 48:
6974, 1960.
H. Fukui, The noise performance of microwave transistors, IEEE
Trans. Electron Devices, ED-13: 329341, 1966.
A. van der Ziel, Noise: Sources, Characterization, Measurement, Englewood Cliffs, NJ: Prentice-Hall, 1970.
A. Van der Ziel, Noise in solid-state devices and lasers, Proc. IEEE,
58: 11781206, 1970.
C. A. Liechti, Microwave field-effect transistors1976, IEEE Trans.
Microw. Theory Tech., MTT-24: 279300, 1976.
M. S. Gupta (ed.), Electrical Noise: Fundamentals & Sources, New
York: IEEE Press, 1977.
J. C. Bertails, Low frequency noise considerations for MOS amplifier
design, IEEE J. Solid-State Circuits, SC-14: 773776, 1979.
H. Fukui, Low-Noise Microwave Transistors & Amplifiers, New York:
IEEE Press, 1981.
Y. Netzer, The design of low-noise amplifiers, Proc. IEEE, 69: 1981.
P. Horowitz and W. Hill, The Art of Electronics, 2nd ed., New York:
Cambridge Univ. Press, 1989.
H. W. Ott, Noise Reduction Techniques in Electronic Systems, 2nd ed.,
New York: Wiley, 1988.
M. Steyaert, Z. Y. Chang, and W. Sansen, Low-noise monolithic amplifier design: bipolar versus CMOS, Analog Integrated Circuits
Signal Process., 1: 919, 1991.
P. R. Gray and R. G. Meyer, Analysis and Design of Analog Integrated
Circuits, New York: Wiley, 1993.
C. D. Motchenbacher and J. A. Connelly, Low Noise Electronic System
Design, New York: Wiley, 1993.
W. M. Leach, Jr., Fundamentals of low-noise analog circuit design,
Proc. IEEE, 82: 15151538, 1994.
CUITS.
CIRCUITS,
CIRCUITS,
CIRCUITS,
CIRCUITS,
422
(1)
(2)
u
S0
ut
ut cos
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
ia
Terminal a
Limiting
surface l
423
Terminal b
ib
case where all the variables change in the time, the numerical
density is not uniform, the average velocity is not the same
for all the carriers, which themselves may not carry the same
amount of charge, and the surface S may be of any kind. In
general we have the expression
S
iS (t) =
J dS
(3)
S
+
(a)
(b)
424
current reference direction is chosen. Then the voltage reference direction can be chosen with either the sign or the
sign at the terminal where the current arrow enters. In the
first case [Fig. 3(a)], one speaks of the normal convention and
this is the one to which we shall implicitly refer, unless otherwise specified.
In technical language the same term is used to indicate
both the physical object concretely characterized by a certain
constitutive law and the ideal component that we find in circuit layouts. Naturally, in the first case the constitutive law
is intended as an approximation sufficient to describe the behavior of the component in a certain set of conditions. In the
second case, it is an exact law that fully describes the behavior of an ideal component extrapolated from the real one.
Even if this ambiguity of language does not cause any confusion, since the limits within which the model is intended to
operate are always very clear, in general one prefers to call
the ideal component the circuit element.
This article focuses essentially on the ideal components of
an electrical network. Nonetheless, we shall not fail to refer
to the physical objects to whose characteristic they approximate, both when they are elementary components (e.g., as
for example resistors) and when they are the result of a more
complex aggregation of other elementary components (e.g.,
operational amplifiers or controlled sources).
The relationship between real and ideal components may
be interpreted from two opposite viewpoints. One may think
of starting from a real component by identifying the approximate constitutive lawperhaps experimentallyand then,
by extrapolation, building the corresponding ideal component. Alternatively, it is possible to imagine a determined
constitutive lawof which perhaps one feels the need for a
particular applicationand attribute an ideal component to
it and then, if possible, build a real component suitably approximate to it in behavior. From the historical viewpoint,
one may clearly say that both paths have been trodden: the
former for the components we have previously called elementary and the latter for more complex ones. We, too, for didactic reason will adopt the possibility of introducing diverse
components from the two distinct viewpoints, beginning naturally with elementary components.
A first and fundamental classification of one-ports divides
them into linear and nonlinear. Obviously a linear one-port is
one whose constitutive relation is of the linear type. In this
article we will limit ourselves to linear elements only, while
nonlinear ones are dealt with in NONLINEAR NETWORK ELEMENTS.
A second classification of one-ports that it is convenient to
introduce is that distinguishing nondynamic or resistive
one-ports from dynamic one-ports. The former are those characterized by an algebraic link between the voltage and the
current. If, for example, the current is the independent variable (the control variable), the constitutive relation of the oneport will be of type v v(i). If v(i) is single-valued, one speaks
of current controlled one-ports. However, one can also express
the constitutive relation as (v). If (v) is single-valued,
one speaks of voltage-controlled one-ports. In general, a oneport is both voltage- and current-controlled if its constitutive
relation is invertible.
The linear resistors are nondynamic one-ports that are
characterized by a linear algebraic constitutive relation between the voltage and current. They can both be described by
v = Ri
and i = Gv
(5)
where R and G are two constant parameters called, respectively, resistance and conductance. They are linked by the relation R 1/G. The symbol of the linear resistor is illustrated
in Fig. 4(a). In the SI system, electrical resistance is measured in ohms (; 1 1 V/1 A) and conductance is measured in siemens (S; 1 S 1/1 ). There are, however, cases
where inversion is not possiblefor example, if the constitutive relation v v(i) is nonlinear and v(i) is not strictly increasing. In such cases the nature of the one-port imposes
one of two descriptions and one then says that one-ports are
intrinsically current- or voltage-controlled.
There are nondynamic one-ports that impose the time behavior of the voltage at their terminals independent of the
current circulating therein, v e(t). These are the independent voltage sources. Likewise, current-independent sources
impose the time behavior of current circulating therein independent of voltage, i j(t). The symbol for voltage- and current-independent sources are, respectively, shown in Figs.
4(b) and 4(c).
Dynamic one-ports, instead, are characterized by a more
complex relation between voltage and current: The derivative
of one of the two electric variables is present. Such one-ports,
when present, introduce ordinary differential equations in the
circuit equations, notably enlarging the behavioral complexity
of the electric network (for more details see NETWORK EQUATIONS).
Elementary dynamic one-ports are the capacitor, whose operation is described by the differential equation
i=C
dv
dt
(6)
di
dt
(7)
The parameters C and L are two constants that are, respectively, known as capacity and inductance. In the SI system,
capacity is measured in farads (F) and inductance is measured in henries (H). The symbols for linear capacitors and
linear inductors are illustrated, respectively, in Figs. 5(a)
and 5(b).
A third classification divides one-ports into active and passive. One-ports unable to supply more electric energy than
they have previously absorbed belong to the second category.
Let us first consider nondynamic one-ports. From the definitions of voltage and current previously given, it is obvious
(a)
i
e(t)
i
j(t)
(b)
(c)
+
i
(a)
(b)
Figure 5. Symbols for (a) linear time-invariant inductors and (b) linear time-invariant capacitors.
w(t0 , t) =
E0
(a)
(b)
Figure 6. (a) Characteristic curve of a linear resistor; (b) characteristic curve of an independent voltage source.
p( ) d =
t0
t0
d
d
Cv2
2
d
(8)
p( ) d 0
(9)
w(t0 , t) =
1
1
= Cv2 (t) Cv2 (t0 )
2
2
v
425
p( ) d =
t0
t0
d
d
Li2
2
d =
1 2
1
Li (t) Li2 (t0 )
2
2
(10)
426
know an initial condition, which for the capacitor is the voltage value at t t0 and for the inductor is the current value
at t t0.
In conclusion, as regards classification we should recall
that a one-port is said to be time-invariant, whether resistive
or dynamic, if the parameters of its constitutive relation do
not vary in the time.
In this article first we will describe the linear resistive oneports and then the main properties of linear resistive elements with more than two terminals that are of notable importance in the circuit theory and applications. Then we will
study in detail the capacitor and inductor. We shall conclude
by describing the behavior of mutually coupled circuits.
RESISTIVE ONE-PORTS
In this section we will introduce different resistive one-ports
by briefly describing their concrete structure and discussing
the properties implicit in their characteristic laws. Let us begin with linear resistor one-ports operating in the steadystate condition, which in a certain way can be considered typical. As we shall see many of the things we will say are also
true when the resistors operate in nonstationary conditions.
We will then illustrate the ideal voltage source, the ideal current source, the short circuit, the open circuit, the nullator,
and the norator.
The Linear Resistor
Materialsusually metalsexist in which, for a suitable
range of parameters, the current density field J is, at every
instant and throughout the material, directly proportional to
the electrical field E according to the equation
E
J = E
(11)
(12)
Sk
J
b
(a)
(b)
Figure 7. (a) Cylindrical resistor with uniform cross section; (b) cylindrical resistor with a varying cross section in which an elementary
flux tube is represented. The terminals are perfect conducting electrodes.
427
107
106
Copper
105
10
-cm
103
Graphite
100
178
102
101
1000
316
562
Temperature (K)
Figure 8. Resistivity versus temperature for two conducting materials (in both axes, logarithmic scales have been used).
k
E dll =
Sk Jk
dl =
ik
Sk
k
dl
Sk
(14)
i=
k
ik =
vab
k
k
v
= ab
R
dl
Sk
(15)
(17)
428
about 25 kV/cm in normal conditions. Consequently, the dimensions of the resistor must be such as to guarantee that,
for the voltages for which it is designed, the breakdown field
cannot be exceeded at any point in the insulator. As we have
said, this factor is particularly important for high-voltage resistors.
In general, all these characteristics depend on the way in
which the resistor is built. From this viewpoint, resistors can
be broadly classified as wirewound, foil, thin film, thick film,
and bulk resistors. The specific characteristics for each of
these classes depend, of course, on the different technologies
used in their production and will not be dealt with further in
this article. There are numerous handbooks that deal with
these matters in detail (see, for example, Ref. 2).
Another useful classification is that which divides resistors
into two classes: fixed resistors and potentiometers. In the
former there are low-power resistors (typically from 0.05 W
to 2 W) high-power resistors, high-ohmic resistors, and chip
resistors. For some types of sufficiently low power resistors,
and thus small size, it is usual to indicate the resistance and
its relative tolerance with a colored band code whose key is
given in the manuals. However, the second class includes all
variable resistors that, according to the way in which their
variability is obtained, can be divided into rotary control,
slide control and preset potentiometers. For further details
one should again consult Ref. 2.
On the other hand, the same words used to identify the
different classes of resistors already give an idea of how they
are built. In each of them, then, one varies between three
available parametersthe resistivity (and hence the material), the length l, and the cross section Sto obtain the resistance values desired.
In conclusion, it is worth recalling that in this section we
have described the operation of resistors when the voltage
and current are in steady state, that is, we have described the
so-called direct current (dc) operation. As we shall see, many
of the things we have said are also true when the resistor
does not operate in steady state.
Short-Circuit and Open-Circuit One-Ports
As we have seen, resistor constitutive relation (5) is represented on the plane (i,v) by a straight line passing through
the origin inclined to the current axis at an angle so that
R tan [Fig. 6(a)]. Thus, as R varies, the straight line will
be more or less inclined on the i axis. Two limit cases immediately come to mind: one in which the angle is zero and the
other in which it is equal to /2.
In the first case, R 0 and the voltage of the one-port will
always be zero for any current flowing in it, that is,
v=0
for any i
(18)
i
v=0
i=0
+
v
i=0
v=0
(a)
(b)
(c)
(d)
Figure 9. Symbols for (a) short circuits, (b) open circuits, (c) nullators, and (d) norators.
for any v
(19)
for any i
(20)
for any v
(21)
v=0
(22)
429
v2 = v1
(23)
430
i1 = 0
i2
i1
+
v1
v1
+
v2
+
+
v1 = 0
ri1
v1
(b)
i2
i1
+
gv1
v2
(a)
i1 = 0
i2
v2
i2
+
v1 = 0
+
i1
(c)
v2
(d)
v2 = ri1
The Gyrator
(24)
where r is a constant called transresistance. Port 1 is equivalent to a short circuit and port 2 is equivalent to a voltage
source that imposes a voltage linearly dependent on the current circulating at port 1 and independent of the current i2.
The voltage-controlled current source is a two-port defined
by the constitutive equations [whose symbol is given in Fig.
10(c)]
i1 = 0,
i2 = gv1
(25)
where g is a constant called transconductance. Port 1 is equivalent to an open circuit and port 2 is equivalent to a current
source that imposes a current linearly dependent on the voltage at port 1 and independent of the voltage v2.
Finally, the current-controlled current source is a linear
two-port defined by the constitutive equations [whose symbol
is illustrated in Fig. 10(d)]
v1 = 0,
i2 = i1
It can easily be shown that, by connecting a current-controlled voltage source in cascade to a voltage-controlled current source, we obtain a current-controlled current source
with a transfer ratio given by rg. However, if one connects
a voltage-controlled current source to a current-controlled
voltage source, we obtain a voltage-controlled voltage source
with a transfer ratio given by rg.
Even if the controlled sources are to be imagined as ideal
components, so as to simplify the circuit representation of
more complex components, such as linear models of transistors (see NONLINEAR NETWORKS ELEMENTS), it is also true that
by using the operational amplifier itself it is possible to realize components whose constitutive relations approximate satisfactorily to the various controlled sources. Thus these elements are often used in real circuits to obtain particular
effects. For example, it is possible to connect two two-ports by
means of a voltage-controlled source so that the operating of
the first is not affected by the presence of the second. This
separation technique is an important tool in the designing of
electronic circuits.
To complete the picture of linear and resistive two-ports,
let us introduce another three two-ports: the gyrator, the
ideal transformer, and the operational amplifier. Thus we will
have all the elements needed to realize two-ports with any
link between their electrical variables.
(26)
i2 = Gv1
(27)
G
i1
i2
i1
n:1
i2
v1
v2
v1
v2
(a)
(b)
Figure 11. Symbols for (a) gyrators and (b) ideal transformers.
Esat
i1
i2
i1
v1
v2
n:1 i2
C v1
v2
+
vi
i+
vo
Slope
A100,000
io
+
vi
vi
Esat
(a)
(a)
431
(b)
(b)
illustrated by means of the circuit in Fig. 12(a). Port 2 is connected to a linear time-invariant capacitor C. In this case, one
has
v1 =
C dv2
C di
i2
=
= 2 1
G
G dt
G dt
(28)
Therefore when a gyrator is connected to a time-invariant linear capacitor of capacity C, the inlet port behaves as a linear
time-invariant inductor of inductance C/G2. Thus the gyrator
allows inductor one-ports to be realized starting from capacitors. It is also possible to realize a capacitor from an inductor
by using a gyrator.
The following properties may also easily be demonstrated:
If a gyrator is connected to a linear resistor of resistance R,
the equivalent one-port behaves like a linear resistor of resistance 1/(RG2); if the gyrator is connected to a voltage (current)-controlled resistorfor example, a diode tunnelthe
equivalent one-port then behaves as if it were a current (voltage)-controlled resistor. Because of these characteristics, it is
called a gyrator.
There are on the market components that approximate to
the operation of a gyrator. A gyrator can also be made from
two voltage-controlled current sources with transconductance
G, as illustrated in Fig. 13(a).
The Ideal Transformer
The ideal transformer is a linear resistive two-port whose operation is defined by the equations
v1 = nv2 ,
i2 = ni1
(29)
Figure 14. (a) Symbols for the biased operational amplifier; (b)
transfer characteristic curve.
(30)
i1
i2
+
v1
Gv1
i1
i2
v2
v1
v2
ni1
The ideal operational amplifier is an extremely complex semiconductor component. In use at low frequencies it behaves
like a nonlinear resistive element with four terminals, whose
operation may be described by the approximated relations
[the symbol is shown in Fig. 14(a)]
i = I ,
Gv2
(a)
nv2
(b)
Figure 13. Realization (a) of a gyrator and (b) of an ideal transformer by using linear controlled sources.
i+ = I+
Esat ,
vo = f (vi ) =
Avi ,
Esat ,
vi (Esat/A)
(Esat /A) vi (Esat/A)
vi (Esat /A)
(31)
432
+ E
+
vi
i+
+
FD
ii = 0
+ E
vi = 0
vo
io
+
io
the circuit into which the amplifier is inserted. Thus, the operational amplifier is an active two-port.
If the operational amplifier in the circuit into which it is
inserted functions in the linear regionthat is, the output
voltage satisfies the relation Esat vo Esat then the
characteristic relations are
vo
ii = 0,
vi = 0
(33)
(a)
(b)
Figure 15. (a) Typical biasing scheme for the operational amplifier;
(b) equivalent circuit of an ideal operational amplifier in the linear
region.
Rb i 2 + v 1 = 0
(34)
v2 = Ra i1
(35)
Characteristic equations [Eq. (35)] are those for a currentcontrolled voltage source: r Ra is the transresistance of
the source (the sign for the transresistance may be changed
by inverting the terminals of a port).
If the resistor of conductance Ga 1/Ra is an open circuitthat is, Ga 0then from Eqs. (34) one obtains
i1 = 0,
i2 = v1 /Rb
(36)
Characteristic equations [Eq. (36)] are those for a voltagecontrolled current source and the transconductance is g
1/Rb (as for the transresistance, the sign of the transconductance can be changed by inverting the terminals of a port).
i = 0
Ra
i+ = 0
vo = Esat sgn(vi ),
vi = 0
vi = 0,
(saturation region)
(linear region)
(32)
i1
+
i2
+
v1
Rb
v2
DYNAMIC ONE-PORTS
Previously we have introduced the two fundamental dynamic
one-ports: the capacitor and the inductor and we have done
so by hypothesising the existence of a mathematical model
type (6) for the capacitor and type (7) for the inductor. In effect, however, a deeper examination shows that dynamic oneports present different problems and their existence will now
be demonstrated.
For the electric field in a dynamic regime we have
E dll =
d
dt
S=
B dS
S
d
dt
(37)
v1
v2
Even if we admit that the element is embedded in a nonconductor medium, as in fact it is, and that it may interact with
the rest of the network only through its terminals, nothing
will assure that the current entering one of them will be equal
to that exiting from the other, instant by instant. In fact, we
have
dQ
S=
J dS
dt
(38)
1
E t dl
E t dl =
d
dt
12
(39)
(a)
E
E
S
S=
dS
J dS
t
v1 v2 =
or
ia
433
Nonadmissible
line
b
ib
(b)
434
S
where is any line going from a to b that does not pierce the
limit surface l. The surface S is open and it has as its contour a closed line given by the union of and any line 0 lying
on the limit surface of the element and joining a to b [Fig.
17(a)]. In effect, it would be exaggerated to require that this
condition be verified for any line , and it would not correspond to real needs. In fact, our real aim is to define univocally a voltage at the terminals of the one-port so as to be
able to write the Kirchhoff equations for the network into
which the one-port is inserted. The lines that we require to
satisfy Eq. (40) are therefore lines that have the same length
as the longest characteristic length of the one-port itself. For
simplicity of language we will henceforth call these lines admissible lines to distinguish them from nonadmissible lines
of the type shown qualitatively in Fig. 17(a) and that form a
great number of turns.
As regards the currents, current ia entering at terminal a
can differ from that exiting at terminal b by an amount equal
to the flux of field
E/
t through a closed surface, which, at
most, can be the same as the limit surface l enclosing the
entire component and thereby cutting the terminals at two
distinct points a and b [Fig. 17(b)]:
d
E ) dS
S
ia ib =
(E
(41)
dt
l
S
E
,
Ec
b=
B
,
Bc
x=
r
,
Lc
t
Tc
(46)
where Ec, Bc, Lc, and Tc are the respective reference variables,
for the moment all arbitrary, for the electric field, the magnetic field, position vector r, and the time t. With the introduction of these new variables, Eqs. (40) and (44) assume the
forms
d
S
(47)
e
dx
b
dS
x
S
d
x
x
d
S
(48)
b
dx
e
dS
x
S
x
d
x
where the dimensionless parameters and are defined as
=
cBc
,
Ec
Lc
cTc
(49)
dx
b
dS
x
S
d
x
x
d
Sx
b dx
e dS
(51)
d
x
S
x
e=
(42)
J
S
B/) dll
iS =
dS = (B
der of magnitude, so it is opportune, first, to render the variables concerned dimensionless. This is easily done by introducing the new dimensionless variables:
(45)
(52)
1
(53)
Naturally this result is always possible if the reference variables are chosen equal to the orders of magnitude of the relative variables in the regions of the space concerned. For example, to have the same order of magnitude for e and
e/
! it is
sufficient to choose Tc equal to the characteristic time of the
dynamics of the system. Thus, for dynamics of sinusoidal type
with frequency f it is sufficient to set T 1/f. From what has
been said previously, concerning admissible lines, it is clear
that for Lc we need to choose the characteristic length of the
element being examined.
At this point, parameters and , which the adimenstionalization has very naturally underlined, assume a particular
significance. It is noted that time and space enter only into
parameter , together with velocity c, which is a characteristic constant of the electromagnetic propagation phenomena.
By defining the characteristic wavelength of the electromagnetic field, c cTc, we can say that is the ratio between
the characteristic length of the element and the wavelength,
1 B2c
,
2 c
We =
1
c Ec2
2
(54)
435
ia = i
+
l
Conducting
plates
Dielectric
Wm
We
(55)
b
ib
dQ
dt
(56)
(57)
where the constant C is the capacitance of the capacitor (positive if the normal convention is assumed). Substituting Eq.
(57) into Eq. (56) we get Eq. (6).
436
As examples we recall that the capacitance of a parallelplate capacitor is C S/d, where S is the surface area of
the capacitor plates, d is the distance between them, and the
capacitance of a cylindrical capacitor is C 2l /ln(r2 /r1),
where l is the length and r1 and r2 are the radii of the internal
and external cylinders.
The Inductor ( Diverges Like 1/ for 0)
The case of the inductor can be dealt with in similar way. In
this case, Eq. (53) and hence (42) are verified for a sufficiently
small , and so it is possible to identify a single current i for
the element we are examining. It is to be noted that, as long
as diverges like 1/ for 0, it is necessary for the electric
field to be zero in the steady-state limit, while the magnetic
field and hence the current density field are not zero. Moreover, as the electric field in the steady-state limit regime must
be zero, the material has to be a perfect conductor, otherwise
it would not be possible to have an electric current without
an electric field. This means that in the component we are
examining there is a perfect conducting wire joining the two
terminals, as illustrated in Fig. 19. Thus, the element we are
examining corresponds to our idea of an inductor.
However, Eq. (52) and hence (40) are not necessarily satisfied in these conditions. In effect, nothing more can be said
unless one fixes how the conducting wire develops inside the
element. An inductor is made by winding many turns of conducting wire in the form of a coil and bringing the two ends
out at some distance from the coil. Let us consider line ,
obtained by closing line e shown in Fig. 19, which is completely outside the element, with a line i developing wholly
within the conducting wire. The line integral of the electric
field along coincides with the voltage along e, since the
electric field in the conductor is zero. On the other hand, from
Eq. (37) we get
d
d
(58)
v e =
B n dS =
dt
dt
S
where is the flux linked with . But can be decomposed
into the sum of two terms, which corresponds to a suitable
choice for surface S. The first contribution, which we will
call i, is the flux linked with a line obtained by closing i
b
Figure 19. Sketch of an inductor: It is made by winding many turns
of conducting wire.
d
dt
di
dt
(59)
(60)
(61)
(62)
where D is the characteristic transverse length of the conductor material, then the field J has with good approximation
the same spatial distribution as in the steady state and so R
assumes the same value given by Eq. (15). Otherwise R depends on Tc or, likewise, on the characteristic frequency of the
dynamics. Parameter !d is called the characteristic diffusion
time. By introducing the penetration depth Tc /(),
Eq. (62) can be written as
D
(63)
di
dt
(64)
i
+
+
+
Cp
Rd
(a)
(b)
the capacitor (the conducting plates are the turns, and the
dielectric is the insulating varnish) and leads us to consider
an overall capacity of the entire element, equivalent to the
effect of all the capacities between the individual turns. One
concludes that an equivalent and more refined circuit for a
real inductor is that shown in Fig. 21(a), where, of course,
both Rp and Cp are very small if the inductor is well-built.
A similar situation may be found in a wire-wound resistor.
Here too, the numerous turns needed to obtain the required
resistance cause the one-port to behave like an inductor.
When such an effect is not wanted, particular arrangements
are adopted to reduce the flux linked with the many windings
in the resistor. For example, one may wind not a single wire,
but one bent back on itself so that linked flux is practically
zero. Such resistors are called anti-inductive. In the same way
we have to consider that in the case of the capacitor the dielectric cannot be perfect. In such cases a conduction current
density field is added to the current density field
E/
t in the
dielectric. Consequently, a more realistic equivalent circuit of
a real capacitor will be that shown in Fig. 21(b), where Rd
(dispersion resistance) will normally have to be very high. Although it may seem strange, for capacitors too it is sometimes
necessary to consider an inductor in series with it. This is due
to the fact that in some types of construction, the plates are
made by rolling two layers of conducting material with the
dielectric sandwiched between. The turns so formed make
it necessary to introduce a parasite inductance in the equivalent circuit.
MUTUALLY COUPLED CIRCUITS
Ohmic material
Rp
437
Figure 20. Sketch of a resistor: Two wires of perfect conductor connect the two terminals a and b to the ends of an ohmic material.
If a coil of the type described in Fig. 19an inductor thereforeis placed in the immediate vicinity of another analogous element, the flux linked with each of them will depend
on both the current that circulates in the first coil and that
which circulates in the second. We are, therefore in the presence of an intrinsic two-port that we will call mutually coupled circuits. Mutually coupled circuits are widely used in
communication circuits, in measuring instruments, and in
power systems. Transformers used in power networks that
transmit and distribute electric energy are coupled circuits.
Electric motors and generators can also be modeled by timevarying coupled circuits. We will limit ourselves to describing
the more simple, but nonetheless significant, case in which
there are two coils and the reciprocal coupling does not vary
in time.
438
Let us consider two coils wound on a toroidal-shaped magnetic iron (typically ferrite or special steel thin plates), as
shown in Fig. 22(a). The coils are constituted, respectively, of
N1 and N2 turns of wire coated with insulating varnish. If
approximation (60) is valid for both the coils, one can write
v1 =
d1
,
dt
v2 =
21m
d2
dt
L1 i1
,
N1
M i
= 21 1 ,
N2
11m =
(65)
M12 i2
,
N1
L i
= 22
N2
(67)
2d = 22m 12m
(68)
12m =
22m
2 = M21 i1 + L2 i2
(66)
where L1, L2, M12, and M21 are four constants in time, independent of currents i1 and i2. The term L1i1 is the flux linked with
the first coil when the current i2 in the second coil is zero,
and L2i2 is the flux linked with the second coil when current
i1 in the first coil is zero. Therefore the coefficients L1 and L2
are, respectively, the self-induction coefficients of coils 1 and
2. The coefficients M12 and M21 are called the mutual induction coefficients: M12 represents the flux of the magnetic field
linked with coil 1 produced by a unitary current circulating
in coil 2 when i1 0, while M21 represents the flux of the
magnetic field linked with coil 2 produced by a unitary current circulating in coil 1 when i2 0.
>> 0
i1
i2
+
v1
N1
N2
(a)
i1
+
v2
v1
i2
+
L1
L2 v2
(b)
Figure 22. (a) Sketch of two coupled circuits; (b) circuital symbol for
two coupled circuits.
1d = 11m 21m ,
are the average dispersion fluxes at coils 1 and 2, respectively. In practice if the coupling is perfect, one can expect
1d and 2d to be zero. In other words, one may expect a current circulating in the first coil to produce, on average, the
same linked flux per coil in both the first and the second coils.
It can be easily demonstrated that this condition gives
L1 L2 = M12M21
(69)
For the mutual fluxes of magnetic fields and currents, one can
demonstrate a property of reciprocity analogous to that valid
for voltages and currents in resistive circuits. Consider the
case where i1 0 and i2 0: Current i1 in coil 1 may be
considered as the cause, and flux M21i1 linked with coil 2
may be considered as the effect. In the same way, let us consider the case in which i1 0 and i2 0: Current i2 may be
considered as the cause, and the flux M12i2 linked with coil 1
may be considered the effect. It is possible to show (see, for
example, Ref. 1), by using the equations for the steady-state
magnetic field, that the ratio between cause and effect in the
two coupled circuits with i1 0 is equal to the ratio between
cause and effect in the two coupled circuits with i2 0 and so
M12 = M21 = M
(70)
di1
di
+M 2,
dt
dt
v2 = M
di
di1
+ L2 2
dt
dt
(71)
(These equations are not valid if the self- and mutual inductances are time-varying.) Two coupled circuits constitute a dynamic two-port: The values of the two voltages, v1 and v2, at
a generic instant do not depend only on the values of the two
currents at that instant, but also on the values that they assume in the neighborhood of that instant.
The self-induction coefficients are positive if we assume
the normal convention on both the ports. Instead, the mutual
induction coefficient can be positive or negative, according to
the reference chosen for the direction of the currents. For example, with the choice made in Fig. 22(a), the sign for M is
positive. Figure 22(b) reports the circuit symbol for two coupled circuits. The two terminals are countersigned for the reference direction of the currents that make M positive. If the
references for the direction of the two currents are both in
agreement or both in disagreement with the countersigns,
then M must be considered positive.
dWm
dt
(72)
where
Wm (i1 , i2 ) = 12 L1 i21 + Mi1 i2 + 12 L2 i22
(73)
B2 /2) dv 0
(B
(74)
(75)
1
M
L i +
i
2 1 1 L1 2
2
(78)
N 21 S
,
l
L2 =
N 22 S
l
(79)
Perfect Coupling
439
N1 N2 S
l
(80)
M
k=
L1 L2
L
v1
= 1
v2
M
(76)
Because the energy stored in the two coupled circuits is positive-defined and the two self-induction coefficients are both
positive, the coupling coefficient must verify the inequality
|k| 1
(77)
(81)
L1
M
(82)
440
i1
iL
+
v1
L1
i2
L1
i1
+
v2
v1
n:1
i2
+
L*1
v2
(a)
(b)
FINAL CONSIDERATIONS
From this it is easy to show that a perfect coupling is equivalent to a two-port consisting of an ideal transformer and an
inductor as illustrated in Fig. 23(a). In fact we have
v1 = L1
diL
d
i
= L1
i + 2
dt
dt 1
n
= L1
di
di1
+M 2
dt
dt
(83)
From the relations in Eqs. (79), (80) and (82) we obtain that
for a transformer with perfect coupling the transformation ratio is approximately given by
n=
N1
N2
(84)
(85)
va + vb + vc =
where
L1 L2 = M 2
E dll =
d
dt
S
B dS
=0
(88)
S
(86)
and
L1 = L1
M2
>0
L2
Capacitor
(87)
Re
c
c
ge
lta
Vo urce
so
These considerations justify the equivalent circuit of a nonperfect coupling illustrated in Fig. 23(b). The inductance L1
is related to the dispersed fluxes. It describes the contribution
of the flux linked with the first coil due to the lines of magnetic field that are not linked with the other coil; for k2 1,
L1 0. L*1 is said to be the magnetization inductance, and
it takes account of the common flux at both the coils.
It is interesting to observe that a transformer designed and
produced to obtain the best performances possible tends to be
an ideal transformer. In fact, for the coupling to be perfect
it is necessary for the two coils to be strictly wound on a nucleus of ferromagnetic material with a high relative perme-
r b
isto
ib
Re
e
sis
ie
tor
Node
1
ia
a
a
u
Ind
cto
J
dS 0 for every closed surface that does not cut the limit
surfaces. These equations express, respectively, the Kirchhoff
law for the voltages and the Kirchhoff law for the currents.
As a consequence, the boundary conditions of single oneportsthat is, the voltages and currents at their terminals
are subject to the two Kirchhoff laws. Note that the Kirchhoff
laws are rigorously exact in steady state.
This way of interpreting circuit models allows us on the
one hand to recognize the limitswhich today we are approaching nearer and nearer as studied in electromagnetic
compatibilityand on the other to observe its enormous sim-
441
plifying possibilities: The solution of a circuit, even the simplest (such as that shown in Fig. 24), in terms of the electromagnetic field would be practically impossible.
BIBLIOGRAPHY
1. R. M. Fano et al., Electromagnetic Fields, Energy, and Forces, New
York: Wiley, 1960.
2. W.-K. Chen (ed.), Circuits and Filters Handbook, Cleveland and
Boca Raton, FL: CRC Press and Piscataway, NJ: IEEE Press,
1995.
3. A. S. Sedra and K. C. Smith, Microelectronic Circuits, 4th ed., New
York: Oxford Univ. Press, 1997.
Reading List
L. O. Chua, C. A. Desoer, and E. S. Kuh, Linear and Nonlinear Circuits, New York: McGraw-Hill, 1987.
LUCIANO DE MENNA
GIOVANNI MIANO
University of Naples Federico II
219
220
Network equations are normally solved by triangular decomposition, a method which may not be known to the reader.
A very brief summary is provided in the section entitled Solutions of Network Equations, along with the Newton
Raphson method, used for solution of nonlinear equations.
The section entitled Graphs covers basic concepts of graph
theory, to the extent needed later for the various methods of
collecting the network equations. One such method, state
variables, is covered in the section entitled State Variables.
It is still used for theoretical studies but is not suitable for
computer applications, and we explain the difficulties. A more
general method, the tableau, is explained in the section entitled Tableau. It keeps as many equations as possible, and it
pays the price by leading to very large systems. The method
is useful only if a complicated sparse matrix solver is available. The best method to write network equations is the modified nodal. It is covered in the section entitled Modified
Nodal Formulation, to an extent sufficient for understanding. References will help the reader in further studies.
Time-domain solutions are done by methods which replace
derivatives by special expressions. The subject is divided into
two parts. The section entitled Simple Integration Methods
explains three simpler methods, where various problems can
be easily explained. Although they are simple, they are extensively used in commercial simulators and are quite practical.
More advanced integration formulas are covered in the section entitled Advanced Integration Methods, where we concentrate on the modern backward differentiation formulas.
With these preliminary steps we are in the position to explain time-domain solutions. The subject is divided into two
parts. The section entitled Linear Networks deals with the
integration of linear algebraic differential equations and derives simple formulas which are easy to use. The section entitled Nonlinear Networks explains integration methods for
nonlinear networks. It points out that nonlinear capacitors
and inductors must be described by their charge and flux
equations, and it shows how to formulate the Newton
Raphson iteration procedure.
Recent advances in semiconductor technology made it possible to use transistors as switches. They are reliable and fast
and opened completely new areas. The section entitled
Switched Networks introduces the reader to the problem of
switched networks and offers a simple solution how to analyze switched networks in time domain.
The bibliography at the end of this article lists publications
for additional study.
be described for the purposes of network analysis by a collection of these basic elements.
Node is a point where two or more elements are electrically
connected together. If the node can be accessed from the outside, then it is called the terminal.
With these basic definitions we can turn our attention to
the concept of voltage and current.
Voltage is electrical force which is applied across some
element and which drives the flow of electrons through the
element. The simplest source of voltage is a battery with its
and terminal. If any electrical element is connected to
these two terminals, some amount of electrons will flow
through the element and this flow is called the current. The
definition of current direction was introduced long before the
existence of electrons was discovered, and the accepted positive direction of current in network analysis is opposite to the
flow of electrons: from the more positive point (or from ) to
a less positive point (or to ). For general voltages within the
network we will use the letter V, and for currents flowing in
the network we will use the letter I.
Ideal voltage source is a fundamental element in network
analysis, and its symbol is in Fig. 1. Positive direction of cur-
i
i
+
+
E
V0
j
j
j
j
Voltage source Current source Conductor Capacitor
and resistor
i
I0
j
Inductor
I
I1
j
m
Current-controlled
current source
Voltage-controlled
current source
I2
+
I
Current-controlled
voltage source
Voltage-controlled
voltage source
I
j
m
Operational amplifier
M
i
L1
L2
I1
I2
Transformer
(2)
dQ(V )
dt
(3)
d(I)
dt
(5)
(1)
221
(4)
dI(t)
dt
(6)
(7)
(8)
(9)
(10)
222
dI1
dI
+M 2
dt
dt
dI2
dI1
+ L2
Vk Vm = M
dt
dt
Vi V j = L1
(11)
works is always done by repeated solutions of linearized approximations. It is thus advantageous to study first linear
networks, which we will do here.
Linear networks can be looked upon from many points of
view. We can seek time-domain solutions, like in nonlinear
networks. In addition, we can apply frequency-domain analysis and find absolute value and phase for a sinusoidal input
signal in steady state. To give the reader an easy reference to
subjects in other chapters, we will introduce a special symbol
for the derivative:
s
d
dt
(12)
V2
V1
C
G1
J
G2
g(V1 V2)
EG
223
1/R
JR
(G1 + sC)
(sC g)
sC
(G2 + sC + g)
V1
J
=
0
V2
1+s
2 s
s
V1
J
=
3 + s V2
0
V1
+
I1
R2
I2
r(I1 I2)
R1
or J = GE
(13)
E
I1
=
0
I2
Selecting r 2 and assigning unit values to all other elements reduces the equation to
2
1
I1
E
=
1 1 + s I2
0
R1 I1 + R2 (I1 I2 ) E = 0
R1
R2
(R2 + sL r)
JR1
V2
R2
+
gR2(V1 V2)
224
(14)
(15)
f 1 (x1 , x2 ) = 0
f 2 (x1 , x2 ) = 0
(16)
(17)
Because the matrix is triangular, a simple process, called forward substitution, can be used to find Z. Once this is known,
we go back to Eq. (16) and find X by a similarly simple process, called back substitution. The important point of this process is that the decomposition into the LU matrices costs
n3 /3 multiplication/division operations, while the forwardback substitution costs only n2 operations, n being the size of
the matrix. If the right-hand side changes, only new forward
and back substitution is needed, and the LU decomposed matrix is re-used.
Almost all larger networks have system matrices with
many zeros, and a special processing, called sparse matrix decomposition, can be used. Computer codes may be fairly com-
(18)
f1
x1 +
x1
f
f 2 (x1 , x2 ) + 2 x1 +
x1
f 1 (x1 , x2 ) +
f1
x2 + higher terms = 0
x2
f2
x2 + higher terms = 0
x2
(19)
f1
x1 +
x1
f2
x1 +
x1
f1
x2 = f 1 (x1 , x3 )
x2
f2
x2 = f 2 (x1 , x2 )
x2
(20)
x1
This cannot be solved yet, but inserting into Eq. (15) we can
write
LZ = W
plex, but sparse matrix solutions are done with a cost approximately proportional to n and not n3. In fact, the discovery of
sparse matrix processing made it possible to write practical
programs for the analysis of quite large networks.
All network solutions eventually reduce to the solution of
a system of linear equations. In frequency domain, s is substituted by j and programming is in complex. In time domain,
the derivatives are replaced by an approximation which
changes the system of algebraic and differential equations
into a system of algebraic equations only.
Nonlinear networks are solved by a method leading to a
repeated solution of linear approximations. The method is
known as the NewtonRaphson iteration, and we will explain it with a set of two equations in two unknowns:
x1
f1
x2
x1 = f 1 (x1 , x2 )
f 2 x2
f 2 (x1 , x2 )
x2
(21)
X k = f k
Mk X
Xk
Xk+1 = Xk + X
(22)
GRAPHS
C3
Network formulations suitable for computer applications require at least a few graph concepts, and we devote this section
to the subject (2).
Graph theory attempts to extract basic properties of a network without giving any details about the network elements.
An element is replaced by a line in which the assumed direction of the current is indicted by an arrow. For passive elements we are free to select this direction. Sources have their
current directions given by the previous rules, and the arrow
must agree with them: For the voltage source the arrow will
go from to , for the current source it will be the direction
indicated at the current source symbol.
Consider the graph in Fig. 6 representing some network
with six elements, replaced by directed graphs. Nodes are indicated by numbers in circles. For nodes 1, 2, and 3 we write
three KCL equations:
I1 + I4 + I6 = 0
I2 I4 + I5 = 0
I3 I5 I6 = 0
They can be written in matrix form:
0
0
0
1
0
0
0
1
1
1
0
0
1
1
I1
I2
1
0
I3
0 = 0
I4
1
0
I
5
I6
225
6
C2
C1
To work with another formulation method, the state variables, we need additional graph concepts of the tree and cotree. Consider Fig. 7, where we have used the same graph but
where we selected a tree, indicated by bold lines. A tree is
such a selection of lines of the graph which connects all nodes
but which does not close a loop. Directions of the arrows are
again arbitrary, except for lines representing sources. The
thin lines represent the co-tree. The figure also shows three
cuts, Ci. Each cut goes through only one line of the tree and
as many lines of the co-tree as necessary to separate the network into two parts. If we sum the currents in these cuts
but taking the direction of the tree line as positive, we end up
with the following set of equations, written in the sequence of
the cuts Ci:
I1 I5 + I6 = 0
I2 I4 I5 + I6 = 0
I3 I4 I5 = 0
or
In matrix form
AI = 0
(23)
0
0
0
1
0
0
0
1
0
1
1
1
1
1
(24)
where the superscript T denotes the transpose of A. Equations (23) and (24) will be needed to explain the tableau formulation.
I1
I2
1
0
I3
1 = 0
I4
0
0
I
5
I6
or briefly
QI = 0
In selecting the tree we used the following sequence of rules:
2
1
226
Similarly as in the case of the incidence matrix, tree (subscript t) and co-tree (subscript c) voltages and currents can be
related by means of the Qc matrix:
It = Qc Ic
Vc =
QTc Vt
L7
(25)
G4
(26)
C3
+
C2
E1
C6
G5
STATE VARIABLES
Historically, state variables were the first method used to
write equations for larger networks. The idea was to reduce
the system to a set of first-order differential equations. Over
the years, many attempts were made to write a general analysis program based on state variables, but none of them succeeded. As a result, this method can be used for relatively
small networks, mostly for manual solution. It is useful for
theoretical studies, and it is still applied in some disciplines.
A system of first-order differential equations can be written in matrix form as
X = AX + BW
(27)
On the left is the derivative of the X vector, composed of voltages and currents, and W describes the sources. This equation
is usually accompanied by another matrix equation for the
outputs:
Y = CX + DW
7
1
3
3
2
6
5
The last four columns create the matrix Qc. In the next step
we take Eqs. (25) and (26) and put them into one matrix equation:
(28)
In our explanations we will use only Eq. (27). The graph theory and the Q matrix which were explained in the previous
section related tree and co-tree voltages and currents by
means of Eqs. (25) and (26). Importance of the equations lies
in the fact that independent variables are tree voltages and
co-tree currents. Because in (27) we need the derivatives, consider the expression I C[dV(t)/dt]. It indicates that we
should retain capacitor voltages as independent variables,
which is helped by taking capacitors into the tree. Dually,
derivatives of currents appear in V L[dI(t)/dt]. The derivatives should be retained, which is helped by placing inductors
into the co-tree. In practical networks we often experience situations where capacitors form a loop and thus not all can be
taken into the tree. A dual situation may also happen with
the inductors.
Independent voltage sources also require special attention:
Their voltages are known and thus cannot be considered as
dependent variables. This means that the voltage sources
graph lines must be taken into the tree. Dually, current
sources must be taken into the co-tree.
We will demonstrate some of the problems on the small
network and its graph in Fig. 8. Only two capacitors can be
taken into the tree, and the inductor is in the co-tree. Using
the graph we set up the Q matrix as explained in the previous
section:
1 0 0
1
0
0 0
Q = 0 1 0 1
1
1 0
0 0 1
0 1 1 1
0
QTc
Qc
0
It
Vt
=
Ic
Vc
Writing the matrix and inserting into the column vectors expressions describing properties of the elements we obtain one
matrix equation:
0
0
0
0
0
0
0
1
1
1
0
0
0
0
0
1
1
1
1
1
0
0
0
0
0
0
1
1
0
0
0
0
0
1
1
0
0
0
0
0
E1
I1
0
V2 sC2V2
V sC V
1
3 3 3
0 G4V4 = V4
0 G5V5 V5
0 sC6V6 V6
0
I7
sL7 I7
As an intermediate step we must eliminate all variables except V2, V3, and I7, namely, the tree voltages and co-tree currents. After a number of steps which we omit, we get the preliminary result
C2 + C6
C
6
0
dV2 /dt
0
0 dV3 /dt
L7
dI7 /dt
G5
G4 G5
G5
G5
=
0
1
C6
C3 + C6
0
G4 E
V2
0
1 V3 + 0
0
0
I7
eliminations are necessary. Our example did not have any dependent sources. If present, they contribute with algebraic
equations which must also be eliminated. As can be seen,
state variable formulation creates so many problems that it
was effectively abandoned in computer applications. Its usefulness seems to be in theoretical studies of relatively small
problems. We do not recommend its use, but we felt that some
explanations are necessary. Details on state variables can be
found in many booksfor instance, in Refs. 6 and 7.
1
1
0
1
Y b V b + Z b I b = Wb
(29)
To show that this is true, for instance, for the voltage source
defined by Vb E, select Yb 1, Zb 0, and Wb E. Four
terminal networks, like the dependent sources, are represented by two equations and their graphs must have two
graph lines: one for the input and one for the output. The
reader should test validity of these statements for all networks in Fig. 1. Details can be found in Ref. 2.
Equations (23), (24), and (29) can be collected into one matrix equation:
Yb
0
AT
Vb
0
0 Ib = Wb
0
Vn
0
0
Zb
A
(30)
V2
V3
0 1
V4
R2
sC3
0
1
1
I1
J1
I2
I3
I4
Vn1
Vn2
V1
1
1
1
0
G4
TABLEAU
227
IL
V1
V2
L
C3
R2
G4
J1
228
V2
V1
IE
C
V3
IOP
0
1
(31)
G(V1 V2 ) + IE = 0
GV1 + (G + sC)V2 sCV3 = 0
sCV2 + sCV3 + IOP = 0
To this set we append equations describing properties of the
two elements. For the voltage source V1 E. For the operational amplifier we know that the two input terminals are at
the same potential. Since one of them is grounded, the second
will be at zero potential and V2 0. Adding these equations
to the above set we get in matrix form
G
G
0
1 0
0
V1
G G + sC sC 0 0 0
V
0
V = 0
sC
sC
0
1
(32)
0
0
0 0 II E
1
IOP
0
1
0
0 0
0
Methods for integration of differential equations were developed much earlier than programs for simulation, and first attempts of computerized network simulations were directed to
the use of known integration procedures. State variables were
developed because integration of first-order differential equations was available in the RungeKutta routines. When problems were encountered, new methods for integration were developed.
The majority of integration methods are polynomial approximations of various orders. In this section we will consider three simplest formulas for numerical integration: the
forward Euler, the backward Euler, and the trapezoidal (2).
They are practical methods, used in commercial simulation
packages.
Consider a given differential equation
x = f (x, t)
(33)
(34)
(35)
1
1
r.h.s.
r.h.s.
i
Current source
r.h.s.
Voltage source
i
sC
sC
CE0
sC
sC
CE0
Conductor
r.h.s.
i
i
k m
i
j
g g
k
m g g
sL
LJ0
k m
1
1
k m
k m
i
j
k
m
1
1
r
1 1
VV
I1 I2
1
1
i
j
k
m
1 1
1 1
k m
1
1
i
j
k
m
CC
j
Resistor
i
j
k
m
VC
i
Inductor
j
Capacitor
i
1 1
i
i
j
k
m
1
1
1 1
CV
Operational amplifier
k m
I1
1
1
I2
1
1
1 1
sL1 sM
1 1 sM sL2
Transformer
The iterations have converged and the process can be repeated for another step to find x2, and so on. Both Euler formulas match the first derivative and we say that their order
of integration is one.
If we take the sum of Eq. (33) and Eq. (34), we get another
corrector, called trapezoidal:
x1 = x0 +
h
(x + x0 )
2 1
(36)
x1 x0
x0
x = x
(37)
x(t) = x0 et
(38)
x(t1)
x0
t0
229
x1
t1 t0
x(t)
t1
;
;;;
;;
;
;;;;;;
230
we still need to pull the desired poles close to the origin, but
the large i will not bother us. Their responses will not be
traced, but we in fact do not want them, because their rapid
changes do not contribute to the useful function of the network. The BDF formulas behave (roughly speaking) similarly
to the backward Euler method (2).
Assume that we have the solution xn at the instant tn, as
well as a number of previous solutions xni at instants tni, as
sketched in Fig. 16 with three previous solutions. A new step
h reaches the instant tn1 where we wish to find the solution.
For our explanation we will assume equal integration steps,
with BDF formulas collected in Table 1. They are actually
polynomials passing through known points and extrapolated
to the next time instant. The formulas are used as follows. At
the beginning n 0, we know the initial value x0 and we
select h. The zero-order predictor from Table 1 estimates the
value of xn1 x1. With this and the previous point we can
use the corrector of order 1. It is, in fact, the already known
backward Euler formula.
We have now two possibilities. Either we use always only
two last points and in a sequence of steps apply the first-order
predictor or corrector. The other possibility is to take more of
the already known solutions and use a predictor corrector
pair of higher order.
Equal steps are not very practical, although in some cases
they are used. If we permit a change of the step in every new
evaluation, then the BDF formulas change, as summarized in
Table 2. The values zk in Table 2 are expressed by
zk =
tn+1 tn+1k
h
(39)
If we use higher-order formulas, zk must be saved simultaneously with the previous solutions.
The step size influences accuracy and for correct integration we must estimate the error. This is where the importance
of the predictorcorrector pair of the same order comes into
the picture. It was shown in Refs. 12 and 13 that the error is
expressed by
E=
h(xpred
xcor
n+1 )
n+1
a0 (tn+1 tn+1k )
hD
a0 T
(40)
Predictor
Corrector
hz3
hz2
h
tn 2
tn
tn 1
tn + 1
231
Predictors
Correctors
xn1 xn
xn1
1 3
1
xn1 2xn xn1
h 2
2
xn1
1 11
3
1
xn1 3xn xn1 xn2
h 6
2
3
xn1 0
1
xn1 (xn1 xn)
h
is the frequency of interest. The program for LU decomposition must be in complex arithmetic, and the resulting solution
variables are complex as well. Absolute value or phase can be
obtained from such complex values. Repeating for a number
of frequencies, we get the frequency-domain response.
Time-domain solutions are more complicated, but still simple enough when considering backward Euler or trapezoidal
formulas. Recall that multiplication by s represents the derivative and write
GXn+1 + CXn+1 = Wn+1
Xn+1 =
1
(X
Xn )
h n+1
Predictors
Correctors
xn1 xn
xn1 0
a1 z2 /(z2 1)
a2 1/(1 z2)
a0 1
a1 1
1
xn1 (a0 xn1 a1 xn)
h
xn1 a1 xn a2 xn1
2
(42)
(43)
On the left is the same matrix as we had before, with s replaced by 1/h. On the right, the C matrix is multiplied by the
previous result, Xn, and added to the vector of the sources,
evaluated at the next time instant. Now suppose that we keep
the step size fixed during the whole integration. In such a
case the matrix on the left does not change and all we need
is one LU decomposition for the entire time-domain calcula-
(41)
LINEAR NETWORKS
Linear networks offer a large number of possibilities how to
study them. They can be analyzed in frequency domain and
time domain, network functions can be derived, and poles and
zeros can be calculated.
Since our modified nodal formulation was explained on linear networks, it is worth mentioning how simple frequency
domain analysis is. In frequency domain we calculate how a
sinusoidal input signal would be transferred through the network after all transients have died out. Suppose that we have
the equations in matrix form, similarly as in the section entitled Nodal Formulation. Once we have the equations in matrix form, all we do is insert a unit value for the source E or
J and substitute in the matrix s by j, where 2f and f
D z2 (z2 1)
a0 (z 22 1)/D
a1 z 22 /D
a2 1/D
1
xn1 (a0 xn1 a1 xn a2 xn1)
h
232
0
1
0
C/h
1
V1,n+1
1
1 V2,n+1
IL,n+1
L/h
= 0
0
F Vb
F
F
=
=+
Vi
Vb Vi
Vb
0
V1,n
Jn+1
0 V2,n + 0
IL,n
0
L/h
0
C/h
0
The dots indicate possible presence of other elements. To prepare the Jacobian, we differentiate with respect to Vi and Vj.
Using the chain rule we obtain
2
2
C Xn+1 = G C Xn + Wn+1 + Wn
h
h
(44)
NONLINEAR NETWORKS
Nonlinearities introduce major difficulties. The concepts of
frequency-domain response, amplitude, phase, poles, or zeros
do not exist. What remains is (1) a dc solution when no signal
is applied and (2) a time-domain solution. Both are obtained
by iterations.
Dc solutions find the operating point, which are nodal voltages and currents in the absence of a signal. It is a situation
to which the network stabilizes after the power is turned on
and no signal is applied. The operating point is found by first
short-circuiting all inductors and open-circuiting (removing)
all capacitors and then solving the resulting algebraic system.
This is not without problems. In transistor networks we may
have nodes connected to the rest of the network through capacitors only. Removal of capacitors will result in a node without connection to the other parts of the network, and in such
a case the solution routines fail. Some kind of preprocessing
may be needed to remove such nodes from the equations.
Once this has been done, we have an algebraic system of
equations which can be solved by NewtonRaphson iteration
(see the section entitled Solutions of Network Equations).
In linear networks we were able to write first the modified
nodal equations and then put them into matrix form. This is
not possible when nonlinear elements are present. Consider a
nonlinear element connected between points i and j. Its current, which we denote as Ib, flows from i to j and is the function of the voltage across it, Vb:
Ib = F(Vb )
F
F Vb
F
=
=
V j
Vb V j
Vb
Contribution to the Jacobian will be in columns and rows i
and j:
. . . + F/Vb . . . F/Vb
Jacobian :
. . . F/Vb . . . + F/Vb
. . . + F(Vb )
Right-hand side :
. . . F(Vb )
For additional understanding consider Fig. 17 with two linear
and one nonlinear conductor. Using nodal formulation we
take the sums of currents at each node:
f 1 = G1V1 + Ib J = 0
f 2 = Ib + G2V2 = 0
The expressions are already in the form needed for iteration,
with zero on the right, see Eq. (18). The NewtonRaphson
equation will have the form
G1 + F/Vb
F/Vb
F/Vb
G2 + F/Vb
V1
V2
G1V1 + F (Vb ) J
=
F (Vb ) + G2V2
The minus sign in front of the right-hand side comes from Eq.
(22). Had we used a linear conductance G3 instead of the nonlinearity, it would appear in the same positions as the derivatives. We are coming to a very important conclusion: The derivative appears in the Jacobian in the same position as if
the element was linear. All the stamps we derived for linear
elements are also valid for the Jacobian.
Returning to Fig. 17, let Ib Vb3, J 1, and G1 G2 1.
Then Ib /Vb 3V b2 with Vb V1 V2. The NewtonRaphson
Ib
V1
F(Vb)
V2
Vb = Vi V j
In nodal equations the current will be added at node i and
subtracted at j,
G1
G2
. . . + F (Vi V j )
. . . F (Vi V j )
V1
V2
G
0.1V1
V1,0
233
dc
R
C1
2V
C2
SWITCHED NETWORKS
equation will be
3(V1 V2 )2
1 + 3(V1 V2 )2
V1
V2
V1 + (V1 V2 )3 J
=
V2 (V1 V2 )3
Q(Vb )
t
(Ib )
VL =
t
IC =
(45)
Q
+ G(V1 V2 ) = 0
t
V
f 2 = G(V1 V2 ) + C 2 = 0
t
f1 =
f1 =
+ G(V1 V2 ) = 0
V2 V2,0
=0
f 2 = G(V1 V2 ) + C
h
(46)
G + 0.3V 21 /h
G
f1
G
V1
=
G + C/h V2
f2
1 + 3(V1 V2 )2
3(V1 V2 )2
dc
+
where on the right we insert Eq. (46). Had we used higherorder integration, then in the Jacobian the terms divided by
h would be multiplied by the corrector coefficient a0 (see Table
2). Additional details can be found in Refs. 2, 15, and 16.
L2
L1
234
IL
IN
+
Linear
+
VL
VN
TIME MEASUREMENT
235
JIRI VLACH
University of Waterloo
TRUM COMMUNICATION.
TERVAL METERS.
95
(1)
96
Any sinusoid is characterized by a triplet of parameters: amplitude u01 and angular frequency 1, both positive by convention, and (initial) phase 1, defined less an integer multiple of
2. The positiveness of u01 and 1 does not limit the generality
of the definition in Eq. (1). In fact, the change in sign of u01
corresponds to the addition of to 1, while the change in
sign of 1 is equivalent to the change of sign of 1.
Two other parameters are commonly used as alternatives
to 1: frequency f 1 1 /(2) and period T1 1/f 1. Moreover,
the effective value ueff
1 of sinusoid u1(t)
ueff
1 =
lim
(t 2 t 1 )
1
t2 t1
t2
t1
u
[u01 cos(1t + 1 )]2 dt = 01
2
[u1ej 1t]
1t
u01
(2)
u01cos( 1t + 1)
u01
[u1ej 1t]
1t + 1
(5)
du1 (t)
= 12 [ j u
1 exp( j t)
j u
1 exp( j t)]
dt
(6)
(4)
while the sum of any pair of sinusoids u1(t) and u2(t) of an subclass and with phasors u1 and u2 is a sinusoid of the same
Sinusoid uk (t)
15
10
3
8
cos( t /4)
cos( t /2)
sin( t)
cos( t /6)
Phasor uk
15
10
3
8
exp( j/4)
exp(j/2)
exp(j/2)
exp( j5/6)
97
1 2
2 1 2
1 2 /2
1 2
2 1 2
1 2 /2
AT
Im,m
0n1,m
H v0 + j H
v1
0n1,n1
0m,n1
0m,m
0m
v
A
v = 0n1
i0
i1
H + j H
i
u
KVL
KCL
(7)
Time Domain
Phasor Domain
Time Domain
Phasor Domain
v(t) A Tv(t)
Ai(t) 0
v A Tv
A 0
Bv(t) 0
i(t) B T(t)
Bv 0
B T
98
Current Source
Time Domain
v(t) [v exp( jt jv )]
Phasor Domain
Time Domain
Phasor Domain
v v v exp( jv )
i(t) [ exp( jt ji )]
exp( ji )
v
i
y( j )
= g()
+ jb()
=
i
v
(8)
In Eq. (8) both impedance and admittance have been decomposed into real and imaginary parts: r( ) is called resistance,
x( ) is reactance, g( ) is conductance, and b( ) is susceptance,
as shown in Fig. 2. Impedance and admittance are not at all
phasors, since they do not represent sinusoids; they may be
considered as phasor-to-phasor operators. For this reason
their symbol is not barred.
Impedance and admittance of one-port subnetworks (i.e.,
built connecting simple one-port elements) may be calculated
using the same rules given for two-terminal resistors (see
TIME DOMAIN CIRCUIT ANALYSIS). For instance, the impedance
z( j ) and admittance y( j ) 1/z( j ) of series and parallel
connections of two one-port elements are:
Series:
z( j )
= z1 ( j )
+ z2 ( j )
Parallel:
y( j )
= y1 ( j )
+ y2 ( j )
2 ( j )
y1 ( j )y
y1 ( j + y2 ( j )
z ( j )z
2 ( j )
z( j )
= 1
z1 ( j )
+ z2 ( j )
(9)
y( j )
=
where z1( j ) 1/y1( j ) and z2( j ) 1/y2( j ) are the impedances of the connected one-ports (see LINEAR NETWORK ELEMENTS).
1
+ j 300 20 106 S =
500/3
500
500
1
j
z= =
y
6
6
y=
3
3
+j
500
500
Time Domain
Phasor Domain
z12 ( j )
i1
=
z21 ( j )
v2
z22 ( j )
i2
(10)
i1
y12 ( j )
v1
y11 ( j )
=
y21 ( j )
y22 ( j )
v2
i2
The four elements of both matrices are, in general, complex
because they depend on the imaginary number j . The impedance and admittance matrices Z( j ) and Y( j ) substitute the
real resistance and conductance matrices R and G proper of
dc circuits. The same considerations hold also for the other
four representations of two-ports.
Generalization of dc Analysis Methods and Properties
to ac Circuits
All the following topics, introduced for linear resistive circuits, are easily generalized to the phasor domain [see LINEAR
Element
Short circuit
v(t) 0
v 0
Open circuit
Resistor
v(t) ri(t)
v r
Nullor
CCVS
v1(t) 0
v2(t) rmi1(t)
v1 0
v2 rm1
CCCS
v1(t) 0
i2(t) i1(t)
Ideal transformer
v1(t) nv2(t)
i1(t) i2(t)/n
Time Domain
Phasor Domain
i(t) 0
v1(t) 0
i1(t) 0
0
v1 0
1 0
VCCS
i1(t) 0
i2(t) gmv1(t)
1 0
2 gmv1
v1 0
2 1
VCVS
i1(t) 0
v2(t) v1(t)
1 0
v2 v1
v1 nv2
1 2 /n
Gyrator
v1(t) i2(t)/gm
i1(t) gmv2(t)
v1 2 /gm
1 gmv2
99
Time Domain
Phasor Domain
Capacitor
Inductor
i(t) Cv (t)
v(t) Li(t)
v1(t) L1i1(t) Mi2(t)
v2(t) Mi1(t) L2i2(t)
j Cv
v j L
v1 j L11 j M2
v2 j M1 j L22
Coupled inductors
NETWORK ELEMENTS;
classical methods]:
(Fig. 3):
p(t) = v0 cos(t
+ v )i0 cos(t
+ i )
Resistor:
Capacitor:
Inductor:
(11)
(12)
pk (t) = 0
(13)
k=1
[ z]
jx()
z( )
[ z]
r( )
p(t)
(a)
[ y]
g( )
[ y]
jb( )
i(t)
v(t)
(b)
Figure 2. Real and imaginary parts of (a) impedance and (b) admittance.
100
1
t2 t1
t2
p(t)dt
t1
for (t2 t1 )
(14)
(19)
(15)
/2 or (t2 t1) T
/2 (where is an arbitrary
with (t2 t1) T
/2.
integer) because p(t) is periodic with period T
P=
1
t2 t1
t2
t1
Pk = 0
(16)
k=1
K
Pk = 0
(20)
k=1
(21)
k=1
From the above proof, since the sum of active powers over all
elements of a circuit coincides with the real part of the sum
of complex powers, it is again proved that the sum of active
powers equals zero [see Eq. (16)].
(17)
(18)
Note that the real part of complex power [P] coincides with
the active power in Eq. (15), while the imaginary part [P]
will be discussed later on.
A = |P| =
P2 + Q2 = (v0 i0 /2)
(22)
(23)
Q = 12 bv20 = 12 Cv
20
Capacitor:
Q = w
M
wM = max[w(t)] = 12 Cv20
t
(24)
Q = 12 xi20 = 12 Li
20
Inductor:
Q = w
M
wM = max[w(t)] = 12 Li20
t
Qk = 0
T
i 1
v1
=
v2
i 2
1
2 [i 1 i1 z11
1
2
T
z11
i 1
z21
i 2
i 1 i2 z12
i 2 i1 z21
(28)
1
2
Both active power P and reactive power Q in the four controlled sources and in the nullor may have any value in (,
) (see LINEAR NETWORK ELEMENTS and Table 5). Indeed,
these five two-port elements are characterized by having the
voltage and/or current of the output port unconstrained.
In an ideal transformer P and Q are both zero independently of the rest of the circuit. In fact, the instantaneous
power is null and the ideal transformer is reciprocal.
Compute the reactive power absorbed by a gyrator (see Table 5). In general, it may have any value, even if the instantaneous power absorbed is always zero, since the gyrator is
antireciprocal. This apparent paradox may be verified by
applying Eq. (27) to the impedance matrix of a gyrator.
(25)
k=1
P=
101
z12
z22
i1
i2
(26)
i 2 i2 z22 ]
P = 12 {r11 i201 + r22 i202 + (r12 + r21 )[i 1 i2 ] + (x21 x12 )[i 1 i2 ]}
Q = 12 {x11 i201 + x22 i202 + (r12 r21 )[i 1 i2 ] +(x21 + x12 )[i 1 i2 ]}
(27)
Similar formulas hold for the other representations of twoports.
The form of the underbraced terms (r12 r21)[i*1 i 2] and
(x21 x12)[i*1 i 2] in Eq. (27) denotes the following properties.
Property. A two-port with a pure imaginary impedance and/
or admittance matrix does not absorb or deliver active power
if and only if it is reciprocal (i.e., x12 x21).
Property. A two-port with a pure real impedance and/or admittance matrix does not absorb or deliver reactive power if
and only if it is reciprocal (i.e., r12 r21).
102
minant of the matrix of the system, while the numerator coincides with the determinant of a suitable submatrix, less possible common factors that cancel out. The roots of the
numerator polynomial are the zeroes of the network function,
while the roots of the denominator are the poles. Zeroes and
poles may be real or complex conjugate pairs: their values
characterize, less a constant factor, the network function and,
in particular, its behavior along the imaginary axis (see the
section titled Logarithmic Scales and Bode Plots and the subsection titled Factorization of Network Functions, later in this
article). If F(s) is evaluated along the imaginary axis, that is,
for s j, the network function F( j) defined in SSS is obtained.
Classes of Network Functions
In any network function in SSS the output phasor y may be
any branch current or voltage, and the input phasor u may
be any source voltage or current. Any network function may
then be seen either as the admittance or impedance of a composite one-port element, or as an off-diagonal element of the
impedance, admittance, or hybrid matrix [see Eq. (10)] of a
two-port subnetwork extracted from the circuit (see LINEAR
NETWORK ELEMENTS). One may then define the following
classes of network functions:
Impedance Function. The quotient of the voltage phasor
v of a current source by the current phasor of the source
itself (see Fig. 4a)
Admittance Function. The quotient of the current phasor i of a voltage source by the voltage phasor v of the
source itself (see Fig. 4b)
Transimpedance Function. The quotient of any voltage
phasor by any source current phasor
Transadmittance Function. The quotient of any current
phasor by any source voltage phasor
Voltage Gain Function. The quotient of any voltage phasor by any source voltage phasor
Current Gain Function. The quotient of any current phasor by any source current phasor
+
^
Remaining
subnetwork
(a)
i
v^
Remaining
subnetwork
(b)
Figure 4. Definition of immitance functions: (a) impedance and (b)
admittance.
Impedance and admittance functions are jointly called immittance functions, from the contraction of the terms impedance
and admittance. The last four network functions in the above
list are called transfer functions, because the input and output are related to two different branches of the circuit.
Magnitude and Phase of Network Functions
In SSS, network functions are, in general, complex valued
functions of the imaginary variable j, that is, any network
function may be written as F( j). Using complex number
mathematics, it is possible to derive from network function
F( j), two real-valued functions: magnitude F( j) and
phase F() F( j). It is possible to better examine these
real-valued functions by splitting the numerator N(s) and the
denominator D(s) of F(s) into even and odd parts:
N(s) = N2 (s2 ) + sN1 (s2 )
(29)
N (2 ) + jN1 (2 )
N( j)
= 2
D( j)
D2 (2 ) + jD1 (2 )
(30)
[N ( )]
2
[D2
(2 )]2
+ [N1 (2 )]2
+ [D1 (2 )]2
(31)
(32)
103
Phase
Comment
z( j) y( j) /2
0 z( j) y( j) /2
z( j) y( j) 0
0 z( j) y( j) /2
z( j) y( j) /2
v anticipates in quadrate
v anticipates
v and are in phase
anticipates v
anticipates in quadrate v
F( j) = h ( j) 0
Kzr
!
k zc
!
[1 + j/z ]
[1 + j/(qz z ) + ( j/z )2 ]
=1
=1
K pr
[1 + j/ p ]
=1
Real zeroes
k pc
!
(33)
[1 + j/(q p p ) + ( j/ p )2 ]
=1
Real poles
[z]
Inductive
= 0 + K pr + 2K pc Kzr 2Kzc
(34)
> 0 : F ( j) 0 of order if
< 0 : F ( j) of order | if
= 0: no zeroes or poles of F( j) at infinity
LOGARITHMIC SCALES AND BODE PLOTS
Often the magnitude and phase of a network function are
most easily analyzed if logarithmic scales and logarithmic
quantities are adopted. In particular, plots are usually more
readable, the numbers involved in practical calculations are
more manageable, and the magnitude function may be easily
decomposed in simple addends.
Logarithmic Scale for Angular Frequency
Resistive-inductive
Resistive
[z]
Resistive-capacitive
Capacitive
In the practical analysis of network functions it is often necessary to evaluate the magnitude or phase of the function in
many different values of , differing by several orders of magnitude. In this case, if a linear scale for is used to represent
magnitude and phase of a function, the resulting plot may be
quite unreadabletoo compressed for small values of , and
too expanded for high values. To avoid the problems mentioned above, a logarithmic transform of the axis is adopted:
the angular frequency is normalized with respect to 0
2f 0 and the base 10 logarithm is introduced:
log(/0 ) = log( f / f 0 )
(35)
104
(36)
Kzr
log[1 + (/z )2 ] +
=1
10
Kzc
=1
+ 10
K pr
(37)
log[1 + (/ p )2 ] +
=1
+ 10
K pc
=1
F () = \F ( j) = 0 /2 +
=1
\(1 + j/z ) +
K pr
/(qz z )
\(1 + j/ p ) +
1 (/z )2
=1
=1
"
K pc
p p )
\ 1 + j 1 /(q
(38)
j(/ p )2
=1
Kzc
"
Kzr
1+ j
(39)
Both reactance x() and susceptance b() are monotone increasing with respect to in (, ). When 0
1/ LC, called resonance angular frequency, both x() and
b() are null because the reactance and susceptance of capacitor and inductor cancel out. In other words, at resonance the
series resonator is equivalent to a short circuit and the parallel resonator to an open circuit. Analogously, frequency f 0
0 /(2) is called resonance frequency.
For 0 and 0 the resonators are equivalent to a
single element:
1
for 0
C
z( j) = jx() jL for
0
z( j) = jx() j
1
for 0
L
y( j) = jb() jC for
0
y( j) = jb() j
(40)
In the ideal series resonator, the voltage over the capacitor vc(t) and the voltage over the inductor vl(t) coincide instant-by-instant less the sign: vc(t) vl(t), while the corresponding currents ic(t) and il(t) are coincident.
In the ideal parallel resonator, the current through the capacitor ic(t) and the current through the inductor il(t) coincide
instant-by-instant less the sign: ic(t) il(t), while the corresponding voltages vc(t) and vl(t) are coincident.
So, voltage over a series resonator and current in a parallel
resonator are zero, and instantaneous power p(t) exchanged
with the rest of the circuit is zero. Consequently, the sum of
the energies stored in the capacitor and in the inductor is
constant. Therefore, the exchange of instantaneous power
takes place only between the inductor and the capacitor inside the ideal resonator.
105
1
1 + jQs ( 1/)
1
Fp ( j0 ) = z( j0 )/r =
1 + jQp ( 1/)
Fs ( j0 ) = ry( j0 ) =
Lossy Resonators
Since the model of an ideal resonator is equivalent, at the
resonance frequency, to an ideal short circuit or open circuit,
a more realistic model might be needed in many situations.
For instance, if a sinusoidal voltage source, with angular frequency 0, is connected to an ideal series resonator with resonance frequency equal to 0, the model of the circuit is inconsistent in SSS. This model becomes consistent if the resonator
is assumed to be nonideal, that is, with a very small, but nonzero impedance at 0.
The nonideal model of a series/parallel resonator may be
characterized by a series/parallel resistor added to the corresponding ideal model (Fig. 6) and it is called a lossy series/
parallel resonator. In the series case a very small resistance
r value is chosen, while in the parallel case a small conductance 1/r is chosen, and so a large resistance value is used.
For any the nonideal model is not equivalent to a short or
open circuit. The admittance of lossy series resonator and the
impedance of lossy parallel resonator may be easily analyzed:
1
y( j) =
r + jL + 1/( jC)
1
z( j) =
1/r + jC + 1/( jL)
(42)
(41)
1
1 + jQ( 1/)
(43)
(44)
(b)
Figure 6. Lossy (a) series and (b) parallel resonators.
106
The Nyquist plot of F( j) (see NYQUIST CRITERION, DIAis a complete circle (Fig. 7) with the
segment 0 } 1 on the real axis as a diameter; for increasing
from 0 to point F( j) describes the circle clockwise, starting and ending in the origin.
F( j )
1
2
F( j )
(45)
4
0
By subtracting the first equation from the second one, one obtains:
[ F( j )]
2
Figure 8. Plots of magnitude and phase of normalized immittance of
lossy resonators.
"
[ F( j )]
1/2
(46)
The difference 2 1 (2 1)/0 is the so-called relative bandwidth of lossy resonators and so Eq. (46) shows that
factor Q is a measure of the selectivity of the immittance magnitude of lossy resonators. Higher Q factors correspond to a
narrower relative band 2 1, and to resonators closer to
the ideal case. The magnitude of the immittance function of
Property. The normalized frequencies 1 and 2 1/1 satisfy the following relations:
2 + 1/1 1/2 1 = 2/Q 2 1 = 1/Q
1 0 2
=1
d
(47)
=1
BIBLIOGRAPHY
AMEDEO PREMOLI
GIANCARLO STORTI-GAJANI
Politecnico di Milano
107
1. The solution is reduced to algebra and is greatly simplied by the extensive use of tables.
2. The conditions of energy storage elements within the
circuit at the time when the input signal is applied
(i.e., the initial conditions) become part of circuit
equations and hence are automatically accounted for.
3. There is no need to evaluate initial conditions at
t = 0+, as in the case of time-domain analysis, when a
jump discontinuity occurs at t = 0. Only their values
immediately before the beginning of the transient
(i.e., at t = 0) are required.
4. The sinusoidal steady-state behavior of a linear circuit may be easily analyzed by resorting to network
functions dened in terms of Laplace transforms.
5. Frequency-domain analysis provides a deeper insight in the behavior of linear circuits. For example,
it is possible to effectively compute sensitivities, to
give stability conditions, to establish necessary and
sufcient conditions for the realization of one-port
and multiport networks, and so on.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
A
0
N 0s + N 1
E(s)
V (s)
I(s)
(9)
is referred to as the admittance of the two-terminal element. In the frequency domain, impedance and admittance
play the same role as resistance and conductance in Ohms
laws, respectively. Table 4 gives impedances and admittances of resistors, capacitors, and inductors.
Writing Circuit Equations by Inspection. Taking into account Eqs. (10) and (11), one can easily verify that the
circuits shown in Fig. 1(b) are governed by the algebraic
frequency-domain equations reported in the fourth column
of Table 3. These circuits are called the equivalent circuits
in the frequency domain for resistors, capacitors, inductors,
and coupled inductors.
As a consequence, the frequency-domain equations of
any circuit may be written directly, avoiding the preliminary step of writing time-domain equations. In fact, it is
sufcient to apply the same analysis methods used for resistive circuits (see Ref. 6, Chapter 5; and Ref. 7, Chapter 4) to a frequency-domain equivalent circuit, hereafter
called the transformed circuit, obtained by replacing each
(t)
Impulse function
u(t)
1
s
1
s2
1
s+a
0
Ramp
t
eat
Exponential
eat sin 0 t
Damped sine
eat cos 0 t
Damped cosine
(s + a)2 + 02
s+a
(s + a)2 + 02
Table 2. Main Properties of One-Sided Laplace Transform
Property
Transform Pair
Linearity
Time differentiation
Time integration
Time shift
Frequency shift
Initial-value theorem
Final-value theorem
limt
Component
Parameter(s)
Resistor
Capacitor
Inductor
v(t) = Ri(t)
dv
dt
Coupled inductors
Frequency Domaina
Time Domain
M, L1 , L2
V (s) = RI(s)
i(t) = C
v(t) = L
or:
1
V0
V (s) =
I(s) +
sC
s
V (s) = sLI(s) LI0
di
dt
di1
+M
dt
di1
v2 (t) = M
+L2
dt
v1 (t) = L1
or:
1
I0
I(s) =
V (s) +
sL
s
V1 (s) = sL1 I1 (s) + sMI2 (s) L1 I10 MI20
di2
dt
di2
dt
V2 (s) = sM I1 (s)
a
Component
Parameter
Z(s)
Y (s)
Resistor
G 1/R
Capacitor
Inductor
R
1
sC
sL
sC
1
sL
or:
I10
I20
+ sM I2 (s)
s
s
I10
s
+ sL2 I2 (s)
I20
s
Loop Equations
Figure 1. (a) Symbols and associated reference directions for
voltages and currents of resistors, capacitors, inductors and coupled inductors; (b) Frequency-domain equivalent circuits of resistors, capacitors, inductors and coupled inductors. For capacitors and inductors both the series and the parallel frequencydomain equivalent circuits are shown. Initial values are denoted
by V0 = v(0), I0 = i(0), I10 = i1 (0) and I20 = i2 (0).
The set of loop equations may be written directly in the frequency domain by substituting each circuit element with
the appropriate series equivalent circuit of Fig. 1(b) and
then applying the standard technique used for resistive circuits. Note that for nonzero initial conditions, supplemental voltage sources of the form LI0 and/or V0 /s are added to
the original circuit.
The nal set of loop equations has the form
ments which are not voltage-controlled, circuit transformations should be performed. Finally, nodal analysis may be
effectively extended to circuits with ideal voltage sources
and/or ideal operational amplier (9, Section 4.5).
Example Consider the circuit of Fig. 4(a). V10 and IL0 are
initial values of capacitor voltage v1 and inductor current
iL , respectively. The initial voltage across C2 is zero. To effectively write nodal equations, the voltage source is rst
transformed into a current source by a TheveninNorton
transformation. The nal circuit, used to write nodal equations in the frequency domain, is shown in Fig. 4(b). The
following equations are obtained by inspection:
Nodal Equations
Nodal equations may be written directly in the frequency
domain by substituting each circuit element with the appropriate parallel equivalent circuit of Fig. 1(b) and then
applying the standard technique used for resistive circuits.
Note that for nonzero initial conditions, supplemental current sources of the form I0 /s and/or CV0 are added to the
original circuit.
The nal form of nodal equations is
STATE EQUATIONS
State equations are best suited for time-domain analysis,
even if sometimes it may be useful to solve these equations in the frequency domain. Also in this case, all known
methods used to write time-domain state equations (inspection, equivalent sources, or network graph theory; see
Ref. 11, Chapter 6) may be applied to the transformed circuit obtained by substituting inductors and capacitors with
the series and parallel circuits of Fig. 1(b), respectively. In
spite of that, state equations are generally written rst in
the time domain and then transformed to the frequency
domain, where they take the following form:
If all independent sources are set to zero (i.e., considering the circuit in the zero-input state), then, applying
Kramers rule, a generic output variable Wk (s) is given by
where Wk (s) denotes the kth output variable and ik is the
cofactor of the element i, k of T(s), where i is the position
of the independent source Qi (s) into the right-hand side
vector of Eq. (9).
From Eq. (25), it follows that any network function of a
lumped, linear circuit is a real rational function of sthat
is, the ratio of two polynomials with real coefcients. Its
nite poles are natural frequencies of the circuit, according
to Eq. (21); due to a possible pole-zero cancellation, some
natural frequencies may not appear as poles of the network
function (see Ref. 6, p. 612).
The transform Wk (s) of the output variable is given by
Transient response is computed by nding a partialfraction expansion of the right-hand side of Eq. (26) and
then taking the inverse Laplace transform of each term of
the expansion. If the network function has no poles inside
the right half-plane and if its j-axis poles (if any) are simple, then the (zero-state) impulse response of the circuit
remains bounded and the network function is said to be
stable. If there are no poles on the j-axis, then the impulse
response decays with time, and moreover, any (zero-state)
response remains bounded for any bounded input. In this
case the network function is said to be strictly stable (see,
for example, Ref. 19, Chapter 9).
When the network functions are impedances or admittances of RCLM networksthat is, networks composed of
a nite number of resistors, capacitors, inductors, and coupled inductorsthey must be positive real functions; other
constraints are added for two-element-kind (i.e., RC, RL,
and LC) networks (20).
The Sinusoidal Steady State
The large use of network functions in circuit analysis in
only partially due to their utility in evaluating complicated
transients. One of the reasons for their acknowledged importance is related to their capability to describe the sinusoidal steady state of stable networks according to the
following theorem, usually referred to as the fundamental theorem of sinusoidal steady state (19, Section 9.4; 6,
Section 10.5).
Theorem Consider any linear time-invariant circuit,
driven by sinusoidal independent sources, all at the same
frequency . If the circuit is strictly stable (i.e., all the natural frequencies have negative real part), then, for any set
of initial conditions, all voltages and currents tend, as time
goes to innity, to a unique sinusoidal steady-state at the
same frequency .
Transfer functions play an important role in engineering, since they can be easily and accurately measured, resorting to stable sinusoidal oscillators and to precise measurement equipmentsfor example, to network analyzers.
On the other hand, they are the starting point for designing networks with a prescribed frequency behavior, as in
the case of electrical lters and equalizers.
When poles and zeros of network functions are known,
gain and phase versus frequency curves can be easily plotted, resorting to the so-called Bode plots (Ref. 21, Section
8.2). On the contrary, if curves of magnitude or phase, or
real or imaginary parts, versus frequency are given, methods have been developed to build realizable network functions (20).
It is important to remember that real and imaginary
parts of any stable network function are related to each
other and, hence, constraints on them cannot be assigned
arbitrarily. In fact, for a network function with no poles in
the right half-plane and on the j axis (innity included),
they satisfy the following equations (see, for example, Ref.
18, Chapter 7):
In the case of a single-input single-output circuit described by Eq. (24), magnitude Wm and phase w of the
output waveform are given by (see Ref. 6, Section 10.5)
= |W|ej
where W
w and Q = |Q|ejq are the phasors of output and input waveforms, respectively, and H is the transfer function dened in terms of the sinusoidal steady state.
A comparison of Eq. (29) with Eqs. (27) and (28) shows
that any phasor transfer function, dened in the sinusoidal
steady state, may be obtained simply by setting s = j in
the corresponding transfer function H(s) dened in terms
of Laplace transforms.
H(j) is a complex valued function of and may be written as
where R() is the value of the network function at innity. The above equations state that if the imaginary part is
specied over all frequencies, then the real part is determined to within an additive constant and that, if the real
part is specied, then the imaginary part is completely determined. Similar results hold also for gain and phase of a
network function, provided that it has no zeros in the right
half-planethat is, it is a minimum-phase function (18).
10
W()
2(s), = 0
2 (s), = 0
2 (s), = 0
1, < <
2(s s0 ), 0
[(s j0 ) + (s + j0 )], = 0
2/s, = 0
2()
2 ()
2 ()
1
2( + js0 )
[( 0 ) + ( + 0 )]
2/ j
T
( nT )
n=
w(t)
1
t
t2
(t)
exp(s0 t)
cos(0 t)
sign(t)
n=
(t nT )
Note that s.c. indecates the strip of convergence of W (s); () and () are the rst and second derivative of the delta function,
respectively; s0 = 0 + j0 is a complex constant; and T = 2/T .
a
(t)
W (s)
W()
Linearity
a1 f 1 (t) + a2 f 2 (t)
d f (t)
t dt
f (t )dt
a1 F 1 (s) + a2 F 2 (s)
a1 F1 () + a2 F2 ()
sF (s)
jF()
1
F() + F(0)()
j
F()exp(t0 )
F( + js0 )
Time differentiation
Time integration
Time shift
Frequency shift
Convolution
Moment theorem
a
f (t t0 )
f (t)exp(s0 t)
1F
(s)
s
F (s)exp(st )
0
F (s s )
0
f (t) g(t)
F (s)G(s)
t n f (t)dt
d n F (s)
(1)
dsn
n
F()G()
d n F()
jn
dn
Frequency-domain Circuit Equations which does not contain the factor 1/s can be turned into an equation based on
the Fourier transform by replacing s with j, setting initial
conditions to zero and representing variables by a Fourier
transform. As an example, the tableau equations in the
Fourier domain are
where q(t) and w(t) are the input and output signals of
a circuit with network function H(s), respectively, and W
and Q are their Fourier transforms. In the above equation, [1/2W() d] ej t are the complex harmonic signals
composing w(t), each of which comes from the corresponding component of the input signal [1/2Q() d] ej t modied by H(j). Such an equation formalizes the operation of
linear frequency selective circuits and is the basis for the
physical interpretation of frequency responses.
Energy and Power Spectra. The Fourier transform allows
also a frequency representation of the energy content of
signals (24). The energy of q(t) can be expressed by the
sum of the energies of its harmonic components
11
The procedure for the frequency-domain analysis of circuits is almost independent of the transformation method
used. This latter decides only which waveforms can be represented, how they are represented, and which elements of
the circuit behavior are highlighted. Apparently, Laplace
transform seems able to handle a more general class of
functions and, therefore, seems preferable. This point, however, is controversial (e.g., see Ref. 23) and the transformation method, instead, should be chosen according to applications.
Roughly speaking, network functions in the s domain
offer zero-pole portraits of the circuit behavior and provide
the most reliable information on system dynamics and stability. Furthermore, the one-sided Laplace transform takes
into account the initial conditions of energy-storing elements and is the preferred transformation method for the
frequency-domain solution of transient problems.
In order to illustrate the evaluation of transient and frequency responses, we consider the circuit of Fig. 4(a). For
such a circuit, we compute the zero-state response of voltage vo (t) across resistor RL to a rectangular input pulse of
duration T and height E0 ; that is, vs (t) = E0 [u(t) u(t T)].
Besides, we compute also the frequency response of vo to
the input vs . The components have the following (normalized) values: Rs = RL = 1 , C1 = C2 = 1 F, L = 2 H, E0 = 2 V,
and T = 1 s.
To solve this problem, we rst compute the voltage
transfer function H(s) = Vo (s)/Vs (s), by using Eq. (16) and
setting to zero the sources related to initial conditions.
12
From Tables 1 and 2, the Laplace transform of the input waveform turns out to be
Vs (s) = E0 (1 eTs )/s = 2(1 es )/s. As a consequence,
the transform of output voltage is
13
The simplest approach to generate reduced order approximations of network functions relies on Pade approx
imants (30). A rational approximation H(s)
of order p to
H(s),
Noise Sources
In this section we illustrate the frequency-domain analysis
of circuits containing stochastic sources characterized by
their power spectra (24, Chapter 10).
We consider the very simple example of Fig. 8(a). Noise
sources e1 (t) and e2 (t) model the thermal noise generated
by the two resistors. A typical problem in noisy two-port
elements is the evaluation of the source terms of their
chain matrix constitutive relationsthat is, the equivalent
sources v01 and i01 shown in Fig. 8(b).
In order to obtain the equivalent sources for this example, we replace e1 (t) and e2 (t) with deterministic signals
with spectra E1 () and E2 () and generate the transformed
circuit of the problem. V01 () and I01 () can be computed as
the voltage and current at port one, ensuring null voltage
and current at port two. This analysis yields the following
transfer relations:
When noise sources are described by their power spectra Ge1 () and Ge2 (), the power spectra of the equivalent
sources are obtained by using the statistical independence
of e1 and e2 so that Eq. (42) yields
which yield the unknown parameters k j and s j as functions of the coefcients H(n) (0) = [dn H(s)/dsn ]s=0 . Such coefcients are shortly named moments of H(s) (see Table
6 moment theorem), and are much easier to compute than
X = sAX + RU
Y = LT X
(58)
(59)
14
The waveform described by V+ ()exp(Kz) has harmonic components V+ ()exp[()z j()z + jt] d/2.
Each of such components is a harmonic function
V+ ()exp(jt) traveling toward increasing z with phase velocity v = /() and attenuating according to exp(-()z).
It describes a transverse electromagnetic eld concentrated on the line crossection and propagating along +z as
a plane wave.
The frequency-domain analysis of a circuit containing
the TL can be carried out by relating voltages and currents
at the line ends through the TL solution [Eq. (61)]. The
MARIO BIEY
IVAN A. MAIO
Politecnico di Torino, Torino
Italy
15
TRANSIENT ANALYSIS
TRANSIENT ANALYSIS
Transient circuit analysis is used to find the currents and
voltages in a circuit containing one or more capacitors and/or
inductors. The word transient describes a quantity that is
fleeting rather than permanent, and it distinguishes this
branch of circuit analysis from steady-state analysis, which is
concerned with the long-term or settled behavior of a circuit.
Transient circuit analysis asks not just Where will my circuit
end up? but also How will it get there? The charging of a
battery, the discharge of a flashbulb, and the oscillation of the
pointer in a voltmeter about its resting point are all examples
of transient behavior which can be analyzed using the techniques of transient circuit analysis.
383
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
384
TRANSIENT ANALYSIS
TRANSIENT ANALYSIS
2 S
E
i
+
vC
1
C
+
E u(t)
(a)
385
i
C
+
vC
(b)
u(t) =
0
1
for t < 0
for t 0
(1)
dvC (t)
+ vC (t) = E
dt
(2)
This is a first-order differential equation in the capacitor voltage vC, and so this circuit is referred to as a first-order circuit.
It can be solved by a number of methods to give an expression
for vC as a function of time. One such method is to recast the
equation in the form
d(vC (t) E)
1
=
(v (t) E)
dt
RC C
This equation is of the familiar form
dx(t)
= ax(t)
dt
386
TRANSIENT ANALYSIS
Rth
x(t) = x(0)eat
where x(0) is the value of x at time t 0. This initial condition
must be known if the equation is to be solved for x(t). Thus
Eq. (2) has the solution
vC (t) E = (vC (0) E)et/RC
(3a)
Resistive
one-port
Eth
or
vC (t) = vC (0)et/RC + E(1 et/RC )
(3b)
The response of the series RC circuit with zero initial capacitor voltage to the application of a voltage source given by the
unit step function is known as the step response of the series
RC circuit. (Note that we will use the word response to signify any current or voltage in the circuit, or any set thereof,
including for example the set of all currents and voltages.
Throughout this article the variable or variables which constitute the response in any given instance will be clear from the
context in which the word is used.)
It is clear from Eq. (3a) that the difference between vC and
E varies exponentially with time, and when the product RC
is positive (a condition that will be assumed to hold unless
otherwise stated) this difference tends to zero as t tends to
infinity. vC is plotted as a function of time in Fig. 2, where, as
expected, vC is seen to converge exponentially to E. The rate
of this convergence is governed by the value of RC, which is
termed the time constant of the waveform and denoted by the
symbol . The smaller the time constant, the faster the rate
of convergence. After one time constant has elapsed (i.e., at
t ), vC(t) E has decreased to e1 36.8% of its value at
t 0, and at time t 5 this difference has decreased to
e5 0.7% of its initial value. Although vC does not reach E
within any finite time (unless, of course, it started out at E),
after five time constants have elapsed the difference between
vC and E has been reduced to less than 1% of its initial value.
The time constant is a useful measure of the response speed
of a first-order circuit. For more general circuits, the rise time
is used as a measure of response time. This is defined as the
time taken for the step response to rise from 10% to 90% of
vC(t)
E
vC(0)
Time t
Figure 2. The capacitor voltage in the circuit of Fig. 1 varies exponentially from its starting value vC(0) to its steady-state value E, with
time constant RC.
TRANSIENT ANALYSIS
for t < 0
0
for 0 t < t0
p(t) = E
for t t0
0
The response of the first-order RC circuit to this source waveform is found by an extension of the analysis just performed.
For 0 t t0 the analysis proceeds as before and vC(t) is
given by Eq. (3c):
vC (t) = vC (0)et/RC + E(1 et/RC )
for 0 t < t0
(3c)
for t t0
(4)
387
iL
I u(t)
will inevitably ensue. Clearly the smearing of the pulse evident in Fig. 4 when the time constant is large limits the rate
at which pulses can be transmitted if they are to be separated
at the receiver.
The response of the series RC circuit to any piecewise-constant source waveform is found by an extension of the analysis performed above. The circuit is analyzed using the standard method over each of the time intervals in which the
source is constant, starting with the first time interval. The
initial condition for the nth time interval, commencing at
time t tn, is found by evaluating the response from the previous time interval at time t tn.
The second type of first-order circuit is one in which the
single energy storage element in the circuit is an inductor
rather than a capacitor and, by application of a Norton transformation (where possible), is of the form shown in Fig. 5,
where the constant current source I is connected in parallel
with conductance G and inductor L for t 0. Kirchhoff s current law applied to this circuit gives the following differential
equation in the inductor current iL for t 0:
GL
diL (t)
+ iL (t) = I
dt
vC (t)
= t0/5
= t0/50
2t0
Time t
Figure 4. The response of a first-order RC circuit to a voltage pulse
of amplitude E and duration t0. The solid line shows the response if
t0 /50, and the dashed line shows the response if t0 /5. Note
the smearing of the pulse when is large.
The circuit in Fig. 6 consists of a resistor and two energy storage elementsa capacitor and an inductor. Kirchhoff s voltage law gives the equation
vC (t) + L
diL (t)
+ RiL (t) = 0
dt
388
TRANSIENT ANALYSIS
iL
+
C
vC
vC (0) = A1 + A2
dv
iL (0) = C C
= CA1 s1 + CA2 s2
dt t=0
LC
d 2 vC (t)
dv (t)
+ vC (t) = 0
+ RC C
2
dt
dt
(5)
where
vC (t) = Aest
R
2L
and
LCs2 + RCs + 1 = 0
1
0 =
LC
which yields
R
s=
2L
1
R2
4L2
LC
If these two values, s1 and s2, are distinct (i.e., s1 s2), then
the general solution of Eq. (5) is of the form
vC (t) = A1 es 1 t + A2 es 2 t
(6)
vC (t)
vC (t)
Time t
Time t
0
(a)
(b)
vC (t)
vC (t)
Time t
(c)
Time t
(d)
Figure 7. Examples of the natural response of the series RLC circuit: (a) overdamped, (b) underdamped, (c) underdamped and lossless, and (d) critically damped.
TRANSIENT ANALYSIS
R
E u(t)
iL
+
vC
vC (t) = et (A1 + A2 )cos dt + j(A1 A2 )sin dt
A1 and A2 are complex conjugates, and so the coefficients
B1 (A1 A2) and B2 j(A1 A2) are real and can once again
be found from the initial conditions. The underdamped response takes the form of an oscillation of frequency d
multiplied by an exponential envelope et. If 0, the amplitude of the oscillation decreases exponentially with time, with
the rate of this decrease, known as damping, controlled by .
If 0, the response is an oscillation of constant amplitude
and frequency d 0 1/ LC. This is the case of the wellknown LC oscillator, which arises when R 0 and there is
no dissipation in the circuit. The underdamped response is
plotted in Figs. 7(b) and 7(c) for the two cases 0 and
0. Note that the underdamped response is always characterized by oscillation, sometimes termed ringing.
If 02 2, then s1 s2 R/2L. In this case the
general solution of Eq. (5) is no longer given by Eq. (6) but
instead by
vC (t) = (D1 + D2t)et
and is said to be critically damped (2). The constants D1 and
D2 are once again found by application of the initial conditions. An example of a critically damped response is plotted
in Fig. 7(d).
Step Response of a Second-order Circuit
The circuit in Fig. 8 is identical to that of Fig. 6 but for the
addition of the voltage source E at t 0. Applying Kirchhoff s
voltage law for t 0 gives the equation
vC (t) + L
diL (t)
+ RiL (t) = E
dt
d 2 vC (t)
dv (t)
+ vC (t) = E
+ RC C
dt 2
dt
(7)
To solve this equation, we apply the fact that the general solution to a differential equation is the sum of two components,
which are known in mathematics as the homogeneous solution
and a particular solution (2). The homogeneous solution is the
solution to the differential equation obtained when all input
terms (i.e., all terms not involving the variable or its derivatives) are set to zero. In circuit terms, this is just the response
389
vC (t) = A1 es 1 t + A2 es 2 t + E
if 02 < 2
(overdamped)
if 02 > 2
(underdamped)
(8a)
(8b)
if 02 = 2
(critically damped)
(8c)
390
TRANSIENT ANALYSIS
vC(t)
F (s) = L { f (t)} =
f (t)est dt
(9)
Time t
| f 1 (t) f 2 (t)| dt = 0,
(a)
vC(t)
Time t
(b)
vC(t)
Time t
(c)
Figure 9. Examples of the step response of the series RLC circuit:
(a) overdamped, (b) underdamped, and (c) critically damped.
The first three of these properties are particularly important. The uniqueness property guarantees that if a system of
differential equations is solved by transforming to the frequency domain, solving in the frequency domain and transforming back to the time domain, the solution obtained will
be the same as would have been obtained if the solution had
been carried out entirely in the time domain. The linearity
property guarantees that a system of linear equations in the
time domain will remain linear in the frequency domain,
allowing powerful linear analysis techniques to be applied in
both domains. The differentiation property allows differentiation in the time domain to be replaced by multiplication in
the frequency domain, together with the addition of an term
related to the initial condition. It is this property that allows
TRANSIENT ANALYSIS
Table 1. Laplace Transforms of Some Important Functions
F (s) L ( f (t))
f (t)
(t)
u(t)
1
s
tn
1
, n 1, 2, . . .
s n1
1
sa
s2 2
s
s2 2
n!
eat
sin t
cos t
n(s)
n(s)
=
d(s)
(s p1 ) 1 (s p2 ) 2 . . . (s pm ) m
(t) = 0
for t = 0
(t) = 1
391
(10)
There are three steps to be taken in solving a set of differential equations using Laplace transform analysis: (1) The system of differential equations in the time domain is transformed to a set of algebraic equations in the frequency
domain; (2) this set of algebraic equations is solved in the
frequency domain, using standard linear techniques; and (3)
the solution is transformed from the frequency domain back
to the time domain. Step 1 involves application of the definition of the Laplace transform (9) together with certain of its
properties (notably the differentiation property). Step 2 involves standard techniques from linear algebra. Step 3 involves the application of the inverse Laplace transform, which
converts a function F(s) in the frequency domain to a function
of time f(t) L 1(F(s)) in such a way that L ( f(t)) F(s). Note
that the function f(t) is unique only for t 0, since two functions of time which differ for t 0 but are identical for t 0
will have the same Laplace transform.
The Inverse Laplace Transform
There is a closed-form equation for the inverse Laplace transform (see Ref. 6 for details), but it is rather difficult to apply
(involving contour integration) and is rarely used in circuit
analysis applications (although it is sometimes used for numerical inversion of the Laplace transform). Instead, the inverse Laplace transform of a function is generally found by
writing the function as the sum of simpler functions, each of
whose inverse Laplace transform is known. A technique that
is particularly useful here is the partial fraction expansion
(2,6). This is a technique which allows the decomposition of a
function F(s) which is the ratio of two real polynomials in s
into the sum of simpler terms. It is assumed that the degree
of the numerator of F(s) is less than that of the denominator;
if this is not the case, then F(s) can be expressed in the form
F(s) r(s) n(s)/d(s), where r(s) is a polynomial in s and the
n(s)
(s p1 )(s p2 ) . . . (s pm )
k1
k2
km
=
+
+ ... +
s p1
s p2
s pm
F (s) =
F (s) =
=
n(s)
(s p j ) j d(s)
kj1
s pj
kj2
(s pj
)2
+ +
kj
(s pj ) j
n(s)
d(s)
where
kji =
d j i
1
j
(s pj ) F (s)
(j i)! ds j i
s= p j
Since the numerator and denominator of F(s) are real polynomials in s, poles appear in complex conjugate pairs, as do
their residues. This allows the combination of any complex
term in the expansion with its conjugate to give a real term.
The inverse Laplace transform of each of the terms in the
partial fraction expansion is known:
L
kj
j
(s pj )
= kj
t j 1
e pj t
j ( 1)!
j
392
TRANSIENT ANALYSIS
iC(s)
+
+
iC(t)
vC(t)
1/sC
or
vC(s)
vC(0)/s
Applying the differentiation property of the Laplace transform yields the frequency-domain equation for the capacitor:
IC (s) = sCVC (s) CvC (0 )
Thus the capacitor C with initial voltage vC(0) appears in the
transformed circuit as the parallel combination of the independent current source CvC(0) and the linear element defined by the relation V(s) (1/sC)I(s). This second element
can be thought of as a generalized resistance (known as an
impedance) 1/sC and throughout the analysis in the frequency domain can be handled as if it were a resistance. Figure 10 shows the transformation of a capacitor from the time
domain into the parallel combination of an impedance and an
independent current source in the frequency domain or, by
Thevenins theorem, into the series combination of an impedance and an independent voltage source.
CvC(0)
vC(s)
1/sC
iC (t) = C
iC(s)
In a similar manner, the inductor defined in the time domain by the relation
vL (t) = L
diL (t)
dt
1
E
vC (0 )
v (0 )
E
E
+ RC = C
+
VC (s) =
1
1
1
1
s
s+
s s+
s+
s+
RC
RC
RC
RC
IL(t)
IL(s)
iL(t)
sL
vL(t)
or
VL(s)
LiL(0)
iL(0)/s
TRANSIENT ANALYSIS
393
R
+
X(s) = M1 (s)U(s) =
1/sC
E/s
1
Adj(M(s)).U(s)
det(M(s))
VC(s)
+
vC(0 )/s
for t 0
k1
k2
km
+
+ +
s p1
s p2
s pm
xi (t) = k1 e p 1 t + k2 e p 2 t + + km e p m t
for t 0. [If some of the zeros of det(M(s)) have multiplicity
greater than one, the time response will contain terms of the
form tepit.]
Clearly the zeros pi of det(M(s)) play a crucial role in determining the natural response of the circuit. These quantities are known as the natural frequencies of the circuit. The
number of natural frequencies in a circuit is less than or
equal to the number of energy storage elements in the circuit.
The contribution of each natural frequency to the natural response depends on its location in the complex plane. A natural frequency at zero contributes a constant term to the natural response. A real and positive natural frequency pi
contributes a term kiepit that grows exponentially with time. A
real and negative natural frequency pi contributes a term
kiepit that decays exponentially with time. Complex natural
frequencies occur in conjugate pairs, and their contributions
add to make a real contribution to the response waveform. If
the natural frequencies in question lie on the imaginary axis
at j, their composite contribution to the time response is
of the form kiejt kiejt 2ki cos(t ki), an oscillation
of constant amplitude. If the complex natural frequencies lie
in the right half-plane at j, their composite contribution
is of the form kie(j)t kie(j)t 2kiet cos(t ki), an
oscillation whose amplitude grows exponentially with time.
Finally, if the complex natural frequencies lie in the left halfplane at j, their composite contribution is of the form
kie(j)t kie(j)t 2kiet cos(t ki), an oscillation whose
amplitude decays exponentially with time. (If some of the natural frequencies have multiplicity greater than one, their contribution to the time response will be more complicated, with
polynomials times exponentials in place of exponentials, but
can be handled by an extension of the above analysis.)
The above discussion leads to the important conclusion
that if all natural frequencies of a circuit lie in the open left
half-plane (i.e., if their real parts are less than 0), then for
any set of initial conditions the natural or zero-input response
of the circuit decays to zero as t . This decay may be
oscillatory, depending on the presence of complex natural frequencies. A circuit is said to be asymptotically stable or exponentially stable if all of its natural frequencies lie in the open
left half-plane. If any natural frequency lies in the open right
half-plane, then the initial conditions can cause certain currents and voltages to grow exponentially with time, which is
394
TRANSIENT ANALYSIS
1
R + sC1
1
gm
V1 (s)
C1 v1 (0 )
V (s) = C v (0 )
1
2
2 2
+ sC2
R2
0
The natural frequencies are the values of s for which the determinant of the matrix in this equation is zero, and therefore
they equal 1/R1C1 and 1/R2C2. Solving explicitly for V1(s)
and V2(s) we find that
V1 (s) =
(v1 (0 )
1
s+
R1C1
1
gm
v (0 )
v1 (0 ) + s +
C2
R1C1 2
.
and V2 (s) =
1
1
s+
s+
R1C1
R2C2
Thus the voltage v1 (natural or zero-input component) exhibits only the behavior controlled by the natural frequency at
1/R1C1. and is unaffected by the natural frequency at
1/R2C2.
The Zero-State Response and Transfer Functions
The zero-state response of a circuit is its response to one or
more independent sources (inputs) with all initial capacitor
voltages and inductor currents set to zero. It suffices to con-
C1
+
vS
+
R2
R1
v1
gmv1
v2
C2
n(s)
Vs (s) = H(s)Vs (s)
det(M(s))
(11)
t+
h(t )vs ( ) d
0
TRANSIENT ANALYSIS
If the input is the unit step function u(t), which has Laplace transform 1/s, then xi(s) H(s) L u(t) H(s)/s and so
the step response is xi(t) L 1H(s)/s. It is easy to see that
the impulse response is the derivative of the step response.
Example 3 The transfer function V2(s)/Vs(s) of the circuit of
Fig. 13 is
gm
s
C2
H(s) =
1
1
s+
s+
R1C1
R2C2
gm
R2C2
R1C1
C2
=
1
1
R2C2 R1C1
s+
s+
R1C1
R2C2
and so the impulse response is
h(t) =
g m R2
et/R 1 C 1
R2C2 R1C1
gm R1C1 /C2 t/R C
2 2
e
R2C2 R1C1
for t 0
gm
C2
1
L
1
1
s+
s+
R1C1
R2C2
=
gm R1C1 R2
[et/R 1 C 1 + et/R 2 C 2 ]
R2C2 R1C1
for t 0
Note the exponential modes corresponding to the natural frequencies in both the step response and the impulse response.
Note also that the impulse response is the derivative of the
step response.
FOURIER TRANSFORM CIRCUIT ANALYSIS
The power of the Laplace transform in finding the transient
and steady-state response of a circuit, the variety of source
waveforms which it can handle, and its ability to accommodate initial conditions make it the method of choice in transient circuit analysis. Despite these advantages, another
transform, closely related to the Laplace transform, is preferred in certain situations. This is the Fourier transform (4
6), named after the French mathematician Jean Baptiste Joseph Fourier (17681830). The close relationship between the
Fourier transform of a signal and the frequency content of
that signal make it particularly useful in applications such as
communications and signal processing where this frequency
content is of paramount importance. However, the Fourier
transform is defined for a smaller class of source waveforms
than the Laplace transform, and it cannot handle initial conditions. The latter condition in particular makes it poorly
suited to transient circuit analysis and so we will merely give
a brief discussion of its properties here, with the intention of
(1) explaining why it is unsuited to transient circuit analysis
and (2) providing a link to other forms of transient circuit
395
analysis for circuits such as filters that are more usually handled using Fourier analysis.
The Fourier transform is closely related to the Fourier series (46), in which a periodic function with period T is decomposed into the weighted sum of sinusoids whose angular
frequencies are integer multiples of 2/T. By superposition,
the response of a circuit to a periodic function could be obtained by decomposing the function into the sum of sinusoids,
finding the response to each of these sinusoids via phasor
analysis, and summing these responses to find the overall response. The main disadvantage to this Fourier series method
of analysis is that many source waveforms of interest are not
periodic; and since the method is based on phasor analysis, it
finds only the steady-state component of the response. The
fundamental idea underlying this method, however, namely
the idea of a sum of input sinusoids being processed (i.e., altered in magnitude and phase) in different ways by a circuit
and then added to form the response, is a very useful one and
underlies the more general Fourier transform analysis.
The Fourier transform is a generalization of the Fourier
series to accommodate nonperiodic functions. A nonperiodic
function can be viewed as the limit of a periodic function as
the period T tends to infinity. The Fourier series of this periodic function consists of weighted sinusoids spaced in frequency at integer multiples of 2/T. As T tends to infinity,
the separation of these sinusoidal frequency components
tends to zero, and in the limit we have the nonperiodic function represented by a continuum or spectrum of sinusoidal
components. This spectrum of sinusoidal components constitutes the Fourier transform of the function. The Fourier
transform of a signal f(t) is found, as in the above discussion,
by taking the limit of the expression for the Fourier series of
a periodic function as the period tends to infinity, which turns
out to be
F ( j) = F { f (t)} =
f (t)e jt dt
(12)
{F ( j)} =
1
2
F ( j)e jt d
One important feature of the Fourier transform is the differentiation property, which states that differentiation in the
time domain is equivalent to multiplication by j in the frequency domain. Thus the Fourier transform can, like the Laplace transform, be used to transform a system of differential
equations in the time domain to a system of algebraic equations in the frequency domain.
In Fourier transform analysis a circuit is transformed into
the frequency domain by replacing all independent sources
by their Fourier transforms, replacing each inductor L by an
impedance jL (and replacing any time-domain coupling M
between inductors by the frequency-domain coupling jM), re-
TRANSIENT ANALYSIS
|H( j)|
1
Ideal
0.8
0.6
0.4
n=
n=
n=
n=
0.2
0
0
1
1.5
Frequency, (rad/s)
0.5
2
3
4
5
Figure 14. Amplitude response of the ideal low-pass filter with cutoff
frequency at 1 rad/s, together with the amplitude responses of the
normalized Butterworth filters of order n 2, 3, 4, and 5. Note that
the approximation more closely matches the ideal as the order of the
filter increases.
1.2
1
Output voltage
396
0.8
n=2 3 4 5
0.6
0.4
0.2
0
8 10 12 14 16 18 20
Time, t(s)
TRANSIENT ANALYSIS
397
electronic and electrical engineers. As has been seen throughout this article, the behavior of the linear circuits to which
this analysis is applied is actually rather limited. This is not
to say that these circuits are not usefulquite the reverse.
The power of transient circuit analysis (and other forms of
linear circuit analysis), coupled with the tremendous variety
of uses to which linear circuits can be applied, may tend to
give the impression that all circuits behave in a reasonably
simple fashion and that it is only by adding a complex signal
(such as noise) that complex behavior can be observed in a
circuit. This is not the case. The transient and steady-state
behavior of nonlinear circuits can be extraordinarily complex,
even in the absence of an input signal. An appreciation of the
complexity of nonlinear systems, together with an improved
ability to analyze and understand it, has been developed by
mathematicians, engineers, and scientists from various disciplines since the 1960s, with terms such as chaos entering
the lexicon and popular culture. In circuit theory this work
was pioneered by Chua and his co-workers, and readers interested in venturing from the comparatively tame world of linear circuit analysis into the fascinating world of nonlinear circuits are referred to the seminal paper (9) and to the article
NONLINEAR DYNAMIC PHENOMENA IN CIRCUITS.
BIBLIOGRAPHY
1. F. F. Kuo, Network Analysis and Synthesis, 2nd ed., New York:
Wiley, 1966.
2. E. Kreyszig, Advanced Engineering Mathematics, 6th ed., New
York: Wiley, 1988.
3. L. O. Chua, C. A. Desoer, and E. S. Kuh, Linear and Nonlinear
Circuits, New York: McGraw-Hill, 1987.
4. R. C. Dorf and J. A. Svoboda, Introduction to Electric Circuits, 3rd
ed., New York: Wiley, 1996.
5. J. W. Nilsson and S. A. Riedel, Electric Circuits, 5th ed., Reading,
MA: Addison-Wesley, 1996.
6. A. V. Oppenheim, A. S. Willsky, and I. T. Young, Signals and Systems, London: Prentice-Hall, 1983.
7. L. P. Huelsman, Active and Passive Analog Filter Design, Singapore: McGraw-Hill, 1993.
8. K. S. Kundert, The Designers Guide to Spice and Spectre, Boston:
Kluwer, 1995.
9. T. Matsumoto, L. O. Chua, and M. Komuro, The double scroll,
IEEE Trans. Circuits Syst., 32: 797818, 1985.
ORLA FEELY
University College Dublin
398
TRANSISTORTRANSISTOR LOGIC
NETWORK THEOREMS
227
S1
S1
S2
S2
NETWORK THEOREMS
In this article, we consider electrical networks from the Kirchhoff point of view. The descriptive equations are decomposed
into the constitutive relations for the network elements and
the Kirchhoff equations for the connection element (see Fig.
1). In the following, these networks are called Kirchhoff networks.
This decomposition was already used implicitly in the
nineteenth century by the founders of network theory. It was
Belevitch (1) who clarified this concept and used port currents
and voltages of the connection element for describing Kirchhoff networks. An advantage of this decomposition is that the
connection element can be described by linear equations in
linear as well as nonlinear networks. If dynamic network elements that are characterized by differential and/or integral
equations are included, we obtain a mixture of differential
equations and algebraic or transcendent equations that describe the network. Equations of this type are called differentialalgebraic equations (DAE) [see, e.g., Chua, Desoer, and
Kuh (2); Hasler and Neirynck (3); Mathis (4); or Vlach and
Singhal (5) for further details]. We adopt the point of view of
mathematical dynamical systems [see, e.g., Arrowsmith and
Place (6)] where DAEs, their set of solutions (the flow), and
the right-hand side (the vector field) are, under certain restrictions, simply different representations of the same abstract subject.
It is sufficient to consider subsets of IRn as solution manifolds in the case of resistive networks, and subsets of suitable
function spaces as solution manifolds in the case of more general dynamic circuits. Manifold theory is not really needed at
this stage. However, we emphasize the term manifold in order to recall that the solution sets of network equations are
not mere points-sets: In the vicinity of any solution point
i1
v1
i2
v2
ib
vb
Figure 1. Decomposition of a Kirchhoff network into circuits elements and the connection element (wires and ideal transformers).
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
228
NETWORK THEOREMS
I
R1
V1
SUPERPOSITION THEOREMS
Although superposition theorems consider crucial properties
to be a single linear network, these theorems use at least two
networks to test it. It is well known that Helmholtz (12) derived a superposition theorem in 1853. Helmholtzs result was
extended by other researchers and was included by Maxwell
in his monumental treatise (13). But it seems that Hausrath
(14) was the first who derived these theorems under very general assumptions and studied their conditions of validity as
well as their many applications. Hausrath formulated the
principle of superposition in the following manner:
If a [linear time-invariant] network includes a number of arbitrarily distributed current and voltage sources, then each source
results in currents and voltages associated to the network elements as if the other sources are eliminated and the current or the
voltage of some network element can be calculated by summing up
the currents and voltages of each source, respectively.
He added a mathematical interpretation: the resulting current is a linear function of the potential distribution, or, in
reverse order, the resulting potential distribution is a linear
function of the currents.
Hausrath proved this statement by means of Maxwells
equations, where inductors and currents can be included in
the networks. He emphasized the superposition equations in
the case of ac currents differ from the dc case in that their
coefficients will be complex. A more general reasoning of superposition theorems can be given in the following manner:
If we assume that a network can be described by currents
and voltages and the network equations have the following
form
L1x = L2 y
(1)
VR 2
V2
The idea of a superposition theorem can be illustrated by using the simple network in Fig. 3 which contains two independent voltage sources.
Example. For determination of the voltage VR2 in this network, the best way is to replace both sources by one source,
V V1 V2, and to calculate VR2 by means of the well-known
rule of voltage dividers. On the other hand, the idea of superposition theorems suggests constructing two networks by setting all values of the voltage source (with the exception of
one) to zero. Using the rule of voltage dividers, the voltages
(2)
V(1)
R2 and VR2 are calculated and as a result of the superposition
(2)
theorem we have VR2 V(1)
R2 VR2 .
The approach in the last example can be generalized very
easily. We associate a number of test networks (corresponding to the number of independent sources) with the original
network by setting all sources except one to zero (i.e., current
sources I0 0 and voltage sources V0 0) and calculate the
desired network variable. The value of this variable in the
original network can be determined by a superposition
theorem.
Although superposition theorems can be very useful in network analysis, it should be kept in mind that they are generally not valid when considering power quantities (e.g., average power) as description variables. Commonly, they will not
be true even in linear time-invariant resistive networks. We
demonstrate this statement by means of the next example,
omitting the rather simple calculations.
Example. In Fig. 3 a linear resistive network that includes
two dc voltage sources V1 and V2 is shown. If the power of the
oneports is defined by P V I, the current I and the voltage VR2 are calculated under the condition that V2 is zero. The
power of R2 is P VR2 I. In the same manner P is calculated under the condition that V1 is zero; we obtain P
V R 2 I. But the superposition P P does not correspond
to the correct power P if both sources are included. In this
case, we have
(2)
P = (VR2
+ VR2
) (I + I )
R2
(3)
(VR2
+ VR2
I )+
(4)
(VR2
=: (P + P ) + PIA
where PIA is the interaction term.
+ VR2
I )
(5)
(6)
NETWORK THEOREMS
and A i = 0, B v = 0
Definitions
Although other network variables are possible (see e.g.,
Mathis (4, chap. 6) we restrict this discussion to currents and
voltages. Furthermore, we consider only Kirchhoff networks
that include the standard set of network elements (R, L, C,
independent and controlled sources as well as the Kirchhoff
connection element). In this case, the descriptive equations
are formulated as
Ai = 0, Bv = 0
(7)
dx
= g(x)
f(i, v, t) = 0, M(x)
dt
(8)
ABT = 0
Rk(A) + Rk(B ) = b
T
1
I0
V0
(9)
229
(10)
(11)
230
NETWORK THEOREMS
G(N)
N
v0
v0
v
vo c
i0
i i0
v v0
isc
Figure 5. (a) (i, v)-characteristic or graph G(N ) of a linear resistive affine oneport N , and (b) its elementary
circuit model.
(b)
i0
I
+ span
IRn IRn
V
v0
R=
(12)
I
V
(i0 , v0 ) G(N )
R=
where the reference point (i0, v0) may be any admissible pair.
Clearly, Eq. (13) is Ohms law for not necessarily passive N ,
and it has the obvious and most elementary circuit realization
shown in Fig. 5(b). From each of the three representations for
N [Eq. (13), Fig. 5(a), or (b)] the following facts are readily
seen:
(14)
voc
isc
(15)
i
v
(13)
v1 v0
i1 i0
span
(a)
i0
isc
R
voc
(a)
(b)
Figure 6. (a) Norton and (b) Thevenin equivalent circuits for a linear
resistive affine oneport.
NETWORK THEOREMS
i
v
N1
N2
i
(a)
N1
voc
N2
(b)
Figure 7. (a) Decomposition of a network into a purely linear-resistive affine oneport N 1 and a more general (possibly nonlinear,
time-varying and dynamic) remainder N 2. (b) Replacing N 1 by a volt 1 without independent sources.
age source and a oneport N
I
V
231
I
I
span
= span
R
V
232
NETWORK THEOREMS
1
I 1
=
I
R
V
v1 v01
i
= [H] 1 ,
i2 i02
v2
v1 v01
v2
= [A]
i1 i01
i2
(16)
I
V
v01
v01
i1
i1
i01
v1
v1
i2
i2
i02
v2
v2
(a)
(b)
pendent sources in the familiar way from simultaneous openand short-circuit measurements according to i1 v2 0. It is
instructive to verify that a chain-type circuit [Fig. 8(a) and
right part of Eq. (16)] would require a rather exotic measurement setup in order to determine for instance v01 v1i2v20.
Complex Variables. There is no limitation in using Laplace
transform and in applying the TheveninNorton theorem on
a complex variables basis for circuits which contain capacitors
and inductors. Because of initial values there may be additional sources that have to be treated as independent ones.
As a consequence, the characteristics of the equivalent current and voltage sources may depend on the initial values of
the internal inductors and capacitors. Moreover, when using
complex quantities, one implicitly works in sinusoidal steady
state when the complex variable s is replaced by j. Therefore, referring to a circuit decomposition as shown in Fig. 7,
not only N 1 but also the external circuitry in N 2 must be
linear and time invariant.
Darlington Theorem
Darlingtons theorem certainly is the most penetrating frequency domain result of classical network theory. It is not
usually presented outside specialized books on network synthesis [(1,18); see also (24 and 25)], since its derivation requires spectral factorization and, therefore, quite a lot of analytic function theory. However, in the present context, it is
essential to get an idea of what it is. In many cases, a spotfrequency version of the theorem may be sufficient.
The most elementary version of this theorem is for lumped
oneports that are passive and therefore have a positive real
rational input impedance Z(s) (i.e., the real part of Z(s) has
no zeros in the right-half plane and does not vanish identically on the real frequency axis s j).
Theorem (Darlington, 1939). Any positive real function
Z(s) may be realized as the input impedance of a lossless twoport terminated in a positive resistor R.
Clearly, one may choose R 1 by using an added ideal
transformer in the lossless twoport. Darlingtons theorem has
become generalized for multiports [see, e.g., Newcomb (26)
and Belevitch (1); for a correct form of the multiport-cascade
Darlington-realization of rational matrices, however, it is still
indicated to consult Ref. 27]. Extensions of the Darlington
theory to nonpassive devices have been demonstrated by Ball
and Helton (28). Furthermore, affine multiports N containing
independent sources may be treated as well, since Darlington
representation deals with the input impedance Z of N (with
all independent sources off ). For that reason only the direction space of the external behavior in terms of the slope or
angle operator Z is affected. Hence, a large class of linear
lumped multiports has a Darlington-type representation like
that in Fig. 9(b). In order to figure out the precise limitations,
it is useful to think of the equivalence of the Thevenin and
Darlington representations shown in Fig. 9 as a special case
of a lossless cascade transformation F between two Theve 0, Z) and (V0, Z) F (V
0, Z), where, in case of
nin circuits (V
the Darlington circuit, we have Z R diag(Ri). Adhering
to the familiar impedance coordinates and defining ReZ :
NETWORK THEOREMS
(a)
v01
v01
(b)
R1
v02
Lossless
four port
v02
R2
Figure 9. (a) Thevenin equivalent circuit for an affine passive twoport with impedance matrix Z and (b) its Darlington equivalent twoport with real positive resistors R1 and R2.
Re{Z(j)} = T Re{Z(j)}T
(17)
J = diag(1)
(18)
has a solution. When fixing a particular frequency, the problem boils down to the congruence diagonalization of constant
indefinite Hermitian matrices; hence, standard linear algebra
software packages may be used to determine spot-frequency
equivalent circuits as they are widely used in noise analysis
(29). In network synthesis and modeling of stationary stochastic processes (30), one is interested in circuits that are continuously valid for all frequencies, that is, one looks for a rational matrix T(s) that in addition to Eq. (17) fulfills certain
analyticity requirements. In case of a passive impedance
Z(s), all resistors Ri are positive; hence we have J 1. Solutions of ReZ(j) T(j)T*(j) may be found by classical
spectral or Wiener-Hopf factorization.
In case of an indefinite matrix J it should be intuitively
clear that Eq. (17) does not have a solution when the inertia
of ReZ(j) varies with j: One cannot imagine a lossless 2nport that transforms positive resistors into negative ones. In
fact, it is known in mathematics that this condition is necessary and sufficient to solve Hermitian factorization problems
of this kind (28,31); as a result, continuous-frequency Darlington equivalents exist only in case of constant inertia of the
Hermitian matrix ReZ(j). In this case, Eq. (17) can be
solved by J-spectral factorization; for this reason, this extension of the classical theorem is also called a J-Darlington
theorem (28).
233
234
NETWORK THEOREMS
N1
N2
Figure 10. Decomposition of a resistive network into oneport networks N 1 and N 2. The electrical behavior at the common port is completely determined by i(t) and u(t).
N2
N1
v
Figure 11. Uniqueness of intersection for a decomposition according
to Fig. 10 when N 1 is a nonlinear resistor and N 2 is a linear affine
oneport (an independent voltage source in series with a linear resistor).
NETWORK THEOREMS
were published, a more complete version was presented recently by Rathore (43). Based on the connections of twoports
using parallel (P) or/and series (S) connections, Rathore
showed that the different versions of Millers theorem can be
derived in a unique manner. The four corresponding connections of twoports are denoted by PP, PS, SP, SS if P or S is
the type of connection of the input and output ports of both
twoports. In each case, one of the twoports includes a controlled source that depends on the kind of connection,
whereas the other twoport is arbitrary. By means of an equivalent network of the latter twoport that includes controlled
voltage or current sources and the corresponding gain (PP or
SS) or transfer impedance or admittance (SP or PS), we obtain the Miller equivalent network. Note that the first twoport can be fully characterized by its voltage or current gain.
If we have PP connection, the first twoport consists only of a
single impedance Z and the gain of the second twoport is
U2 /U1. This will be represented in the Miller equivalent
network by means of input and output impedances with the
following values:
Z1 = Z
1
1
and Z2 = Z
(19)
R j Rk
(20)
R1 + R2 + R3
Z
Linear
two-port
(a)
Z1
Linear
two-port
Z2
(b)
Figure 12. The decomposition of the impedance Z by the Miller theorem into Z1 and Z2.
235
R3
R2
R1
R1 R2
R3
Figure 13. A simple replacement of a delta-type resistor network
(without tilde) into a wye-type resistor network (with tilde).
236
NETWORK THEOREMS
(v 1 i1 v1 i1 ) + (v 2 i2 v2 i2 ) +
X
b
(v k ik vk ik ) = 0
(21)
k=3
where b is the number of branches of the network (including the two ports or norators). Using the special properties
of the two associated networks and reciprocity with respect
to these ports, the following condition for the twoport network arises
X
b
(v k ik vk ik )
(22)
k=3
where the sum encompasses all nonport branches of the network. In contrast to the external definition, this relation is
based on internal quantities only. Therefore an internal characterization of reciprocity is given. In the case of linear time-
(v k ik + vk ik ) =
ports
(v k ik vk ik )
(23)
internal branches
where the reciprocity of the n-port network results in the vanishing of the left side (external condition) or the right side
(internal condition). In the case of linear reciprocal n-ports,
reciprocity can be characterized by means of certain invariant
properties of the n-port matrices. For example, the impedance
and the admittance matrices have to be symmetric. For further details, see Balabanian, Bickart, and Seshu (55). These
invariant properties are formulated in a different manner if
different types of excitations (different n-port matrices) are
considered. Fortunately, a geometric characterization is available to unify these different formulations. In the case of linear
time-invariant n-ports, the external behavior is characterized
by a totally isotropic linear space. A more general characterization of n-ports, including the nonlinear n-ports based on
paper of Brayton and Moser (48), was generalized by Brayton
(56) and Chua, Matsumoto, and Ichiraku (57); see also the
monograph of Mathis (4). The main idea behind this approach
is that the reciprocity of an n-port is characterized by a 2form (in the sense of Cartan)
dik dvk
(24)
ports
that vanishes on the set of all admissible currents and voltages. If this 2-form is represented in a suitable coordinate
system, one of the classical characterizations of reciprocity
can be derived. In this sense, we speak of a geometrical formulation of the internal representation of reciprocity.
It is well known that linear reciprocal n-ports can be analyzed in a simplified manner using the symmetry of the nport matrices. In this case, only half of the nondiagonal coefficients have to be calculated. An elegant formulation of the
dynamic state space equations of linear or nonlinear RLC networks can be derived if the network is reciprocal and complete (see, e.g., Weiss and Mathis (58) for recent results).
Based on these conditions, Brayton and Moser (48) proved
that a scalar function P(vC, iL) exists (where (vC and iL are the
voltages of the capacitors and currents of the inductors, respectively) that can be used to formulate the dynamic equations
C(vC )
P
dvC
=
dt
vC
(25)
L(iL )
P
diL
=
dt
iL
(26)
NETWORK THEOREMS
INTERRECIPROCITY
Unfortunately, many interesting networks are not included
in the class of reciprocal networks. Therefore Bordewijk (60)
introduced a new property in 1956 that extends the reciprocity in some sense. In order to define the reciprocity of a network including norators (ports), a family of networks was
generated where the norators are replaced by different
excitations (independent sources) as well as open and short
circuits. It is assumed that the connection element and the
other network elements are not changed.
Bordewijk assumed the external condition of reciprocity
(v k ik + vk ik ) = 0
(27)
ports
= ZT
Z
(28)
237
238
NETWORK THEOREMS
Figure 15. The corresponding dual RLC network (see Fig. 14).
Ru networks
R networks
Nonplanar
N
. . .
Planar
NETWORK THEOREMS
symmetric network elements (e.g., npn and pnp bipolar transistors). An example is the push-pull transistor amplifier.
A fundamental symmetry of network equations as well as
other physical descriptive equations is the balance of physical
dimensions in addition to the numerical values of an equation. It is known that in the dimensional theory multiparameter Lie groups can be helpful. An overview of dimensional theory with its applications is given by Mathis (72). The method
of normalized linear networks that is useful in filter design is
a simple example of dimensional theory. In general, normalized equations include a number of dimensionless constants
that can be determined by dimensional theory in a systematic
manner. Mathis showed that different normalized representations of nonlinear network equations are very useful if the
singular perturbation theory is applied (72). A certain representation determines the initial solution for the perturbation
series.
BIBLIOGRAPHY
239
28. J. Ball and J. W. Helton, Lie groups over the field of rational
functions, signed spectral factorization, signed interpolation, and
amplifier design, J. Operator Theory, 8: 1964, 1982.
29. H. A. Haus and R. B. Adler, Canonical form of linear noisy networks, IRE Trans. Circuit Theory, 5: 161167, 1958.
30. P. Dewilde, A. Vieira, and T. Kailath, On a generalized SzegoLevinson realization algorithm for optimal linear predictors
based on a network synthesis approach, IEEE Trans. Circuits
Syst., 25: 663675, 1978.
31. A. M. Nikolaichuk and I. M. Spitkovskii, Factorization of Hermitian matrix-functions and their application to boundary value
problems, Ukrainian Math. J., 27: 629639, 1975.
32. D. C. Youla, On scattering matrices normalized to complex port
numbers, Proc. IRE, 49: 1221, 1961.
33. D. C. Youla, An extension to the concept of scattering matrix,
IEEE Trans. Circuit Theory, 11: 310311, 1964.
34. R. A. Rohrer, The scattering matrix: Normalized to complex nport load networks, IEEE Trans. Circuit Theory, 12: 223230,
1965.
35. R. Pauli, Darlingtons theorem and complex normalization, Int.
J. Circuit Theory Appl., 17: 429446, 1989.
36. W. Cauer, Topologische dualitatssatze und reziprozitatstheoreme
der schaltungstheorie, Zeitschr. Angew. Math. Mech., 14: 349
350, 1934.
ber das ersatzschema der verstarkerrohre, Tele37. H. F. Mayer, U
gr.u.Fernsprechtech., 15: 325327, 1926.
14. H. Hausrath, Die Untersuchung elektrischer Systeme auf Grundlage der Superpositionsprinzipien, Berlin: Verlagsbuchhandlung Julius Springer, 1907.
240
44. Herzog and Feldmann, Die Berechnung elektrischer Leitungsnetze in Theorie und Praxis. Berlin: 1903.
WOLFGANG MATHIS
University of Magdeburg
RAINER PAULI
Technical University of Munich
462
that a chaos like signal y(t) enters the receiver. The receiver,
which will be explained further, extracts by a suitable procedure the information signal from y(t). This produces a signal
s(t) that should be as accurate as possible a copy of the original information signal s(t). Before going into details, we
briefly list the advantages of chaos when properly used in
communication systems.
1. Deterministic chaotic systems produce deterministic
signals which look like noise and are wideband signals.
2. Two exact copies of a chaotic system, when started with
infinitely small different initial conditions, produce decorrelated output signals after a short transient. In
other words, it is said that one manifestation of chaos is
the property of extreme sensitivity to initial conditions.
3. Very simple systems can be designed in such a way that
they behave chaotically.
4. Certain classes of chaotic oscillators can be shown to be
synchronizable.
5. Determinism in chaotic signals can be exploited to enhance these signals when they are corrupted by noise.
s(t)
Information
signal
Chaotic
system
(transmitter)
Channel
y(t)
^
y (t)
Modulated
chaotic signal
Received
signal
Receiver
^s(t)
Retrieved
information
signal
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
s1(nT )
y1(nT )
x1(nT )
^s (n k)T )
1
Information
detection
463
x1((n k)T )
Chaotic system 1
x1(0)
Delay
kT
y(nT )
Chaotic system 1
Transmitter 1
Noise
Channel
s2(nT )
Receiver 1
y2(nT )
x2(nT )
^s ((n k)T )
2
Information
detection
x2((n k)T )
Chaotic system 1
Chaotic system 2
x2(0)
Transmitter 2
Receiver 2
Figure 2. Chaotic modulation and demodulation of two information signals sent through the
same channel.
erty 3, the chaotic system does not have to be very complicated. This is the case in the example, in which the oscillator
is a skew tent map system that is described in Fig. 3. The
parameter a of the skew tent map is chosen in such a way
that the system operates in a chaotic regime (0 1).
For each bit of information to be sent to the channel a signal
sequence of 500 iterations of the skew tent map system multiplies a constant signal whose value is 1 or 1 according to
the value of the bit we want to transmit 0 or 1. This principle
of modulation is shown in Fig. 4. The receiver oscillators are
supposed to be synchronized by adjusting their delay to that
of the channel for their corresponding signals of interest.
Therefore in this (academic) example we have chosen to detect the information signal by measuring a filtered version of
a sliding correlation function. Ideally the demodulation
should provide a positive constant C when a bit 1 is transmitted while giving us C when a 0 is transmitted. The communication noise in the example has been adjusted in such a
way that its power is equal to that of y1(n). Despite the consec-
1.5
s1(n)
x1(n)
y1(n)
1
s1(n), x1(n), y1(n)
x(n)
+1
0.5
0
0.5
1
1.5
+1
x(n 1)
1000
2000
3000
Time
4000
5000
6000
464
2000
4000
6000
8000
10000
Time
dition in oscillator state-space. Although certainly not impossible in theory, this operation of identification would require
in general a great amount of computation time for highdimensional chaotic systems.
We have assumed until now that the transmitter and the
receiver are synchronized, and this synchronization can be attained in different ways ranging from absolute time measurement to periodic transmission of predefined synchronizing sequences. The illustrative example presupposes that both the
transmitter and the receiver use two exact versions of a digital chaotic system and use the same rounded initial conditions in state-space; otherwise synchronization would fail.
What happens if we are unable to build an accurate copy of
the transmitter? For instance, if we decide to modulate a chaotic analog system, would we be able to retrieve the modulating signal? Since any analog implementation of an oscillator
would mean that we are able to replicate parameter values
and initial conditions in a range of 1% to 5%, it seems a priori
impossible to retrieve the information. The purpose of this
article is to show that in certain circumstances it is, however,
possible to synchronize even imperfect copies of chaotic oscil-
1.5
1
0.5
0
0.5
1
1.5
0
2000
4000
6000
8000
10000
Time
Slave system
Master system
y(t)
^
y (t)
= Ax(t) + bu (t)
x(t)
1
A is stable
y(t)
X(t) = [x(t), y(t)]
x1(t)
^
x^(t) = Ax(t)
+ bu1(t)
465
^ (t)
x
1
x(t)
u1(t)
Figure 8. Decomposition of the system as an interaction between
two subsystems.
u1(t)
f( )
f( )
when t
(1)
where F : Rn+1 R n
(2)
dyy
= F (xx1 , y),
dt
where G : R m+1 R m
(3)
y(t)
y1(t)
x1(t)
x(t)
y(t)
y^1(t)
^
x(t)
y1(t)
dx
= Ax + b u1
dt
(4)
u1 = f (x1 ),
u1 = f (x1 )
(5)
dv1
1
= (v2 v1 ) g(v1 )
dt
R
(6)
C2
dv2
1
= (v2 v1 ) + iL
dt
R
(7)
diL
= v2
dt
(8)
x^1(t)
v1(t)
iL(t)
NR
C1
C2
v2(t)
466
IR
G1
1.5
1
0.5
vR
Bp
G0
vC1
+Bp
0
0.5
1
1
G1
1
Figure 12. Nonlinear characteristic in Chuas circuit.
1.5
0
2000
4000
6000
8000
10000
Time
4
3
Figure 14. Behavior of v1(t) for the Chuas circuit operating in chaotic mode.
(9)
y(t) = c Tx (t)
(10)
dx
= f (x ) + k e(t)
dt
(11)
y(t)
= c T (x )
(12)
(13)
If both the master and the slave had agreed to start from the
same initial conditions, then at all times we have x(t) x(t),
y(t) y(t), and e(t) 0. With no constraints on initial conditions, the slave system may or may not synchronize and the
synchronization has to be studied case by case. In some instances, conditions on the coupling matrix k that ensures synchronization can be derived by using Lyapounov functions (4).
2
iL
1
0
iL(t)
v1(t)
3
4
3
C2
0
vC1
v^ 2(t)
y(n)
R
v^ 1(t)
NR
v^ 2(t)
C1
x1(n)
x^ 1(n)
x2(n)
x^ 2(n)
xN(n)
x^ N(n)
T
s(n)
f(s, x)
T
T
f 1(x, y)
^s(n)
467
if k N
(14)
i(t)
x(t)
+
y(t)
^
x(t)
+
e(t)
^
y(t)
i(t)
v(t)
Nonlinear
dynamical
1-port
v(t)
Nonlinear
dynamical
1-port
468
i(t)
^
i1(t)
i1(t)
R
^
v1(t)
i(t)
NR
C1
dvC (t)
1
dt
dvC (t)
2
dt
diL (t)
1
dt
Transmitter
1
(v (t) vC (t)) h (vC (t))
1
1
R C2
Chaotic
system
^
y(t)
^
s(t)
(16)
= vC (t)
(17)
p
Chaotic
system
^
y(t)
p
Chaotic
system
^
y(t)
e(t)
+
y(t)
Chaotic system
Receiver
(15)
1
(v (t) vC (t)) + iL (t)
1
1
R C2
y(t)
s(t)
C2
i2(t)
y(t)
NR
C1
i2(t)
take place only approximately. However, if the synchronization error is small with respect to s(t), the latter can be approximately retrieved by subtraction (see Fig. 21). This is the
case if the signal s(t) is small with respect to y(t) and/or if the
spectra of the two signals do not overlap too much. Both of
these requirements can apparently be relaxed (9). However,
if the purpose of using a chaotic signal for transmission is to
hide the information, s(t) should not be large. Therefore, it
can be expected that the method is sensitive to channel noise.
Indeed, additive noise cannot be distinguished from s(t) by
the setup of Fig. 21, and it has to be eliminated at a later
stage. This is a difficult, if not impossible, task if the amplitude of s(t) is not large with respect to the noise level.
Chaotic
system
v(t)
C2
e(t)
+
469
+
L1
vC2
C2
iR
NR
vR
vC1
C1
vC1
iL1
vC21
C2
iL2
Transmitter
Figure 23. Transmitter for binary chaotic shift keying using Chuas
circuit. Chaotic signal vC1 is transmitted.
C2
dvC (t)
21
dt
diL (t)
2
dt
1
(v (t) vC (t)) + iL (t)
2
21
R C1
(18)
= vC (t)
(19)
21
dvC (t)
12
dt
dvC (t)
12
dt
1
(v (t) vC (t)) h+ (vC (t))
12
12
R C 21
(20)
1
(v (t) vC ) h (vC (t))
12
12
R C 21
(21)
IR
G1
+Bp
R
vR
Bp
G0
1
vC21
G1
1
Figure 24. Three-segment piecewise-linear function. The inner region has slope G0; the outer regions have slopes G1.
NR
C1
vC12
470
0.8
vC21
NR
C1
0.6
vC12
0.4
0.2
VC12
0.2
0.4
0.6
0.83
0
V C1
Figure 29. Double-scroll attractor in the phase plane vC1(t), vC2(t) during modulation.
1.5
0.5
0.5
3
10
15
20
25
Time (ms)
30
35
1.5
40
Message
vC1
471
3
2
2
1
VC12
VC12
0
1
1
3
3
3
3
0
V C1
0
V C1
2
3
1
VC12
VC12
0
1
2
2
3
3
0
V C1
3
3
0
V C1
472
0.6
PSK Wave
Detected PSK Wave
0.4
0.2
c(t) =
n=+
cn p(t nTc )
(22)
n=
0.2
0.4
Tb Tc
10
(23)
Time (ms)
Figure 34. Original and retrieved information signal, for direct modulation with Saitos circuit ( PSK wave; detected PSK
wave) (From Ref. 16.)
m(kT
b) =
(24)
kTb
(k1)Tb
(25)
Vr (V)
5
0
4
6
Time (ms)
10
473
n(t)
v(t)
m(t)
Delay
X
c(t)
1
Tb
^ )
m(t
Decision
unit
t Tb
c(t )
Channel
Transmitter
Receiver
We should add to this list one major difference: these sequences are nonbinary sequences. This property and the fact
that these sequences are produced by deterministic systems
can be used to clean the chaotic sequencesthat is, to get rid
of the noise by checking the deterministic constraints between
consecutive points of the sequence. This property will be exploited in the section entitled Improving Chaos Transmission
by Exploiting the Deterministic Feature of Chaos.
Example. Here is a system proposed in Ref. 17 in which
both the emitter and the receiver have agreed to use two chaotic systems. A first digital chaotic system has a clock rate
1/Tb while the second has a clock rate 1/Tc. The first system
implements a one-dimensional chaotic map xn1 C1(xn, r1),
where r1 is a bifurcation parameter; the second one implements also a one-dimensional chaotic map yn1 C2(yn, r2)
with bifurcation parameter r2. The chaotic maps and their bifurcation parameters may or may not be the same, and their
uniqueness among the different pairs of transmitters and receivers is not necessary.
The two chaotic systems are associated in such a way that
the first chaotic system forces periodically the second one by
imposing the initial condition of the second system at the
starting time of each bit. Let N be the number of chips per
bit, that is, N Tb /Tc.
yn+1 = C2 (yn , r2 )
if n modulo N = 0
(26)
yn+1 = C1 (ynN+1, r1 )
if n modulo N = 0 and N = 0
(27)
C1()
C1()
y2
y3
Bit 1
C2()
yN
Bit 2
C2()
y2N
C2()
Bit 3
Figure 37. Illustration of the proposed method of generating the chaotic spreading sequences.
y3N
474
s1 (t) =
x(t)
x t
Tb
2
T
Tb
t < (2k + 1) b
2
2
Tb
Tb
t < (2k + 2)
(2k + 1)
2
2
The main disadvantage of DCSK results from differential coding: Eb is doubled and the symbol rate is halved.
(2k)
(28)
s0 (t) =
x(t)
x t
Tb
2
T
Tb
t < (2k + 1) b
2
2
Tb
Tb
t < (2k + 2)
(2k + 1)
2
2
(2k)
(29)
The reference part of the transmitted signal is the inherently
nonperiodic output signal of the chaotic generator. A block
diagram of a differential chaos shift keying (DCSK) demodulator is shown in Fig. 38. The received noisy signal is delayed
with the half symbol duration T Tb /2, and the cross-correlation between the received signal and the delayed copy of itself
is determined. The cross-correlation of the reference and information-bearing sample signals is estimated from signals of
finite duration and therefore this estimation has a variance,
even in the noise-free case. The variance can be reduced by
increasing the estimation time (i.e., the symbol duration), but
of course a larger estimation time results in a lower data rate.
Reference 20 gives an example in which an analog chaotic
phase lock loop is used in a DCSK framework. The authors
give some indication about how the optimum value of the estimation time could be determined experimentally. They show
from simulations that the noise performance of a DSCK communication system in terms of bit error ratio (BER) versus
Eb /N0 (Eb is the energy per bit and N0 is the power spectral
density of the noise introduced in the channel) outperforms
the BER of a standard CSK system. The DCSK technique offers different advantages:
Because synchronization is not required, a DCSK receiver can be implemented using very simple circuitry.
Demodulation is very robust and as in noncoherent receivers, problems such as loss of synchronization and imperfect recovery of basis functions do not arise.
DCSK is not as sensitive to channel distorsion as coherent methods since both the reference and the information-bearing signal pass through the same channel.
Decision
circuit
Correlator
s^ i
zi
ri(t) = si(t) + n(t)
T
0
dt
Decoder
T
Threshold
Delay
T
bk
central limit theorem, the variance of the estimate of this correlation function varies as the inverse of the number of samples used. In order to decrease this variance we could use the
dynamical constraints that are imposed by the emitter system, the dynamics of which are assumed to be known by the
receiver. The basic problem we have to deal with is a problem
of noise reduction in which a deterministic signal (the chaotic
one) is corrupted by a noise time series which is considered
to be a realization of a stochastic process. There are different
methods which have been developed to solve the problem of
the decontamination of chaotic signals. We will give details in
the following, a simple approach which is inspired from the
work of Ref. 21 in taking from a more sophisticated method
developed by Farmer and Sidorowich (22).
We are given an M-dimensional system described by the
difference equation
x n+1 = f (xx n )
(30)
(31)
n = 1...N
(32)
stance,
C2 (x ) =
f (x n ) x n+1 2
C1 (x , y ) =
x n y n 2
(33)
n=1
C1 (x , y ) = 1
N
T
n yn
n=1 x
N
n 2
n=1 x
(34)
N
y n 2
n=1 y
(35)
n=1
(36)
(37)
x = x
x
x =x (i1 )
Provided that is chosen small enough, the gradient method
will converge to a local minima of the cost function [Eq. (37)].
Applying the method for the cost function given in Eq. (37)
with 1, we obtain for the gradient method
my (i1)
m
m
y
m
x
(i)
(i1)
x y 1
xy
+
x 1 = x 1
mx 1
mx my
(i1)
475
(i)
x n
(i1)
(i1)
D f (x 1
)( f (x 1
) x 2
)]
+ [2D
my (i1)
x
mx my y n mxy
(i1)
= x n
+
mx n
mx my
+
(i1)
2[( f (x n1 )
(i1)
x n )
(38)
(i1)
(i1)
D f (x n )( f (x n )
(i1)
x n+1 )],
(i)
x N
n = 2...N 1
my (i1)
x
mx my y N mxy
(i1)
= x N
+
mx N
mx my
(i1)
(i1)
+ [2( f (x N1 ) x N
)]
80
70
SNR on x1 after cleaning
476
60
50
40
30
20
10
10
20
30
40
50
SNR on x1 before cleaning
60
70
(39)
x2,n+1 = 0.3x1,n
(40)
1. L. M. Pecora and T. L. Carroll, Synchronization in chaotic systems, Phys. Rev. Lett., 64: 821824, 1990.
Figure 39 shows the performances of the noise reduction algorithm when applied to the the state of the map. The number
of points was set to N 200.
SUMMARY
We have given an overview of the different methods which
allow the transmission of information with chaotic carriers.
We have higlighted that chaotic carriers could be of great interest in communication applications in which one needs to
spread the information and/or desires some level of secrecy.
The demodulation of the information can be achieved in different ways. Synchronization can be one of these ways if one
wants to develop coherent receivers. Until now, synchroniza-
3. R. Genesio, A. Tesi, and A. De Angeli, Self-synchronizing continuous and discrete chaotic systems: Stability and dynamic performance analysis of several schemes, Int. J. Electron., 79: 755
766, 1995.
4. G. Chen and X. Dong, Controlled Chuas circuit, J. Circuits, Syst.
Comput., 3: 139149, 1993.
5. U. Feldmann, M. Hasler, and W. Schwartz, Communication by
chaotic signals: The inverse system approach, Int. J. Circuit Theory Appl., 24: 551579, 1996.
6. D. R. Frey, Chaotic digital encoding: An approach to secure communication, IEEE Trans. Circuits Syst. II, 40: 660666, 1993.
HERVE DEDIEU
Reading List
H. D. I. Abarbanel, Analysis of Observed Chaotic Data, New York:
Springer Verlag, 1996.
G. Chen and X. Dong, From chaos to order: Perspectives and methodologies in controlling nonlinear dynamical systems, Int. J. Bifurc.
Chaos, 3: 13431389, 1993.
M. Hasler, Engineering chaos for encryption and broadband communication, Philos. Trans. R. Soc. London A., 353: 115126, 1995.
M. Hasler, Synchronization Principles and Applications, in C. Toumazou, N. Battersby, and S. Porta (eds.), Circuits and Systems Tutorials, New York: IEEE Press, 1994, pp. 314327.
S. Hayes, C. Grebogi, and E. Ott, Communicating with chaos, Phys.
Rev. Lett., 70: 30313034, 1993.
477
500
FILTER SYNTHESIS
FILTER SYNTHESIS
AN OVERVIEW OF CLASSICAL FILTERS
Electrical filters are, as a rule, lossless two-ports embedded
in resistances R1 and R2, as shown in Fig. 1. A lossless twoport may only contain inductors, capacitors, and ideal transformers. The filters allow a band of the input frequencies to
pass with only a small attenuation while all remaining fre-
R1
V0
I1
V1
I2
Lossless
two-port
V2
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
R2
FILTER SYNTHESIS
|F *( j )|2
(1)
q2
q3
2
1. F*(p) is a rational function in p, real valued for realvalued p; as a consequence, the coefficients in F*(p) are
real valued if the numerator and the denominator of
F*(p) do not contain a common complex factor.
2. Stability requires the poles of F*(p) to lie in Re p 0
and the degree of the numerator not to exceed the degree of the denominator. The denominator is hence a
Hurwitz polynomial.
3. The numerator is either an even or an odd polynomial
in p if common factors in the numerator and the denominator are not cancelled.
4. The maximum power available at the output reveals the
upper bound (Feldtkeller condition).
|F ( j)|
1
2
1
R2
=
R1
q
*c
R1 Z1 ( j)
R1 + Z1 ( j)
(3)
as
|F ( j)|2 =
R2
(1 |S11 ( j)|2 )
4R1
(4)
(5)
will be used.
The insertion loss (4),
20 log
R2
R1 + R2
V0 ( j)
P0
V ( j) = 10 log P
2
2
(6)
|F *( j )|2
a
a
2
(2)
A given F*(p) meeting these requirements is always realizable by a lossless two-port embedded in R1 and R2. F*( j)2
501
*c
Figure 3. High-pass filter.
502
FILTER SYNTHESIS
|F * ( j )|2
R1
V0
V1
R2
V2
h(t) =
+ j
j
F (p)e pt d p
a(t) =
h( )d
0
r(p)
F (p) = k
r(p)
|F *( j )|2
FILTER SYNTHESIS
503
Table 1(a). Overshoot and Rise Time of Butterworth Lowpasses with F *( p) of Degree n 1 to 7.
|F *( j )|2
F *2(0)
F *2(0)
2
*c
Overshoot, In %
1
2
3
4
5
6
7
0
4.32
8.15
10.83
12.78
14.25
15.41
1.06
1.50
1.80
2.03
2.22
2.38
2.51
Table 1(b) contains values for overshoot and rise time of a()
for n 1 to 7 (10). The rise time for n 7 is according to
Table 1(e) t 1.221
c , the smallest value of all low-passes
listed in Table 1(e).
Chebyshev Low-passes
Chebyshev low-passes (Fig. 2) possess a magnitude oscillating
between two constant boundaries in the passband, where
each extremum touches the boundaries. This is called an
equiripple, or a Chebyshev behavior in the passband. K*(p)
1/F*(p) is a polynomial. The larger the ripple a2 in Fig. 2
the steeper is the decay of F*( j)2 in the transition region
from the passband into the stopband. From all polynomials
this decay is steepest. However, independent of a2 the decay
at large s is again n 20 dB/decade. The step response
a()/a() for n 7 in Fig. 8 (10) exhibits the third largest
overshoot over a(); however, the oscillations around a() decay rather rapidly. According to Table 1(e) the value for the
overshoot is 12.7%, whereas the rise time is t 3.41
c , the
2nd largest value in Table 1(e). Overshoot and rise time of
a() for n 1 to 7 are listed in Table 1(c) (10).
Cauer Filters as Low-passes
Elliptic filters or Cauer filters (12) (Fig. 9) are low-passes exhibiting an equiripple behavior both in the passband and in
the stopband. They arebased on elliptic integrals which is
why they are also called elliptic filters. K*(p) 1/F*(p) is a
rational function in p. The step response of the Cauer lowpasses for n 7 in Fig. 8 exhibits the second largest overshoot and only slowly decaying oscillations around a() (10).
Overshoot and rise time for n 1 to 7 are listed in Table
a( )
a()
1.2
1.0
0.8
Butterworth
0.6
Chebsyshev
Cauer (elliptic)
0.4
Bessel (Thomson)
0.2
0.0
0
10
20
30
40
50
= t c
Overshoot, In %
1
2
3
4
5
6
7
0
0.43
0.75
0.84
0.77
0.64
0.49
1.06
1.21
1.25
1.25
1.24
1.23
1.22
504
FILTER SYNTHESIS
Table 1(d). Overshoot and Rise Time of Cauer Low-passes
with F *( p) of Degree n 1 to 7.
Table 1(c). Overshoot and Rise Time of Chebyshev Lowpasses with F *( p) of Degree n 1 to 7.
n
Overshoot, in %
Overshoot, in %
1
2
3
4
5
6
7
0
14.0
6.82
21.2
10.7
24.25
12.68
1.06
1.59
2.36
2.45
2.98
2.95
3.40
1
2
3
4
5
6
7
0
14.0
7.10
22.2
12.2
25.9
13.72
1.06
1.59
2.38
2.57
3.23
3.31
3.81
(7a)
(7b)
and
CR1 =
cCR1 = c
c
(7c)
where
=
c L
R1
(8a)
and
c = cCR1
(8b)
Figure 9. A Cauer low-pass (elliptic filter) with equiripple in passband and stopband; s is end of transition region.
Overshoot, in %
0.49
15.4
12.7
13.7
1.22
2.51
3.40
3.81
Thomson
Butterworth
Chebyshev
Cauer
Normalization as in Table 1(a).
FILTER SYNTHESIS
505
R1
l
c
1
c
c R1
V0
= K ( j) = K j c = K ( jc ) = K( j)
V2
c
1 x
1
1
(a)
Tm (x)
m=4
m=5
1
(b)
Figure 10. (a) A Chebyshev polynomial y(x). (b) The Chebyshev polynomial of fourth and fifth degree m.
and hence
V0
= K (p) = K(s)
V2
The synthesis follows the steps as listed and explained here:
1. The given tolerance scheme for K( j)2 P() is approximated by a realizable
|K( j)|2 q2 = 4
R1
R2
(9)
506
FILTER SYNTHESIS
Tm (x) = y = cos m
(11a)
(11b)
Tm (x) = y = cosh m
(12a)
(12b)
and
q2
and
2
Tm
( )
Tm ( )
and
Figure 11. The square of the magnitude K( j)2 of a Chebyshev lowpass with K( j)2 e2T 2m() q2.
dy
dx
dy 2
dx
(13)
(10a)
(14)
or
m2 ( y2 1) =
yields
2
(1 x2 )
1
(cos(m 1) cos(m + 1) )
2
(x2 1)
(10b)
and
a() = 10 log |K( j)|2 20[m log + (m 1) log 2 + log ]
(16)
(15)
T2(x)
T3(x)
T4(x)
T5(x)
T6(x)
T7(x)
T8(x)
T9(x)
T10(x)
T11(x)
2x2 1
4x3 3x
8x4 8x2 1
16x5 20x3 5x
32x6 48x4 18x2 1
64x7 112x5 56x3 7x
128x8 256x6 160x4 32x2 1
256x9 576x7 432x5 120x3 9x
512x10 1280x8 1120x6 400x4 50x2 1
1024x11 2816x9 2816x7 1232x5 220x3 11x
FILTER SYNTHESIS
507
;; ;;
;
;; ;;;
;;
a()
A min
(22a)
A max
A0
1 s
10 log q2 = A0
(17)
and hence
q2 = 10A 0 /10
Q(s) = q2 + 2 Tm2
s
j
=0
(22b)
or
Tm2
q 2
s
=
j
(23)
and
s
= cos
j
(24)
10 log(q2 + 2 ) = Amax
(18)
and hence
cos m1 cosh m2 = 0
sin m1 sinh m2 =
q
and
j Im s
and hence
1
10A min /10 10A 0 /10
m=
arcosh
arcosh s
10A max /10 10A 0 /10
(19)
si
sk
si
si
sk
Re s
s i
508
FILTER SYNTHESIS
A11
A21
A=
A12
A22
!
(29)
with
V1
I1
sinh 2
or
2v + 1
m 2
= 0, 1, 2 . . . 2m 1
V
=A 2
I2
!
(30)
1 =
(26a)
with q = 2
and
2 =
q
1
arsinh
m
(26b)
A11 +
sinh 2
cosh 2
= sin 1 + cos 1 = 1
2
m
(s si )
1
(K(s) f (s))
2
(32b)
(A22 + R2 A21 ) =
(28a)
i=1
or
1
F (s) =
m
2m1
(s si )
(32a)
(27)
A12
1
= (K(s) + f (s))
R2
2
and
q 2
2
(31)
(26c)
R1
R2
The term q is explained in Eq. (2). With an unknown characteristic function f(s), we obtain
q 2
(28b)
i1
represents the solution for the desired K(s) and F(s) with the
m zeros of Eq. (22b) and the coefficient of the leading term
stemming from the Chebyshev polynomial in Eqs. (14) and
(15).
2
q 2
2
1
(K(s) +
4
1
= (K(s) +
4
1
= (K(s)
4
1
= (K(s)
4
A11 =
(33a)
A12
R2
(33b)
(33c)
(33d)
A22
R2 A21
q 2
2
A22
q 2
A12 q 2
R2 A21 =
R2 2
2
FILTER SYNTHESIS
(34)
2 + 1
m 2
(36a)
and
2 = 0
(36b)
2 + 1
m 2
= 0, 1 . . . 2m 1 and hence k = 1, 2 . . . 2m
sk = j cos 1 = j cos
(37a)
These zeros on the imaginary axis are double as demonstrated in Fig. 14 for m 3.
Finally, from Eq. (35) we obtain
f (s) = 2m1
m
(s sk )
k=1
where sk are half the zeros in Eq. (35) and where a single zero
is taken from each location.
Now the elements Aik of the chain matrix can be calculated
using Eqs. (33a) through (33d). There are four possibilities to
calculate A depending on the selection of the signs for K(s)
and f(s).
509
Development of an LC Two-Port. Starting with a chain matrix A with known elements Aik, the steps leading to an LC
two-port embedded in the resistances R1 and R2 in Fig. 1 will
be given and explained. The basic concept is the development
of an LC reactance function into an LC circuit in such a way
that the poles of K(s) are realized. The poles of K(s) in Eq.
(31) are the zeros of the denominator and the n m poles at
s that occur if the degree n of the numerator exceeds the
degree m of the denominator, manifested by n m 0. For
an all-pole filter, K(s) is a polynomial where all poles lie at
s . The Chebyshev filter is an example of an all-pole filter
as well as the Butterworth filter or the Thomson filter, which
are treated later.
The development of A into an LC two-port starts with the
selection of an element AikL with the largest degree in s. If
there is more than one such element any one may be chosen,
yielding different solutions with the same inverse transfer
function K(s). Then we form the ratio D AikL /AikN or the inverse D AikN /AikL, where AikN is the element horizontally or
vertically next to AikL. The ratios are LC two-terminal functions. There are four possibilities to form them depending on
the selection of the neighbor to AikL. Together with the four
possible chain matrices, we are at this point already faced
with at least 16 possible LC one-ports, with every one ensuring an equivalent solution.
The ratios may represent either an input or an output driving point impedance function with a short circuit or an open
circuit at the receiving end. The short circuit or the open circuit is later replaced either by the load R2 or the input voltage V0 with the resistance R1 depending on the physical
meaning of the two-terminal function.
The development of the LC driving point impedance function is based on a modified continued fraction expansion
(16a,16b) with partial pole removals (1820) only allowed at
poles of K(s) and preferably at those poles of K(s) at s or
s 0. The process is explained by the pole-zero plot in Fig.
15. The headline shows the poles of K(s) to be realized. A full
circle or cross mark stands for the two zeros or the two
poles at s j and for the associated degree 2 in s, whereas
a half circle or a half cross mark stands for the degree 1
in s. We assume that Y in the second line is the admittance
D we have chosen from the chain matrix. The partial fraction
to the pole at s 0 is a0 /s. We remove part of this pole by
subtracting a1 /s with a1 a0. It can be shown (16a,16b) that
j 0
0
Y
j 1
Poles of K (s)
Pole-zero plot of D
Partial removal of poles at s = 0
Y
Z
Remaining part of D
The inverse of line above
Full removal of poles at s = j 0
Z
Y
Remaining part of D
The inverse of line above
Full removal of poles at s = 0
Y 0 as remaining part of D
510
FILTER SYNTHESIS
L1
L2
C1
L3
R2
C2
V1
V *2
Full pole
removal
at s = 0
Partial pole
removal
at s = 0
A T=
A12
A22
kA11
kA21
(37b)
L1
C1
V2
1:k
kA11
kA21
A12
A22
1
k
0
0
k
kA12
kA22
A11
A21
(37c)
We claim that with this last step the given matrix A is realized. For proof we consider for the matrices A and A*T the
equations
det A = A11A22 A12A21 = 1
L2
Z
Y
I *2
R1
C2
1:k
I2
Y
Z
L3
V0
V1
A*
V *2
V2
R2
Figure 19. The intermediate steps A*, the ideal transformer, and
the embedding in R1 and R2 during the synthesis of two-ports.
FILTER SYNTHESIS
511
and
det A T = A11kA22 kA12A21 = 1
The poles of K(s) are given by the denominators of the elements Aik according to Eq. (31). They are already realized by
the synthesis procedure and are equal in A and A*. Therefore,
we now concentrate on the numerators of Aik. We assume
that A11 has the highest degree in s. At the n zeros of A11 we
obtain A12 1/A21 and kA*12 1/A21. That means that the
numerators of A12 and kA*12 of degree n are identical at n
points; hence they are identical for all s. The same applies to
A22 and kA*22. Therefore, A*T A, as desired.
Some remarks about the procedure for synthesizing an
LC two-port are necessary:
1. As mentioned previously, a proof has not yet been found
that partial pole removal with positive value of the components is always feasible. However, so far there has
always been a realizable two-port among all the alternatives for equivalent solutions.
2. If in each element in A the common factors are canceled, then it can be proved that horizontally or vertically adjoining elements exhibit no common zeros. However, for some developments it is necessary to represent
all elements with one single common denominator.
Then common zeros of adjoining elements may occur.
They are also zeros of K(s) and are realized by a partial
fraction expansion. The pertinent circuits are added in
series of an open circuit reactance function and in the
shunt of a short circuit reactance function D. This brief
remark may suffice.
3. The alternative solutions can differ in the number of
inductors and capacitors. Hence a search for a circuit
with the minimum number of inductors is worthwhile
because capacitors are, as a rule, less costly.
1
2
Tm
c
( )
1
(a)
2
Tm
( )
c
The general procedure for the synthesis of an LC filter embedded in R1 and R2 from a given K( j)2 was presented with
Chebyshev filters as an example. The procedure shall be applied to all further filters discussed in this article.
Further Filters Derived from Chebyshev Polynomials. In the
previous section a low-pass was derived from the squared
Chebyshev polynomials T m2 (), /c. Further filters are
generated from 1/T m2 (), T m2 (1/), and 1/T m2 (1/). These functions are depicted in Figs. 21(a) through 21(c). In the Figs.
22(a) through 22(c) the pertaining filters and their K(s) are
shown. It can be seen that a highpass with Chebyshev behavior in the stopband [Fig. 22(a)], a highpass with Chebyshev
1
(b)
1
(c)
1
c
( )
512
FILTER SYNTHESIS
2n
q2
1
(a)
(40)
Obviously, the zeros lie on the unit circle of the complex splane. If they are complex, they have to be complex conjugate,
as Q(s) possesses only real coefficients. For n 4 the zeros
are plotted in Fig. 24. The zeros in Re s 0 are assigned to
K(s), yielding a stable two-port. For n 4 we obtain
K(s) = A0 s e j 5 /8 s e j 5 /8 s e j 7 /8 s e j 7 /8
1
(b)
sk = e j 2n (n1+2k)
q2
F( j)
A0
q 2 +e 2
K j
c
1
2 A0
q 2 +e 2
K j
c
K( j)
2 A0
(38)
K j
c
1
A0
or
K(s) = A0 (s4 + 2.613s3 + 3.414s2 + 2.613s + 1)
Zeros to K(s)
j Im s
5
8
+e 2
1
7
8 2
q2
3
8
Zeros to e
7 8
Re s
1
(c)
9
8
11
8
6
4
15
8
5
13
8
FILTER SYNTHESIS
Table 3. Polynomials K(s) for Butterworth Filters.
l2, and c in Fig. 25, where the denormalized values L1, L2, and
C are also listed.
K(s)/ A0
s1
s2 2s 1
s3 2s2 2s 1
s4 2.613s3 3.414s2 2.613s 1
n
1
2
3
4
(42)
2n
= (1)
n1
q2
1
A0
(43)
1/2n
q2
r0 = 1
A0
and are complex conjugate or real. Any complex conjugate
pair and any real zero can be assigned to f(s), while the negative locations of these zeros belong to f(s). This yields
sinh s = s +
A21 =
2s
;
R2
s4
s2
+
+ ...
2!
4!
(45a)
s5
s3
+
+ ...
3!
5!
(45b)
is an odd function in s.
A theorem states that if the ratio of the even part of a
polynomial over the odd part is an LC driving point function
and if the even and odd parts are coprime, then the sum of
the even and odd parts is Hurwitz. To check the property of
an LC driving point impedance function, we develop the continued fraction based on Eqs. (45a) and (45b).
cosh s
1
1
= +
sinh s
s
1
3
+
s
1
5
+
s
1
7
+ ...
s
2N 1
r=1
A11 = 2s2 + 1;
(44)
n
f (s) = A0
(s sr )
With K(s) and f(s) now known, the elements of the chain
matrix are calculated by Eqs. (33a) through (33d), followed by
the development of the matrix into a two-port with the procedure outlined previously. As an example, the solution for the
chain matrix A and for the pertaining two-port is now listed
for n 3, A0 1, and R1 R2:
This normalization with 0 is different from the one used previously for the comparison of a(t). It is commonly used and
emphasizes the delay t0 1/0 as the most important property of Bessel filters.
The group delay d /d t0 is a constant. We have to approximate the filter with constant group delay by a realizable
function K(s). A Taylor series for es is no more Hurwitz from
the fifth-order term on. A realizable solution is provided by
setting K(s) aes a(cosh s sinh s), where
cosh s = 1 +
(n1+2k)
2n
j 0 t 0
K(s) = aes
or
1/2n
q2
sr = 1
ej
A0
= ae
(41)
or
A0 (1 + (1)n s2n ) q2 = f (s) f (s)
513
m(s)
n(s)
(46)
+ ...
A22 = 2s2 + 1
l 1, L 1
l 2, L 2
c, C
V0
R2
V2
l1 = l2 = 1
*
L1 = L2 = R2/ C
c=2
C = 2/ c R2
514
FILTER SYNTHESIS
1
s 1
s2 3s 3
s3 6s2 15s 15 (s 2.322)(s2 3.678s 6.460)
s4 10s3 45s2 105s 105 (s2 5.792s 9.140)
(s2 4.208s 11.488)
B5(s) s5 15s4 105s3 420s2 945s 945
(s 3.647)(s2 6.704s 14.272)(s2 4.679s 18.156)
Cauer Filters (4,12,21). These filters exhibit Chebyshev behavior in the passband and in the stopband, as depicted in
Fig. 26. They are based on elliptic functions as derived by
Cauer and are therefore also called elliptic filters. The theory
of elliptic filters is very involved. A simpler approach based
on the results is given here.
The filter function in Fig. 26 is represented by
|K( j)|2 = q2 + 2 Fn2 ()
(49)
with
cated at (2N 1)/s is Hurwitz. For 2N 1 7, we obtain
from Eq. (46)
Fn () =
s4 + 45s2 + 105
m(s)
=
and K(s) = aC[m(s) + n(s)]
n(s)
10s3 + 105s
= aC(s4 + 10s3 + 45s2 + 105s + 105)
The factor C is needed to render K(0) a, as required by Eq.
(44). In the example C 1/105, m(s) n(s) can be expressed
by modified Bessel polynomials:
1
= m(s) + n(s)
(47a)
B (s) = s B
s
with
B
1
s
k=0
( + k)!
( k)! k! (2s)k
(47b)
n/2
2 2
2 ( / )2
s
=1
(n1)/2
2 2
k
2 ( / )2
s
=1
(48)
n odd
(51)
where
(50)
1
E
(2
1)
n even, = 1, 2, . . . n (52a)
sn
s
n
=
E
n1
2
sn
n odd, = 1, 2, . . .
2
(52b)
n
n even
1
s
/2
=
0
d
1/2
1
1 2 sin2
s
(53a)
is the complete elliptic integral of the first kind and the Jacobi-elliptic function sn(u) sin is calculated from the
inverse (u) of the incomplete elliptic integral of the first
kind:
d
u=
(53b)
1/2
1
0
1 2 sin2
s
|K( j )|2
q2 +
q2 +
q2
3 1 s s / 3
s / 2
s / 1
FILTER SYNTHESIS
515
Fn2 ( )
1
1
3 1 s s / 3
s / 2
s / 1
B=
n/2
2s 2
s (s / )2
=1
(n1)/2
2s 2
ks
2
s (s / )2
=1
n even
(54a)
n odd
(54b)
(56)
w(s) =
m(s)
m/n
m = m(s) + n(s)
1+
n
(57)
E(1/s )E( 1 1/B)
E( 1/B)E( 1 (1/s )2 )
|w( j)|2 =
(55)
m2 ( j)
j) n2 ( j)
m2 (
[0, 1]
(58)
m2 (z)
with z = u + jv
m2 (z) n2 (z)
(59)
|K( j1)|2 = q2 + 2
and the transformation
and
z2 = 1 +
|K( js ) = q + B
2
q=2
R1
,
R2
Approximation of K( j)2 by Conformal Mapping (4). Lowpasses with Chebyshev behavior in the passband and arbitrary characteristics in the stopband can be designed by a
(60)
providing
1
f (z2 ) = f 1 + 2 = g(s2 )
s
*
m
the desired ripple in the passband provides , and the minimum q2 2B of K( j)2 in the stop-band yields B in Eqs.
(54a) and (54b).
1
s2
*
m2
1+
1
s2
1
1+ 2
s
n2
!
(61)
*
1+
1
s2
The properties of the transformation in Eq. (60) are investigated in Figs. 28(a) through 28(e), where the passband s
j with 1, denoted by dashed lines, and the stopband
516
FILTER SYNTHESIS
w1
w2
w1 = s
w2 = 1 = 1
w1
s2
Re s
(a)
(b)
w3
jv
z= + 1 +
w3 = w2 + 1
1
= 1 + 2 = z2
s
[1, 1] into v [, ]
(62a)
(62b)
and
(d)
1
s2
Passband
(c)
Stopband
(e)
g(2 ) =
m2 ( 1 + 1/s2 )
for s = j
m2 ( 1 + 1/s2 ) n2 ( 1 + 1/s2 )
f (v2 ) = g(2 ) =
m2 (
m2 ( jv)
jv) n2 ( jv)
[0, 1]
g( 2)
(63a)
Passband
f (u2 ) = g(2 ) =
Stopband
n2 (u)
1
m2 (u)
m2 (u)
=
n2 (u)
m2 (u)
(64)
1
1
n2 (u)
1 2
m (u)
(63b)
1
2
FILTER SYNTHESIS
with
m ( 1 + 1/s2 )
2
2
2
g(s ) =
m2 ( 1 + 1/s2 ) n2 ( 1 + 1/s2 )
20 log coth
517
| i |
2
(65)
or
1 m+n 1 mn
2
1+
+
2
2 mn 2 m+n
2 g(s2 ) =
2 m(z) + n(z)
4 m(z) n(z)
r
(z + zi )2
(68)
(z + zi )2
(69)
i=1
r
i=1
i+1
For zi 1 we obtain
r = 10 log
(70)
z + zi
= 20 log 10 log 4 +
10 log
z
+ zi
i=1
+ 10 log
= 10 log coth
| |
2
zi =
1
2i
2
(z + 1)
(z + 1)
3. Form
The substitution
m(z) n(z) = (z + 1)
r
(z + zi )2
i=1
= ln z and i = ln zi
m(z) + n(z) = (z + 1)
yields
r
(z + zi )2
i=1
ri = 10 log
2
e i + e
e i e
2
+1
= 20 log coth i
1
2
z + zi
z + zi
e i
= 10 log
ei
2
(72)
and K( j)2 in Eq. (67) valid in the stopband are known. The
attenuation pertaining to Eqs. (67) through (69) is
r
z+1
z + 1
m2 (z)
n2 (z)
m2 (z)
(67)
i = 0
(66)
and
= 10 log
(71)
m2 ( 1 + 1/s2 )
f (z ) = g(s ) =
m2 ( 1 + 1/s2 ) n2 ( 1 + 1/s2 )
2
518
FILTER SYNTHESIS
4. Form
s
= Q(s)
|K( j)|2 = q2 + 2 g(2 ) = P() = P
j
and
K(s)K(s) = Q(s)
The zeros and poles of Q(s) provide a stable K(s).
5.
m ( 1 + 1/s2 )
2
2
f (s) f (s) = Q(s) q =
m2 ( 1 + 1/s2 ) n2 ( 1 + 1/s2 )
Transformation of Low-passes Into Other Filters (19). The synthesis procedures presented were all geared to low-passes.
The standard approach to generate other filter types is a
transformation of the low-pass with frequency variable s and
s j into a new filter with frequency w and w j. The
general transformation is
s = f (w)
a
+ bw
w
a
+ b
(74)
1
s= a
+ bw
w
with a, b > 0
(80)
(75)
1
1
a
+
1 =
+
2b
4b2
b
*
1
1
a
+
2 =
+
2b
4b2
b
(79)
cw
b
dw
+
+ 2
w w2 + 2c
w + 2d
(73)
where f(w) is a reactance function. This will also allow transformation of the reactances Ls and Cs into realizable reactances in the w-domain.
Low-pass Bandpass Transformation. The transformation
s=
a
w
(81)
(76)
(77)
Ls
a
s = w + bw
La
w
Lbw
a
b
(78)
Cs
a
s = w + bw
Cbw
Ca
w
FILTER SYNTHESIS
519
11
21
12
31
d
22
32
a 0 yields
=
(82)
1 = a
(84)
(83)
Z1 ( p)
R
(85)
For
Z1 /R =
1
G + jY ()
we obtain
|KB ( j)|2 =
(1 + G)2 + Y 2 ()
G2 + Y 2 ()
Ls
Lw
a
L
bw
Cs
1
s= a
w + bw
1
s= a
w + bw
C
bw
Cw
a
520
FILTER SYNTHESIS
Z1
Z2
2 () = arg K2 ( j) = 2 arccot
and
(1 + G)2 + Y 2 ()
a() = 10 log
G2 + Y 2 ()
(86)
p + 0
p + 0
(87)
and
20
p + 02
b
K2 ( p) =
20
p + 02
p2
b
p2 +
Ls
a
s= w
Cs
a
s= w
b
2
2
0
2
1+
0
2
0
1
+
b
d arg K2 ( j)
=
2 () =
2
2
d
0
1+
0
4
0
d arg K1 ( j)
=
1 () =
d
(89)
(90)
The group delays 1() and 2() are depicted in Figs. 39(a)
and 39(b). For 2() the maximum is approaching 0 depending on increasing values of b. These bell-like curves are
added to the nonconstant group delay of the given two-port
and thus straighten it out. Several different frequencies 0
may be needed for this end. The network in Fig. 40 represents
a second-order allpass if it is terminated by R and if the element values are as listed in the figure caption. With the element values given in the figure caption, it exhibits constant
input and output impedances and can therefore be cascaded
without interaction with the unequalized two-port.
(88)
SURFACE ACOUSTIC WAVE FILTERS
La
w
Cw
a
FILTER SYNTHESIS
521
Z1
Z2
a ()
a ()
a ()
a ()
522
FILTER SYNTHESIS
0 1( )
e (t) = k((t tL ) + (t tL ))
1.8
1.4
k=
1.2
1
0.8
0.6
0.4
0.2
0
0.5
1.5
3 / 0
2.5
(a)
k0
(1) (1) min(h , g )
p + q
min(h , g ) = h
b = 3.0
b = 2.5
b = 2.0
b = 1.5
h(t) =
2
1
0.2
0.4
0.6
0.8
1.2
(b)
1.4
1.6
1.8
2 / 0
Figure 39. (a) The group delay of a first-order allpass in Eq. (87). (b)
The group delay of a second-order allpass in Eq. (88).
x + ( + )r + c d
t 0
v
=0 =0
x + ( + )r c b
+ t 0
(96)
v
N1
M1
k0 (1) + h
2c + b d
F ( j) = k0 e j x 0 /v
N1
M1
(1) +
=0 =0
x0 + ( + )r + q
v
h
e j( +)r/v
2c + b d
e j c/v e j d /v + e j c/v e j b /v
(95)
6
5
(94b)
0 2( )
7
(94a)
with
1.6
(97)
L1
C1
(91)
C2
C2
and
tL =
2
x0 + ( + )r p
v
with
p = c + b
L2
(92)
(93a)
and
q = c d
(93b)
R2 C1C2
2C1 + C2
L2 =
1 2
R C1
2
FILTER SYNTHESIS
Input transducer
523
Output transducer
Dummy electrodes
Absorber
Absorber
H
v
Ex
Ex
x
V1
V2
This general result is, for practical applications, usually simplified by setting b 0 and d 0 for all , which means
that all fingers have the same width r/2, which is also the
width of all gaps. This reduces F*( j) in Eq. (97) to
F ( j) =
c j x 0 N1
k0
v
cos
e
(1) h e j r/v
c
v
=0
M1
(98)
j r/v
(1) e
=0
In Eq. (98) the term ejx0 /v stands for the delay x0 /v of the
wave between the two transducers; the sum over is the essentially unwanted contribution of the output transducer,
whereas the cos term stems from the two -impulses per finger pair. The desired frequency characteristic has to be realized with the individual overlaps h of the input transducer.
We set
(1) h = h
(99a)
or
and
z = e j r/v
r=
(99b)
v
v
=
0
2 f0
F ( j)
M1
c j x /v
k0
0
cos
e
c
v
=
(1) e j r/v
N1
=0
h z
(100)
=0
Absorber
Figure 42. Cross section of SAW filter.
(101)
524
FILTER SYNTHESIS
SAW filter
Center of gap
r
+
c
r/2
r
c= 4
r/2
x0
b
h
p
p= c + b
Ex
q= c d
x
Strength of electrical field
Ex in the gaps
Ex
Approximation of Ex
by Dirac Impulses
x
8 dB, stemming mainly from the loss in the substrate material. The loss can be decreased to around 5 dB by employing
a second output transducer in Fig. 47, which catches the so
far unused backward-traveling wave. However, the placement
of the two output transducers both in the distance x0 has to
be accurate in order to maintain the same phase of the waves
added in the output transducers.
FILTER SYNTHESIS
Input transducer
525
Output transducer
x0
t L1
t13
...
...
g
t12
V2
V1
p
t L2
Figure 44. Top view of input and output transducer with unequal widths and gaps of fingers.
for further investigations would be the fact that negative impedances are also tolerable for partial pole removal, as they can represent the negative component,
an inductor or a capacitor, in the equivalent circuit for
a transformer with tight couplings.
2. Guidelines on how to find lossless two-ports with a minimum number of the more expensive inductors would be
of economic interest. The guidelines could make use of
the large number of equivalent solutions.
3. A procedure is needed to control the various possibilities for synthesizing a lossless two-port such that the
component values lie in a desired range. This could help
+ Ex = 0 +
Ex
Ex
Ex
Ex
Ex
Ex
Ex
V1
_
Ex = 0
r
No alternation of sign
Figure 45. Top view of layout of fingers without alternating signs of
electrical field.
2r
Figure 46. Construction of superposition of traveling wave and wave
fed in by V1.
526
FILTER SYNTHESIS
x0
x0
V2
V1
Output
transducer
Input
transducer
Output
transducer
FINGERPRINT IDENTIFICATION
7. L. Weinberg, Network Analysis and Synthesis, International Student Edition, New York: McGraw-Hill, 1962.
8. S. K. Mitra, Analysis and Synthesis of Linear Active Networks,
New York: Wiley, 1969.
9. S. Butterworth, On the theory of filter amplifiers, The Wireless
Engineer, 13: 536541, 1930.
10. Private communication by A. Wenzler and M. Wintermantel, Institute of Network and Systems Theory, University of Stuttgart,
1997.
11. W. E. Thomson, Delay networks having maximally flat frequency
characteristics, Proc. IEEE, part 3, 96: 487490, 1949.
12. W. Cauer, Synthesis of Linear Communication Networks, transl.
from the German by G. E. Knausenberger, 2nd. ed., New York:
McGraw-Hill, 1958.
13. R. Saal and W. Entenmann, Handbuch zum Filterentwurf [Handbook of Filter Design], 2nd ed., Heidelberg: Huthig, 1988.
14. E. Christian and E. Eisenmann, Filter Design Tables and Graphs,
New York: Wiley, 1966.
15. R. Saal, Der Entwurf von Filtern mit Hilfe des Kataloges normierter
Tiefpasse, Backnang: Allg. Electricitats-Ges. AEG-Telefunken,
1968.
16a. W. Bader, Kopplungsfreie Kettenschaltungen, TelegraphenFernsprech-Funk- und Fernseh-Technik, 31: 177189, 1942.
16b. W. Bader, Kettenschaltungen mit vorgeschriebener Kettenmatrix, Telegraphen-Fernsprech-Funk- und Fernseh-Technik, 32:
119125, 144147, 1943.
16c. W. Bader, Polynomvierpole vorgeschriebener Frequenzabhangigkeit, Archiv Fur Elektrotechnik, 34: 181209, 1940.
ber die Realisierbarkeitssatze der Kettenmatrix von
17. H. Piloty, U
Reaktanzvierpolen, Telegraphen-Fernsprech-Funk- und FernsehTechnik, 30: 217223, August 1941.
18. R. M. Foster, A reactance theorem, Bell Syst. Tech. J., 3: 259
267, 1924.
19. E. Guillemin and S. A. Ernst, Synthesis of Passive Networks: Theory and Methods Appropriate to the Realization and Approximation
Problems, New York: Wiley, 1957.
20. M. E. Van Valkenburg, Analog Filter Design, New York: Holt,
Rinehart & Winston, 1982.
21. B. Gold and C. M. Rader, Digital Processing of Signals, New York:
McGraw-Hill, 1969.
22. S. K. Mitra and J. F. Kaiser, Handbook for Digital Signal Processing, New York: Wiley, 1993.
quivalente Schaltungen und Topologie der Schal23. E. Lueder, A
tungen geringsten Aufwandes, Ph.D. Thesis, University of Stuttgart, June 1962.
24. E. Lueder and K. Haug, Calculations of all equivalent and canonic 2nd order digital filter structures, IEEE Int. Conf. Acoustics,
Speech Signal Process, Tulsa, April 1012, 1978, pp. 5154.
25. W. Bader, Die Synthese des linearen passiven Vierpoles bei beliebigen komplexwertigen Quellen- und Abschluwiderstanden,
Nachrichtentechnische Zeitschrift, 549555, November 1964.
Reading List
L. O. Chua, C. A. Desoer, and E. S. Kuh, Linear and Non-Linear
Circuits, New York: McGraw-Hill, 1997.
ERNST LUEDER
University of Stuttgart
527
SENSITIVITY ANALYSIS
band sensitivity of lters in bridge-type conguration increases dramatically with increasing stopband requirements. Hence, even very slight manufacturing inaccuracies, temperature changes, and aging may inadmissibly
perturb the behavior of such lters, also in the case of passive (lossless) implementations.
The values of, for example, parasitic elements can also
be considered as relevant parameters in the sense used at
the beginning of this section. Hence, sensitivity analysis
encompasses the analysis of the inuence that parasitic
elements have upon the behavior of a circuit.
In digital circuits, the arguments listed so far for emphasizing the importance of sensitivity lose their meaning.
Indeed, under the usually permitted assumption of fully reliable digital operation, manufacturing inaccuracies, temperature changes, and aging have no effect. There is, however, a different aspect due to which sensitivity is of direct
relevance: the limited number of bits available in the registers for storing the parameters that x the circuit behavior.
Hence, low sensitivity is also of interest for digital systems.
Nevertheless, bridge-type congurations are now admissible even for critical ltering purposes. There is no limit
to the achievable stopband attenuation provided the lter
coefcients have been determined with sufcient accuracy
and the relevant registers are long enough.
One of the reasons for the present dominance of digital circuits has itself also partly to do with sensitivity: The
ever smaller features of highly integrated circuits cannot
be controlled with such precision that accurate analog operation could be ensured. In digital circuits, however, the details of the analog operations, that is, the transitions from
one state to another, are irrelevant as long as these transitions occur sufciently fast.
Sensitivity may also be of relevance in a more indirect fashion. Indeed, various types of imperfections such
as noise and nonlinear distortion can frequently be interpreted as being caused by parameter uctuations. If this
is the case, a reduction of sensitivity with respect to such
a parameter change will also imply a corresponding reduction of the disturbance caused by the imperfection. In this
sense, there exists a highly benecial relationship between
sensitivity on the one hand and noise and nonlinear distortion on the other. This holds true for analog as well as for
digital circuits (68).
At this point, one question immediately comes to mind:
While properties such as passivity and losslessness have
no natural meaning for digital circuits, is it nevertheless
possible to carry them over to the digital domain and thus
to prot also there from the sensitivity benets potentially
available from such properties? The answer to this is afrmative, and corresponding structures are known as wave
digital lters (8). [These lters, due to their passivity, offer, however, a much broader resistance against disturbing
imperfections, a property also referred to as robustness (9),
than what we are discussing in the present context.]
So far, we have taken it for granted that a low sensitivity
is desired, but the opposite situation also has some interest.
This is the case in particular in measuring and sensing
equipment, where one does indeed want to obtain large
deviations of the output for small changes of the device to
be measured or of the phenomenon to be detected. Hence,
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
Sensitivity Analysis
One can also represent in a compact fashion the complete sensitivity vectors. Thus
S(F ; )
S(ln F ; ln ) =
1
DF
F
ln F
1
F
F T
= (1
, . . . , n
)
ln
F
1
n
DF, S(ln F ; ) =
(4)
where
where F11 , F12 , F21 , and F22 are independent of . The same
holds true if is the multiplicative parameter characterizing a controlled source. However, if is a mutual inductance, a turns ratio of an ideal transformer, or the gyration
constant of a gyrator, we have
where F11 , F12 , F13 , F21 , F22 , and F23 are independent of .
The case of Eq. (7) is the most frequent, and the simplicity
of such an expression can be of considerable help not only
if rst-order sensitivities as given by Eqs. (1) to (6) are of
interest, but in particular if arbitrary large changes in
are to be taken into account.
The bilinearity of Eq. (7) is itself a consequence of the
linearity of the steady-state equations of the circuit. As
an example, assume that F = V0 /E, where V0 is a response
voltage and E a source voltage; that = Z, where Z is some
impedance in the circuit; and that V and I are the voltage
across, and the current through, Z. We may replace Z by a
voltage source whose voltage V is controlled by the current
according to V = ZI. Applying superposition we may write
V0 = AE + BV, I = ace + DV, where A, B, C, and D are independent of the voltages and currents. Eliminating V and
I, one obtains indeed Eq. (7), with F11 = A, F12 = BC AD,
F21 = I, F22 = D. In a similar way, Eq. (8) can be shown to
hold, observing that in the case of a mutual inductance, an
ideal transformer, or a gyrator, one has to make use of two
auxiliary controlled sources.
If F is in fact a transfer function to be evaluated at real
frequencies (thus for s = j), one may be more interested in
Sensitivity Analysis
0
n
F F0 =
=1
F
|=0
ln
(13)
F F =
n
=1
F
|=0
ln
(14)
2
F2 = E{|F F |2 } and F/F
= E{|F F |2 /F02 }
0
n
=1
ln F
F 2
2
2
|=0 , F/F
=
|
|2
0
ln
ln =0
n
=1
Hence, the sums in these two expressions can be interpreted as statistical sensitivities, and it may again be appropriate to determine the worst case over the frequency
range of interest.
Although worst-case and statistical sensitivities may be
useful design tools, they frequently do not offer a sufciently precise picture. In particular, in the case of lters,
Sensitivity Analysis
however, we have
that is,
and furthermore
Sensitivity Analysis
Sensitivity Analysis
Sensitivity Analysis
Clearly, the realization of requires the use of active devices, but for , which essentially determines the value of H,
only passive components are needed. Hence, although the
gain of pure active devices is quite inaccurate, the value of
H can be implemented with the far greater accuracy available from passive components. This is conrmed by means
of the sensitivity of H with respect to , that is, by
a and b being real polynomials of degree m and n m, respectively, with b monic. A direct implementation on the
basis of the coefcients B , = 0, . . . , n 1, is very critical because in a good lter the zeros s , thus the poles of
H(s), are clustered (although distinct) near the cutoff frequency(ies). We thus have, as can be shown, for the sensitivities of these zeros with respect to the coefcients
Sensitivity Analysis
Sensitivity Analysis
which can also be obtained from Fig. 3(b) for I2 = 0 by assuming V0 to be the voltage caused by a small increase Z0
of Z0 , thus by writing
Equation (29) is immediately rendered even more practical if N is reciprocal, since then H23 = H32 . In order to
determine functions like H31 and H32 for all parameters
such as Z0 it then is indeed sufcient to analyze the circuit
once by exciting it at port 1 and once at port 2. This advantage can be extended to nonreciprocal circuits by replacing
N for the second analysis by its interreciprocal (adjoint),
that is, by a three-port for which the reciprocal elements
are unchanged while the nonreciprocal elements are replaced by those of opposite type (a gyrator with gyration
constant R, e.g., being replaced by one with R). Furthermore, the analysis of the interreciprocal circuit can essentially be reduced to that of the original circuit if the matrix
M to be inverted in the process of analysis is rst factored
in the form M = LU, L and U being lower and upper triangular matrices, respectively; indeed, the corresponding
matrix for the interreciprocal is MT = UT LT so that its corresponding factorization is immediately deduced. Finally,
all this can in full generality be derived in a very systematic
way by making use of Tellegens theorem, more precisely,
by a generalized version of the difference form of that theorem (3). On the other hand, an interesting special case
occurs if the voltage of actual interest is V1 rather than V2
so that H21 is equal to the input impedance Z at port 1. We
may then replace subscript 2 by 1 and obtain from Eq. (40)
in the reciprocal case, using also Y = 1/Z, Y0 = 1/Z0 ,
where
and where Dff concerns exclusively the partial derivatives with respect to the that appear explicitly (via ) in
f ( , i , ). Consequently, provided v and i are related
in such a way that
the vector
10
Sensitivity Analysis
a resistance or are dimensionless. Let F again be any function of interest (impedance, admittance, transfer function,
loss, phase), let L, C, R, and n be the vectors of inductive,
capacitive, resistive, and dimensionless parameters xing
the circuit behavior, and let a and b be arbitrary auxiliary
parameters. Since F is homogeneous in any set of independent dimensions, we can write
Sensitivity Analysis
and dened by + j = ln S12 , S12 referring to the direction of transmission opposite to that in Fig. 1(a).
Unfortunately, Eqs. (38) and (39) do not involve sums of
magnitudes of sensitivities. Hence, they do not in general
offer upper bounds, as would be desirable, but only lower
bounds, for example
11
W being thus the average energy stored in the corresponding element. We have
all terms on the left-hand side of this expression are nonnegative so that none of them can grow excessively.
A similar conclusion can be drawn if F is a transmittance. The appearance of the quantity just given will indeed add to + j a rst-order correction (j/ ln L + /
ln L ) . If = 0, passivity now requires /L 0, and similarly /C 0, that is, the argument used for Eq. (44) is
also valid for Eq. (41)b. This holds true at least for frequencies at which () vanishes and thus, in practice, in
the entire passband of good lters, conrming the observations we had made with respect to Eq. (22). In fact, it
holds true even more generally as will be seen in the next
section.
Sensitivity and Energy
Many sensitivity quantities are related to power and energy expressions (3436). A few important examples for
this will be discussed hereafter. We rst consider the
impedance Z(j) = jX() of a circuit N composed of inductors, capacitors, ideal transformers, and gyrators. Assume
it to be fed by a source of voltage E and internal resistance
R1 [Fig. 1(b)], the internal branches of N to be numbered
= 2, . . . , n, and the branch voltages V and currents I to
be oriented as usual, while V1 = V , I1 = I. The generalized
version of Tellegens theorem allows us to write
where the rst equality can be veried by using the denition of . The result of Eq. (46) conrms in particular that
X/ 0 for 0, while we obtain from Eq. (44)
the sum being extended over all branches that consist either of an inductor or a capacitor and W being thus the
total average energy stored in N.
Next, we apply the same analysis to the two-port arrangement of Fig. 1(a) in which N is composed of the same
types of elements as before. The rst equation, Eq. (45), has
to be replaced by
the prime indicating, as also everywhere hereafter, a partial derivative with respect to some parameter occurring
inside of N. If is an inductance or a capacitance characterizing some specic branch , the summation in Eq.
W1
W2
+
)
Pmax 1
Pmax 2
12
Sensitivity Analysis
the upper sign holding for = L and the lower one for
and P 1 are, in general, complex,
= C . The quantities W
but their magnitudes have the energy and power interpretations encountered before.
we obtain
that is,
Sensitivity Analysis
24. R. Schaumann, M. S. Ghausi, and K. R. Laker, Design of Analog Filters: Passive, Active RC, and Switched Capacitor, Englewood Cliffs, NJ: Prentice-Hall, 1990.
25. L. P. Huelsman, Active and Passive Filter Design, New York:
McGraw-Hill, 1993.
26. S. K. Mitra, J. F. Kaiser (eds.), Digital Signal Processing, New
York: Wiley, 1993.
27. C. Toumazou, J. B. Hughes, N. B. Battersby (eds.), Switched
CurrentsAn Analogue Technique for Digital Technology,
London: Peter Peregrinus, 1993.
28. Y. Tsividis, J. A. Voorman (eds.), Integrated Continuous-Time
Filters: Principles, Design, and Implementations, New York:
IEEE Press, 1993.
29. A. Fettweis, Digital circuits and systems, IEEE Trans. Circuits
Syst., CAS-31: 3148, 1984.
30. A. Fettweis, Image parameter and effective loss design of symmetrical and antimetrical crystal band-pass lters, Revue HF
tijdschrift, V (11): 318, 1963.
31. S. W. Director and R. A. Rohrer, The generalized adjoint network and network sensitivities, IEEE Trans. Circuit Theory,
CT-16: 318323, 1969.
32. J. D. Schoefer, The sensitivity of minimum-sensitivity networks, IEEE Trans. Circuit Theory, CT-11: 271276, 1964.
33. M. L. Blostein, Sensitivity analysis of parasitic effects in
resistance-terminated LC-two-ports, IEEE Trans. Circuit Theory, CT-14: 2125,1967.
34. W. Poschenrieder, Steile Quarzlter groer Bandbreite in
Abzweigschaltung, Nachrichtentechnische Z., 9: 249253,
1956.
35. G. Kishi and T. Kida, Energy theory of sensitivity in LCR networks, IEEE Trans. Circuit Theory, CT-14: 380387, 1967.
36. G. Temes, H. J. Orchard, First-order sensitivity and worst
case analysis of doubly terminated reactance two-ports, IEEE
Trans. Circuit Theory, CT-20: 567571, 1973.
ALFRED FETTWEIS
Ruhr-Universitat
Bochum ,
Bochum, Germany
13
BROADBAND NETWORKS
589
Antenna
Signal
generator
Nt
Nr
Load
Receiver
site
Transmitter
site
BROADBAND NETWORKS
The problem of broadband matching is one of the major concerns when working with high-frequency communication systems. All broadcasting networks such as radio and television,
and all wireless communication networks, such as cellular
telephones and satellite networks, are the most frequently encountered examples of such systems.
A typical high-frequency wireless communication system
contains two major sites, namely, a transmitter and a receiver
(Fig. 1). On the transmitter site, the generated signal must
be properly transferred to the antenna, preferably over a nondissipative (lossless) network so that maximum power of the
generated signal is pumped into the antenna. Similarly, on
the receiver site, the received signal of the antenna is transferred over a lossless matching network and dissipated at the
user end. The user end may be, for example, a radio, a TV
set, or a headphone. In this case, again, the role of the match-
PA
PL
+
E
Generator
ZG
ZL
Load
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
590
BROADBAND NETWORKS
T( )
T0
Hence, the classical broadband-matching problem is defined as one of constructing a lossless reciprocal two-port or
equalizer so that the power transfer from source (or generator)
to load is maximized over a prescribed frequency band.
The power-transfer capability of the lossless equalizer or
so called matching network is best measured with the transducer power gain T, which is defined as the ratio of power
delivered to the load PL by the available power PA of the generator; over a wide frequency band. That is,
T=
PL
PA
(1)
BROADBAND NETWORKS
591
RG
+
E
EG
ZL
ZB
SF,ZF
(a)
ZG
+
E
EG
ZF
ZL
ZB
(b)
+
EK
Signal
generator
Load
Ak 1
Ak
ZG
ZL
(c)
RG
+
F
EG
ZF
RL
ZB
(d)
RG
+
Lossless
two-port
EG
RL
EL
Z,S
Figure 5. Darlington representation of a positive real impedance or
admittance function or a bounded real reflection function.
F11
ZL
F22
592
BROADBAND NETWORKS
F=
F11
F21
F12
F22
h
,
g
f
= ,
g
F12
F21 =
F22
f
g
h
=
g
(5)
or
|F21 |2 = 1 |F11 |2
(6a)
F22 = F11
F12/F21
(6b)
|F22 |2 = 1 |F12 |2
(6c)
F11 = F22
F21 /F12
(6d)
where I designates a 2 2 unitary matrix, superscript T indicates the transpose of a matrix, and the asterisk indicates
either paraconjugate as subscript or complex conjugate as superscript.
The complex frequency variable is taken as s j j as
in lumped filter design, and the equation set Eqs. (6a6d) can
be written in terms of the canonic polynomials h(s), f(s), and
g(s):
hh = gg ff
(7a)
(7b)
(2)
f ( j) f ( j)
g( j)g( j)
(8a)
f (s) f (s)
g(s)g(s)
(8b)
or in complex variable s,
(3)
F11 =
FT F = I
T (2 ) =
in the design of reciprocal lossless two-port filters, which require equal F12 and F21, (i.e., F21 F12). In this case
f * /f 1, where the plus sign is applied if f is even; the
minus sign if f is odd.
It is well known that a lossless two-port must possess a
bounded real paraunitary scattering matrix. That is,
(4)
T (s2 ) =
(9)
BROADBAND NETWORKS
ZL 1
ZL + 1
2WL
=
ZL + 1
Z 1
= L bL L
ZL + 1
L11 =
(10a)
L21
(10b)
L22
(10c)
where
DL
DL
nL
L (s) =
nL
WL = nL /DL
bL (s) =
(10d)
(10e)
(10f)
(10)
593
hL
gL
f
= L
gL
L11 =
(11a)
L21
(11b)
L22 = L
hL
gL
(11c)
where
(11d)
(11e)
(11f)
Transmission Zeros of the Load Network. As in Eq. (9), transmission zeros of the load network are defined as the zeros of
the function
4RL (s2 )
FL (s) = L221 = bL (s)
[ZL (s) + 1]2
(12a)
594
BROADBAND NETWORKS
RG
+
G
EG
RL
GEL
F11
ZG
or
ZL
F22
of Eq. (16). Thus, the complex normalized regularized reflectance SYL(s) is, as defined in Youla sense,
4n2L (s)
FL (s) =
g2L (s)
(12b)
T (2 ) =
|E21 | |L21 |
|1 E22 L11 |2
2
(14)
(15)
ZB ZL
ZB + ZL
ZB (s) ZL (s)
ZB (s) + ZL (s)
(17)
In Youlas theory, instead of load extraction, complex normalized regularized reflectance is directly constructed from the
analytic form of transducer power gain, satisfying the gainbandwidth restriction. Then, the driving point impedance ZB
is obtained as a realizable positive real function as
(13)
SYL = bL (s)
ZB (s) =
(18)
WL ZB ZL
WL ZB + ZL
(19)
(20)
Furthermore, if the load is simple, consisting of a few reactive elements having all the transmission zeros at finite frequencies or at infinity, all-pass product L(s) 1 and SYCL(s)
SYL(s), which is the case in many engineering applications.
BROADBAND NETWORKS
595
and only if, at each transmission zero of the load, s0, of order k, the coefficients of the Taylor expansion of
bL (s) SYCL (s) =
2RL bL
[ZB + ZL ]
(21)
Class A. Res0 0:
bLr = SLr
(22a)
(r = 0, 1, 2, . . . k 1)
(r = 0, 1, 2 . . . 2k 2)
(22b)
and
[bL(2k1) SL(2k1)]
[2RL bL ]2k
(22c)
(r = 0, 1, 2, . . . 2k 2)
(22d)
and
[bL(2k1) SL(2k1)]
[2RL bL ](2k2)
1
0
c1
(22e)
ZG 1
ZG + 1
2WG
=
ZG + 1
G22 =
(23a)
G21
(23b)
ZG 1
ZG + 1
(23c)
596
BROADBAND NETWORKS
where
DG
DG
nG
G (s) =
nG
nG
WG =
DG
bG (s) =
(23d)
(23e)
(23f)
hG
gG
f
= G
gG
G22 =
(24a)
G21
(24b)
G11 = G (s)
hG
gG
(24c)
where
(24d)
(24e)
(24f)
(25a)
or
4n2G (s)
FG (s) =
g2G (s)
(25b)
h(s)
g(s)
(26a)
and
h(s)
g(s)
(26b)
BROADBAND NETWORKS
h(s)
where F11 (s) = G (s) (s)
g(s)
and
(27a)
h(s)
g(s)
(27b)
597
2
RCB
(28)
598
BROADBAND NETWORKS
ZB 1
ZB + 1
2WB
=
ZB + 1
Z 1
= B b B B
ZB + 1
E22 =
(29a)
E21
(29b)
E11
(29c)
where
DB
DB
nB
B (s) =
nB
WB = nB /DB
bB (s) =
(31)
(29f)
(30)
(29d)
(29e)
WB ZL ZB
WB ZL + ZB
T (2 ) =
4RB ()RL ()
[RB () + RL ()]2 + [XB () + XL ()]2
(32)
RB () = R0 +
N
ai () ri
(33a)
i=1
where
1,
i1
ai =
,
i i1
0,
i
i1 i
i
(33b)
BROADBAND NETWORKS
RB
R1
Ri
R2
ZB (s) =
RN 1
R0
RN
N 1 N
XB () =
N
bi ()Ri
(34a)
i=1
where
bi () =
1
{[( + i ) ln( + i ) + ( i ) ln | i |]
(i i1 )
[( + i1 ) ln( + i1 ) + ( i1 ) ln | i1 |]}
for all i = 1, 2, . . . N
(34b)
A0 + A1 2 + + Am 2m
0
B0 + B1 2 + + Bn 2n
nm+1
(35)
599
Ak 2k
B0 + B1 2 + + Bn 2n
(36)
where k and n are positive integers (k n) and they determine the complexity of the equalizer. Equation (36) describes
an LC ladder network with all zero of transmissions at zero
and infinity. More specifically, integer n designates the total
number of elements of the equalizer. Integer k is the total
number of transmission zeros at zero which, in turn, effects
the topology of the LC ladder. The coefficients Ak, and Bi are
computed to fit the real part data, obtained from the first step
of the technique, by linear regression. Afterwards, ZB(s) is
generated as a positive real analytic function from RB using
a0 + a1 s + + a(n1) s(n1)
b0 + b1 s + + b (n1)s(n1) + bn sn
(37)
600
BROADBAND NETWORKS
(38)
In the above presentation, clearly, SF is constructed as an implicit function of RB and it is initialized at the beginning of
the optimization process. By spectral factorization of RB, nB
*
and DB are computed. Then WB is formed as in Eq. (29f) and
SF is generated as described by Eq. (30). Employing the Gewertz procedure, minimum reactance ZB is generated. If desired, any appropriate reactive part can be introduced to ZB
as an unknown of the problem.
Once the TPG is generated, it is maximized to determine
the unknown coefficients Ak and Bi of Eq. (36) (22).
In this design method, the line segment approach is simply
omitted. Thus the computational efficiency is improved. Direct computational technique has all the merits of the line
segment technique. However, decisions must again be made
as to whether to make the input impedance ZB minimum reactance or minimum susceptance, and so forth. For interested
readers, the details can be found in the Reading List.
Parametric Approach to Matching Problems
Fettweis first introduced the parametric representation of
Burne functions in 1979 (25). Pandel and Fettweis (26) applied it to single-matching problems. Later, Yarman and Fettweis elaborated this method for double-matching problems
(27). In the parametric approach, the lossless equalizer E is
described in terms of its minimum reactance driving point
impedance ZB as in the other techniques, and it is expressed
in the form of partial fraction expansion with simple poles
pi i ji.
ZB = B0 +
N
i=1
Bi
(s pi )
(39)
Here, the real parts i and the imaginary parts i are chosen
as the unknowns of the matching problem. The coefficients or
the residues Bi are computed in terms of the poles pi i
ji. Once the unknowns are initialized, ZB is explicitly generated as an analytic function. Then it is straightforward to
form TPG as stated in Eq. (38). Hence, it is optimized over the
band of operation which, in turn, yields the unknown poles
pi i ji.
In the parametric approach, the Gewertz procedure, which
is employed in the line segment and direct computational
techniques, is simply omitted. Therefore, in the optimization
scheme, neither explicit factorization of polynomials nor the
solution of linear equations systems of Gewertz procedure is
required. Furthermore, consideration of ZB as a minimum reactance function, having only simple poles, does not imply
any loss of generality. This is because multiple poles do not
occur in impedances of practical interest, and any impedance
function can be expressed as a sum of a minimum reactive
function and a pure reactance, which is naturally included in
the parametric form of ZB.
For single-matching problems, gradients of TPG with respect to unknowns i and i are explicitly determined. Therefore, the parametric approach presents excellent numerical
|E21 |2 |L21 |2
|1 E11 G22 |2 |1 E 22 L11 |2
(40)
where Gij and Lij are specified by the generator and the load
measurements. However, in terms of the measured generator
and load impedances,
ZG 1
ZG + 1
Z 1
= L
ZL + 1
G22 =
|G21 |2 = 1 |G22 |2
(41a)
L11
|L21 |2 = 1 |L11 |2
(41b)
E 22 = E22 +
2
E21
G22
1 E11 G22
(41c)
E11 (s) =
h + h 1 s + + h n sn
h(s)
= 0
g(s)
g0 + g1 s + + gn sn
sk
g(s)
k h(s)
E22 (s) = (1)
g(s)
(42a)
(42b)
(42c)
BROADBAND NETWORKS
(43)
(44)
G0 = h20
G1 = h21 + 2h2 h0
..
.
Gi =
(1)i h2i
+ 2 h2i h0 +
i
j=2
!
(1)
j1
h j1 h2 ji+1
(45)
..
.
Gk = Gi |i=k + (1)k
..
.
Gn = (1)n h2n
Then, explicit factorization of Eq. (44) follows. Following the
factorization process, polynomial g(s) is formed on the left
plane zeros of g(s)g(s).
Hence, the scattering parameters of E are generated as in
Eq. (42) and T() is computed employing Eq. (40). The objective function generated by means of TPG calls for an optimization routine. As a result of optimization, the unknown coefficients hi are determined. Details of the numerical work can
be found in the Reading List. In brief, examination of Eq. (40)
together with Eq. (42) indicates that TPG is almost inverse
quadratic in the unknown coefficients hi. Furthermore, the
numerical stability of the computer algorithm written for
SRFT discussed above is excellent, since all the scattering parameters Eij and reflection coefficients G22 and L11 are
601
(46)
where [Gij], [EijF] and [Aij] designate the unit (or real) normalized scattering parameters of the generator network, frontend equalizer, and the active device, respectively. Clearly,
T(1)() is generated from EijF, as described in SRFT, and all
the scattering parameters are determined from its numerator
602
BROADBAND NETWORKS
1
+
G
EG
EF
Ak
G22
G
(a)
1
+
G
EG
EF
EB
Ak
G22
22
22
22 B
(b)
Figure 9. (a) Single-stage amplifier with front-end equalizer EF. (b) Single-stage amplifier with
front-end EF and back-end EB equalizers.
22F is given by
polynomial hF(s). Here, E
E 22F = E22F +
2
G22
E21F
1 E11FG22
(47)
T () = T (1) ()
|E21B |2 |L21 |2
where Lij and Eij are the unit normalized scattering parameters of the load network and the back-end equalizer EB, re 22, and E
22B are given as follows:
spectively. A
A A E
A 22 = A22 + 21 12 22
1 E 22FA11
2
A 22
E21B
E 22B = E22B +
1 E11BA22
(49a)
ring to Fig. 10, one can design an N-stage amplifier with field
effect transistors (FET), step by step. Assume that generator
G and load L are also complex. Let A(k)
ij designate the unit
normalized (50 normalized) scattering parameters of FETs.
The design algorithm can be described as follows: First, the
front-end equalizer E1 is constructed, while the output of the
first FET is terminated with its normalization resistance. In
the second step, resistive termination is removed and the second equalizer E2 and the new FET are placed into the design
with resistive termination. At the kth step, insert the kth interstage equalizer with the kth active device while it is terminated in its normalization resistance at the output. As this
process plays out, at the last step we introduce the back-end
equalizer is introduced in between the Nth device and the
load L. In other words, at each step a new interstage equalizer and an active device with resistive termination are inserted. At the last step, which corresponds to the (N 1)th
step, the back-end equalizer EN1 is designed.
At the kth step, TPG is given by
(49b)
As is customary for SRFT, the back-end equalizer is completely determined from its numerator polynomial hB(s) of the
input reflectance E11B(s).
Employing SRFT, several single-stage amplifiers were implemented by Yarman (38). The technique is also applied to
design power amplifiers. In this case, a modified version (A
Dynamic CAD Technique for Designing Microwave Amplifiers) was introduced by Yarman (39).
It is straightforward to extend the SRFT to design multistage microwave amplifiers by generating the TPG in a sequential manner, as was done for single-matching amplifier
design.
Tk () = Tk1 Ek ()
k = 1, 2, . . ., (N + 1)
(50a)
where
(k) 2
Ek () = |E21
|
(k)
(k)
L21
= A21
(k)
(k)
E 22
= E 22
+
(k)
(k)
G22
= A22
+
(k) 2
|L21
|
(k) G (k) |2 |1 E
(k) L(k) |2
|1 E11
22
22 11
(k)
(k)
L11
= A11
(k) 2 (k)
(E21
) G22
(k) E (k)
1 G22
11
(k1) (k1) (k1)
A12 A21 E22
(k) E (k)
1 G22
11
(50b)
(50c)
(50d)
k2
(50e)
with
Design of Multi-Stage Microwave Amplifiers. Multi-stage microwave amplifiers can be designed in a similar manner, described above using a step-by-step design algorithm. Refer-
(1)
G22
=
ZG 1
ZG + 1
(50f)
BROADBAND NETWORKS
603
1
+
G
E1
Ek
Ek + 1
Ak
Ak 1
G22(1)
22(1)
22(k)
22 B(k)
Figure 10. Multistage amplifier configuration with front-end, back-end, and interstage equalizers.
(51)
In Eq. (51) the term E(N1)() provides the impedance matching to load ZL. In this case, parameters of Eq. (50b) are given
by
(N+1)
L11
=
ZL 1
ZL + 1
(N+1) 2
(N+1) 2
|L21
| = 1 |L11
|
(52a)
(52b)
604
BROADBAND NETWORKS
matching networks, IEEE Trans. Circuits Syst., CAS-27: 928
942, 1980.
40. L. Zhu, C. Sheng, and B. Wu, Lumped lossy circuit synthesis and
its application in broadband FET amplifier design in MMICs,
IEEE Trans. Microw. Theory Tech., 37: 14881491, 1989.
41. B. S. Yarman, A. Aksen, and A. Fettweis, An integrated design
tool to construct lossless matching networks with lumped and
distributed elements, Eur. Conf. Circuit Theory Des., 3 1991,
pp. 12801290.
42. C. Beccari, Broadband matching using the real frequency technique, IEEE Int. Symp. Circuits Syst., 3: 1984, pp. 12311234.
43. G. Pesch, Breitbandanpassung mit Leitungstransformatoren,
Ph.D. thesis, Technische Hochschule, Aachen, 1978.
44. R. Pauli, Breitbandanpassung Reeller und Komplexer Impedanzen
mit Leitungsschaltungen, Ph.D. thesis, Technische Universitat,
Munich, 1983.
45. B. S. Yarman and A. Aksen, An integrated design tool to construct lossless matching networks with mixed lumped and distributed elements, IEEE Trans. Circuits Syst., 39: 713723, 1992.
46. B. S. Yarman, A. Aksen, and A. Fettweis, An integrated design
tool to construct lossless two-ports with mixed lumped and distributed elements for matching problems, Int. Symp. Recent Adv.
Microw. Tech., 2: 1991, pp. 570573.
47. A. Aksen and B. S. Yarman, Construction of low-pass ladder networks with mixed lumped and distributed elements Eur. Conf.
Circuit Theory Des., 1: 1993, pp. 13891393.
48. A. Aksen and B. S. Yarman, A semi-analytical procedure to describe lossless two-ports with mixed lumped and distributed elements, ISCAS94, 56: 1994, pp. 205208.
49. A. Aksen and B. S. Yarman, Cascade synthesis of two-variable
lossless two-port networks of mixed lumped elements and transmission lines: A semi-analytic procedure, NDS-98, 1st Int. Workshop Multidimen. Syst., Poland, 1998.
Reading List
P. Abrie, The Design of Impedance Matching Networks, Dedham, MA:
Artech House, 1985.
A. Aksen and B. S. Yarman, A Brief Survey of the Modern Design
Techniques on Broadband Matching Networks, Workshop on Circuits and Systems at Isk University, Istanbul, 1997.
A. Aksen, E. G. C
imen, and B. S. Yarman, Saclma parametrelerinin
parametrik tanm ile bilgisayar destekli genis bandl empedans
uyumlastrma, Electron. Eng. 10th Anniv. Symp., C
ukurova University, 1998.
H. G. Ansell, On certain two-variable generalization of circuit theory
with applications to networks of transmission lines and lumped
reactances, IEEE Trans. Circuit Theory, CT-11: 214223, 1964.
N. K. Bose, Applied Multidimensional Systems Theory, New York: Van
Nostrand-Reinhold, 1982.
H. J. Carlin, Network theory without circuit elements, Proc. IEEE,
55: 482497, 1967.
H. J. Carlin, On the existence of a scattering representation of passive networks, IRE/IEEE Trans. Circuit Theory, CT-14: 418
419, 1967.
H. J. Carlin, Distributed circuit design with transmission line elements, Proc. IEEE, 3: 10591081, 1971.
H. J. Carlin, A new approach to broadbanding, Proc. 14th Annu. Allerton Conf. Circuits Syst. Theory, 1976, pp. 9399.
H. J. Carlin and P. P. Civalleri, A general theory for broadband
matching of two-ports with application to fet amplifiers with internal feedback, Int. J. Circuit Theory Appl., 19: 5164, 1991.
H. J. Carlin and R. A. Friedenson, Gain bandwidth properties of a
distributed parameter load, IEEE Trans. Circuit Theory, CT-15:
455464, 1968.
BROADBAND NETWORKS
H. J. Carlin and O. P. Gupta, Computer design of filters with lumpeddistributed elements or frequency variable terminations, IEEE
Trans. Microw. Theory Tech., MTT-8: 598604, 1969.
H. J. Carlin and W. Kohler, Direct synthesis of bandpass transmission line structures, IEEE Trans. Microw. Theory Tech., MTT-13:
283297, 1965.
H. Dedieu and J. Neirynck, A simplified real frequency computation
method for broadband matching, Proc. Eur. Conf. Circuit Theory
Des., 1993.
H. Dedieu et al., A new method for solving broadband matching problems, IEEE Trans. Circuits Syst., CAS-41: 561571, 1994.
C. Dehollain and J. Neirynck, Simplified impedance broadband
matching by the image parameter method: The RC case, Int. J.
Circuit Theory Appl., 23 (2): 91116, 1995.
C. Dehollain and J. Neirynck, Broadband matching of an RLC load
by optimal Chebyshev gain function, Proc. IEEE Int. Symp. Circuits Syst., 3: Seattle, WA, 1995, pp. 16481651.
A. Fettweis, Network theory, in R. Boite (ed.), Cascade Synthesis of
Lossless Two Ports by Transfer Matrix Factorization, New York:
Gordon and Breach, 1972, pp. 43103.
A. Fettweis, Scattering properties of real and complex lossless 2ports, Proc. Inst. Electr. Eng., 128: 147148, 1981.
A. Fettweis, On the scattering matrix and the scattering transfer ma bertratrix of multidimensional lossless two-ports, Arch. Elektr. U
gung., 36: 374381, 1982.
A. Fettweis, Multidimensional circuit and systems theory, IEEE Int.
Symp. Circuits Syst., 2: 1984, pp. 951957.
A. Fettweis, A new approach to hurwitz polynomials in several variables, Circuits Syst. Signal Process., 5: 405417, 1986.
W. T. Hatley, Computer Analysis of Wide-band Impedance Matching
Networks, Tech. Rep. No. 6657-2, Stanford, CA: Stanford University, Stanford Electronics Laboratories, 1967.
B. K. Kinariwala, Realization of Broadband Matching Networks for
Arbitrary Impedances, Electronics Res. Lab., Rep. No. 59 on Office
of Naval Res., Nronr-29529, Berkeley: University of California,
1957.
B. K. Kinariwala, Theory of cascaded structures: Lossless transmission lines, Bell Syst. Tech. J., 45: 631649, 1966.
T. Koga, Synthesis of a resistively terminated cascade of uniform lossless transmission lines and lumped passive lossless two-ports,
IEEE Trans. Circuit Theory, CT-18: 444455, 1971.
E. S. Kuh and R. A. Rohrer, Theory of Linear Active Networks, San
Francisco: Holden-Day, 1967.
A. Kummert, Beitrage zur Synthese Mehrdimensionaler Reaktanz
Mehrtore, Ph.D. thesis, Ruhr-Universitat, 1988.
A. Kummert, Synthesis of two-dimensional lossless m-ports with prescribed scattering matrix, Circuits Syst. Signal Process., 8: 97
119, 1989.
P. Landau and E. Zeheb, On solving broadband matching design
equations, Circuit Theory Appl., 13: 123132, 1985.
R. Levy, A general equivalent circuit transformation for distributed
networks, IEEE Trans. Circuit Theory, CT-12: 457458, 1965.
R. H. Levy and T. E. Rozzi, Precise design of coaxial low-pass filters,
IEEE Trans. Microw. Theory Tech., MTT-16: 142147, 1968.
L. Y. G. L. Matthaei and E. M. T. Jones, Microwave Filters, Impedance
Matching Networks, and Coupling Structures, New York: McGrawHill, 1964.
J. Neirynck and P. Thiran, Broadband matching as a scattering problem, Proc. Int. Symp. Circuits Syst., 1989, pp. 693696.
H. Ozaki and T. Kasami, Positive real functions of several variables
and their applications to variable networks, IEEE Trans. Circuit
Theory, 7: 251260, 1960.
605
R. Pauli, Darlingtons theorem and complex normalization, Int. J. Circuit Theory Appl., 17: 429446, 1989.
V. Ramachandran and A. S. Rao, A multivariable array and its application to ladder networks, IEEE Trans. Circuit Theory, 20: 511
518, 1973.
M. H. Reddy, P. Rajan, and M. N. S. Swammy, Realization of resistively terminated two variable lossless ladder networks, IEEE
Trans. Circuits Syst., 29: 827832, 1982.
G. Rhodes and H. Dedieu, A new method for solving broadband
matching problems, Proc. Eur. Conf. Circuit Theory Des., 1993.
L. A. Riederer and L. Weinberg, Synthesis of lumped-distributed networks: Lossless cascades, IEEE Trans. Circuits Syst., 27: 943
956, 1980.
R. A. Rohrer, Optimal matching: A new approach to the matching
problem for real linear time-invariant one-port networks, IRE/
IEEE Trans. Circuit Theory, CT-15: 118124, 1968.
M. Saito, Generalized Networks, in P. I. Brooklyn (ed.), Synthesis of
Transmission Line Networks by Multivariable Techniques, New
York: Polytechnic Press, 1966, pp. 353392.
S. O. Scanlan and H. Baher, Driving point synthesis of a resistor
terminated cascade composed of lumped lossless 2-ports and commensurate stubs, IEEE Trans. Circuits Syst., 26: 947955, 1979.
A. Sertbas, Genellestirilmis iki kapl iki degiskenli kaypsz merdiven
devrelerin tanmlanmas, Ph.D. thesis, Istanbul University at Istanbul, 1997.
A. Sertbas, B. S. Yarman, and A. Aksen, Explicit two-variable description of a class of band-pass lossless two-ports with mixed,
lumped elements and transmission lines, NDS-98, 1st Int. Workshop Multidimens. Syst., Poland, 1998.
G. Szentirmai, Band-pass matching filter in the form of polynomial
low-pass filter, IRE/IEEE Trans. Circuit Theory, CT-11: 177
178, 1964.
M. Uruski, Realizability conditions of non-reciprocal broadband
matching networks, Circuit Theory Appl., 12: 4767, 1984.
M. Uruski and M. S. Piekarski, Synthesis of a network containing a
cascade of commensurate transmission lines and lumped elements, Proc. Inst. Electr. Eng., 119: 153159, 1972.
Y. T. Vhan and E. S. Kuh, A general matching theory and its application to tunnel diode amplifiers, IEEE Trans. Circuit Theory, CT13: 618, 1966.
M. R. Wohlers, Lumped and Distributed Passive Networks, New York:
Academic Press, 1964.
M. R. Wohlers, On gain-bandwidth limitations for physically realizable systems, IRE/IEEE Trans. Circuit Theory, CT-12: 329333,
1965.
B. S. Yarman, A dynamic CAD technique for designing broadband
microwave amplifiers, IEEE David Sarnoff Symp. GaAs FET Circuits, 1983.
D. C. Youla, Generalized Networks, in P. I. Brooklyn (ed.), Synthesis
of n-Ports Containing Lumped and Distributed Elements, New
York: Polytechnic Press, 1966, pp. 289343.
D. C. Youla, L. J. Castriota, and H. J. Carlin, Bounded real scattering
matrices and the foundations of linear passive network theory,
IRE/IEEE Trans. Circuit Theory, CT-6: 102124, 1959.
D. C. Youla, J. D. Rhodes, and P. C. Marston, Driving point synthesis
of resistor terminated cascades composed of lumped lossless passive 2-ports and commensurate tem lines, IEEE Trans. Circuit
Theory, 19: 648664, 1972.
L. Zhu, Computer-aided synthesis of a lossy commensurate line network and its application in MMICs, IEEE Trans. Microw. Theory
Tech., MTT-39: 654659, 1991.
B. SIDDIK YARMAN
Isk University
606
ANALOG FILTERS
ACTIVE-RC FILTERS
INDUCTORLESS FILTERS
ANALOG ACTIVE-RC FILTERS
ACTIVE FILTERS
FILTERS, ANALOG
An electrical lter is a device, circuit, or system that transforms a given input signal into a desired output signal.
The transformation or ltering may be carried out in the
frequency or the time domain, and by a variety of physical means (electrical, mechanical, acoustical, etc.) depending on the frequency range of the signals, on the available
technology, and on the application in question. The most
commonly used electrical lters have traditionally been
wave or frequency lters, although with the development of
highly sophisticated digital signal processors on a silicon
integrated chip, ltering in the time domain has become
equally feasible. Because this article deals with analog ltering, we restrict ourselves to ltering issues in the frequency domain.
FILTER CATEGORIES
Electrical lters can be categorized in a number of
ways: for example, functionally (high-pass, low-pass, bandpass, etc.), technologically by component type or physical
medium [inductorcapacitorresistor (LCR), mechanical,
active RC, active gm C, monolithic crystal, quartz, etc.],
or by their operational features. Referring to Fig. 1, we
consider the last categorization here. The gure shows the
three main modes in which a lter can operate. Altogether
from input to output, we have an analog lter, that is, a lter that is continuous in amplitude and time. If we sample
the incoming signal in time [after bandlimiting the signal
with an antialiasing lter (AAF)] but leave the amplitude
continuous (nonquantized), we have a so-called sampleddata, or discrete-time, lter. If now we also quantize the
amplitude by passing the signal through an analog-todigital converter (ADC), we have a digital lter. In either
case, sampled-data or digital, if we require an analog signal at the output, we must add a digital-to-analog converter
(DAC) and a reconstruction lter to the processing chain.
Although lters operating in continuous time and amplitude, as well as those operating in discrete time but nonquantized amplitude (i.e., sampled-data lters) are sometimes referred to as analog lters, in this article we shall
include only the former in this category. Sampled-data lters, and in particular switched-capacitor lters, are dealt
with separately under Switched capacitor circuits. For
where x(t) is the input signal, y(t) is the output signal, and
n m. Applying the Laplace transform to this equation,
we obtain the transfer function T(s) = Y(s)/X(s) as the ratio
of two polynomials N(s) and D(s), namely,
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
Filters, Analog
Figure 1. Diagram of a generalized lter, demonstrating analog, sampled-data, and digital signal
processing: (a) block diagram including input sampler and output reconstruction lter; (b) typical
waveforms at points A through D.
where
The poles and zeros can be displayed in the complex frequency, or s, plane as shown in Fig. 2. Note that
and
Typically frequency-selective lters are classied according to their frequency or phase response, and each response has its characteristic polezero pattern in the complex frequency, (s) plane. For reasons of stability, all poles
must be in the left half plane (LHP) excluding the j axis.
Thus, for example, the maximally at or Butterworth lowpass lter will have poles distributed on a semicircle centered at the origin in the left half s plane, and the equiripple
or Chebyshev low-pass lter will have its LHP poles on an
ellipse with center at the origin. In fact, it can generally be
stated that the poles of any practical frequency-selective
lter must have complex conjugate LHP poles. The only
other practical alternative is for the poles to lie on the negative real axis, which is the domain of inductorless, passive
RC networks. It can readily be shown that such lters, although perfectly stable and easily designable, are rarely
of any practical use because their in-band frequency se-
Filters, Analog
. Letting
x=
we therefore have 1/qp = x + 1/x, which is a
parabolic-like function whose minimum is equal to 2 for
x = 1, or 1 = 2 . Thus, (1/qp )min = 2, i.e., (qp )max = 0.5. However, because a passive RC network may have no multiple
poles (e.g., a double pole results in an innite spread of
the RC component values), it follows that 1 may not be
equal to 2 , i.e., 1 = 2 , and the RC pole qp , which we have
p , must be less than 0.5. Finally, because for
designated Q
a second-order band-pass lter, qp is identically equal to
the ratio of the center frequency to the 3 dB bandwidth, it
follows that for an RC band-pass lter this ratio must be
less than 0.5]. It is this characteristic feature of passive RC
networksnamely that their poles must lie on the negative real axis in the s plane, thereby drastically reducing
their capability of frequency selectionthat leads to the
basic problem of so-called inductorless lters.
where is a negative real pole and the individual biquadratic functions Ti (s) have the general form
Filters, Analog
where we use the circumex on q, and on any other pertinent quantity describing the network, to indicate that
it is associated with a passive RC network. On the other
hand, we have seen that for any practical application, the
selectivity specications of the lter will require complex
conjugate poles:
where
Filters, Analog
where
where
The inequality Eq. (24) indicates that the two poles of t (s)
are negative real. They can be made complex conjugate by
decreasing the quantity 2 p by some amount :
where
where
Note that in the general case the coefcient B1 can be positive or negative, corresponding to nite zeros in the left
or right half plane, respectively. (Networks with left- and
right-half-plane zeros are often referred to as minimumphase and non-minimum-phase networks, respectively.) By
Filters, Analog
Figure 4. Block diagram, based on negative feedback, of an active RC biquad with complex conjugate poles.
Figure 6. Block diagram, based on positive feedback, of an active RC biquad with complex conjugate poles.
Filters, Analog
This transfer function can now be represented by the statevariable signal-ow graph shown in Fig. 9(a). Using the
opamp realization for an integrator, lossy integrator, and
summer, we obtain the active opamp version of a general
biquad as shown in Fig. 9(b). The transfer function for this
circuit is
With this general-purpose biquad, any arbitrary biquadratic lter function can be obtained by selecting the
resistors and capacitors to match the desired coefcients,
as in Eq. 33(a) to (e). Note, however, that at least three,
and in the general case four, opamps are required for its
realization. This is the penalty to be paid for the fact that
the complex-conjugate pole and zero pairs can be tuned independently of each other. Considering that pole and zero
tuning is in itself time-consuming and costly (and, in the
case of integrated circuits, only achievable by switching in
or out units of capacitor or resistor arrays), it follows that
multiple-amplier biquads are sometimes considered to be
impractical from the point of view of both cost and power
dissipation. On the other hand, in terms of integratedcircuit (IC) design, in which opamps take up less chip area
than capacitors of any practical size, multiple-amplier biquads are becoming increasingly useful and practical. One
reason for this is that pole and zero tuning can be accomplished individually by a single resistor or capacitor (designed especially in arrays of suitably small switchable
units) for a pole and zero pair, respectively. Furthermore
this biquad has other important advantages as far as IC
chip design is concerned. For one thing it uses opamps ex-
Filters, Analog
Figure 9. State-variable biquad: (a) signal-ow graph, (b) circuit diagram of the corresponding
general-purpose biquad.
clusively in the inverting mode, in which the input terminals are at virtual ground. This is a big advantage compared to the single-amplier biquads, in which opamps are
used with input terminals in common mode. The former,
compared to the latter, has advantages with respect to dynamic range, which becomes increasingly important as the
supply voltage is reduced. The trend in IC chip design is to
reduce the supply voltage as much as possible in order to
reduce power and chip size.
For another, the concept of modular cascades of biquads
in lter design provides a exibility not found in inductorless ladder simulations. In the case of high-selectivity
(high-pole-Q) and high-precision applications, the LC lter simulation method discussed below, in which inductors
are either directly replaced by gyratorcapacitor combinations (inductor simulation) or eliminated by the so-called
frequency-dependent negative resistor (FDNR) transfor-
mation, must also be considered. The reason for this is related to the extraordinary sensitivity properties of LCR
and simulated LCR ladder networks. These properties
must therefore be discussed rst, namely in the following
section, before the actual inductor simulation can be dealt
with.
Filters, Analog
permitted to be orders of magnitude larger than the required tolerance of the resulting frequency response in the
passband. For example, it may be possible to guarantee a
0.1 dB ripple with components having no more than 1%
accuracy, if the order of the LCR ladder lter is sufciently
high. This remarkable feature of doubly resistively terminated LC ladder lters was rst pointed out by H. J. Orchard in 1968, decades after LC lters had rst come into
widespread use. Orchards theorem, as this low-sensitivity
property has come to be called, is the reason why lter designers using other than LCR components (e.g., active RC,
switched-capacitor, digital) attempt to maintain this excellent low-sensitivity property by simulating the behavior
and the properties of the equivalent LC ladder structure,
even though the actual components may be entirely different. Thus, the doubly terminated LC ladder structure
(real or simulated) plays a central role in lter theory and
design, no matter what the technology used for the actual
lter realization.
To understand the reason for the low-sensitivity property of LCR ladder lters it is interesting to quote Orchards
own words, namely (2):
If one designs a at-passband reactance ladder lter
to operate from a resistive source into a resistive load,
and arranges that, at the frequencies of minimum
loss over the passband, the source delivers its maximum available power into the load, one nds, to a
rst order of approximation, that, at every frequency
in the passband and for every component, the sensitivity of the loss to component tolerances is zero. This
is easily checked by noting that, when one has zero
loss in a reactance network, a component change, either up or down, can only cause the loss to increase;
in the neighbourhood of the correct value, the curve
relating loss to any component value must therefore
be quadratic, and consequently, d(loss)/d(component)
must be zero.
It follows from Orchards theorem that in any doubly
terminated passive LC ladder network, the transmission
sensitivity in the passband with respect to variations of the
components, will decrease with decreasing passband ripple
and with increasing lter order. This is counter intuitive
and in contrast to most other lter structures (and, indeed,
to linear systems), which generally become more prone to
instability and to high component sensitivity as the lter
complexity and order increase. Moreover, Orchards theorem explains why, in whatever technology (e.g., active RC,
digital, switched capacitor), the ladder structure simulating a doubly terminated LC ladder network is the preferred
structure when high performance is required. Here, performance, pertains to high selectivity and order of the lter,
as well as to low tolerance with respect to the passband
lter characteristics, and to a low sensitivity to component
variations in the passband.
Note that Orchards theorem does not make any claims
about insensitivity to component variations in the stop
band. Indeed, it can be shown that other structures, such
as biquad cascades, may well display a lower sensitivity
and
Here, the two-port equations, which fully dene the twoport, are given in terms of the elements of the so-called
[ABCD] or transmission matrix. The corresponding matrix
equation is then
10
Filters, Analog
results in
Figure 12. Nullatornorator (nullor) equivalents of two commonly used active devices: (a) transistor, (b) operational amplier
(opamp).
Note that in Eq. (42) the pair A = k1 , D = +k1 2 corresponds to an NIC with voltage reversal, called a VNIC;
the pair A = +k1 , D = k1 2 corresponds to an NIC with current reversal, called a CNIC. The nullor conguration in
Filters, Analog
11
An impedance inverter consisting of nullors and resistors that has gyrator characteristics is shown in Fig. 17.
Typically a gyrator-type impedance inverter requires three
nullors for its realization. For the nullor gyrator of Fig. 17
we have
The gyratorcapacitor combination represents the oldest and most common method of simulating an inductance
without an actual electromagnetic inductive device being
used. The assumption that g1 = g2 = g very often holds in
practice, although if it does not, inductance simulation still
results.
To simulate a oating inductance we require two cascaded gyrators with a grounded capacitor in between them
12
Filters, Analog
shown in the FDNR transformation of a fth-order elliptic low-pass LCR lter [Fig. 18(b)], into an equivalent inductorless FDNRRC lter [Fig. 18(c)]. Since in classical
lter synthesis every LCR network has a dual network,
one of which is a minimum-L and the other a minimum-C
Filters, Analog
13
Depending on which of the ve impedances in this expression are resistive and which capacitive, either an FDNR or
a simulated inductor (equivalent to a gyratorC combina-
14
Filters, Analog
shown in Fig. 20, has proved itself well in practice. Notice that in this realisation of the LCR lter, the source
resistor R1 in Fig. 18(b) was selected to be zero, in order
to guarantee a dc path from source to load. Although this
violates Orchards theorem, which requires equal resistive
terminations at the source and load, the penalty involved,
in terms of increased component sensitivity, is often acceptable and will generally still be better than it would be with
a cascaded-biquad design.
Active RC Simulation of LCR Ladder Filters
Under Sensitivity Properties of LC Ladder Filters the remarkable property of low sensitivity to component variations in the passband of LC ladder lter structures was discussed (Orchards theorem). This property has motivated
the simulation of LC ladder lters in those cases in which
high lter performance is required but inductors may not
be used, as in integrated-circuit realizations, both analog
and digital. It has been shown above that the two most important active elements used to build active-RC simulated
LC ladder lter networks are (1) the gyrator for inductor
simulation and (2) the frequency-dependent negative resistor (FDNR), as it occurs in conjunction with the FDNR
Filters, Analog
15
Figure 25. Amplitude response of fth-order elliptic low-pass lter obtained by measurement of the lter shown in Fig. 23 and
in Fig. 24 (nominally, no difference between the two lters can be
distinguished).
16
Filters, Analog
Figure 23. FDNR-based opampRC realization of the fth-order elliptic low-pass lter in Fig. 18(c), with component values selected for
amplitude response shown in Fig. 25.
Filters, Analog
Loading a GIC with an impedance ZL at the output terminals, the input impedance results from Eq. (38) as
17
18
Filters, Analog
Figure 26. Embedding a network N between two general impedance converters (GICs), with converter constants k1 (s) and k2 (s), respectively.
Figure 27. (a) A resistive network embedded between two GICs; (b) the equivalent inductive network.
Figure 28. Inductorless simulation of an LC band-pass lter using GIC embedding and gyratorC
substitution of inductors.
Filters, Analog
BIBLIOGRAPHY
1. R. P. Sallen, E. L. Key, A practical method of designing RC
active lters, IRE Trans. Circuit Theory, CT-2: 7885, 1955.
2. H. J. Orchard, Inductorless lters, Electron. Lett., 2 (6):
224225, 1968.
3. C. Toumazou, G. S. Moschytz, and B. Gilbert, Trade-Offs in
Analog Circuit Design, The Designers Companion, Kluwer
Academic Publishers, 2002.
Reading List
L. T. Bruton, RC Active Circuits, Theory and Design, Englewood
Cliffs, NJ: Prentice-Hall, 1980.
M. G. Ellis, Sr., Electronic Filter Analysis and Synthesis, Norwood,
MA: Artech House, 1994.
W. E. Heinlein, W. H. Holmes, Active Filters for Integrated Circuits,
New York: Springer-Verlag, 1974.
M. Herpy, J. C. Berka, Active RC Filter Design, Amsterdam: Elsevier, 1986.
L. P. Huelsman (ed.), Active RC Filters: Theory and Application,
Stroudsburg, PA: Dowden, Hutchinson and Ross, 1976.
D. E. Johnson, J. L. Hilburn, Rapid Practical Designs of Active
Filters, Long Beach, CA: Wiley, 1975.
H. Y.-F. Lam, Analog and Digital Filters: Design and Realization,
Englewood Cliffs, NJ: Prentice-Hall, 1979.
C. S. Lindquist, Active Network Design with Signal Filtering Applications, Long Beach, CA: Stewart and Sons, 1977.
S. K. Mitra, Analysis and Synthesis of Linear Active Networks, New
York: Wiley, 1969.
S. K. Mitra, C. F. Kurth, Miniaturized and Integrated Filters, New
York: Wiley, 1989.
G. S. Moschytz, Linear Integrated Networks: Fundamentals, New
York: Van Nostrand Reinhold, 1974.
G. S. Moschytz, Linear Integrated Networks: Design, New York:
Van Nostrand Reinhold, 1975.
G. S. Moschytz, P. Horn, Active Filter Design Handbook: For Use
with Programmable Pocket Calculators and Minicomputers,
Chichester: Wiley, 1981.
R. Schaumann, M. S. Ghausi, K. R. Laker, Design of Analog Filters:
Passive, Active RC, and Switched Capacitor, Englewood Cliffs,
NJ: Prentice-Hall, 1990.
A. S. Sedra, P. O. Brackett, Filter Theory and Design: Active and
Passive, Portland, OR: Matrix Publishers, 1978.
M. E. Van Valkenburg, Analog Filter Design, New York: Holt, Rinehart and Winston, 1982.
A. B. Williams, Active Filter Design, Dedham, MA: Artech House,
1975.
A. B. Williams, F. J. Taylor, Electronic Filter Design Handbook, 3rd
ed, New York: McGraw-Hill, 1995.
GEORGE S. MOSCHYTZ
Swiss Federal Institute of
Technology (ETH), Zurich,
Switzerland
19
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
Network, Nonlinear
Z.
Z.
It is worth to notice that given V(t) and I(t), we can generate the corresponding kth-order voltage signal V(k ) (t) or
the hth-order current signal I(h) (t).
By doing so, the complementary signal pair (V(k ) (t),
I(h) (t)) represents physical signals and can therefore be
measured by appropriate instrumentations.
Nonlinear Resistor and Sources
A nonlinear resistor is a one-port device dened by a constitutive relation denoted by the algebraic relationship
Obviously, a resistor is said to be linear iff its constitutive relation is a straight line through the origin in the V
I plane with a constant slope equal to R.
Relation (2) denes the so-called autonomous resistor,
whereas if time is explicitly involved the resistor is said to
be time dependent.
If, as often happens for real devices, an explicit singlevalued relationship for the current versus voltage can be
derived, previous equation can be rewritten as:
where function h is dened for the set of the admissible currents for the resistor, the resistor is said current-controlled.
In the particular case in which voltage is independent
of current, i.e. V = Eo , one gets a constant voltage source,
and if the constant Eo is equal to zero one describes a short
circuit.
When relation (2) depends on some independent variable x one has a controlled resistor characterized by a family of V I curves, each of which corresponds to a specic
value of the controlling variable.
A special class of controlled resistors includes the xcontrolled voltage source and the x-controlled current
source represented by V I curves that are independent
of the terminal current Ix or voltage Vx , respectively.
If the controlling variable x is non electrical, the controlled source is usually called transducer, while when the
controlling variable x is electrical, four type of nonlinear dependent sources extending the linear denitions are possible: voltage controlled voltage source (VCVS) V = f(Vx ),
current controlled voltage source (CCVS) V = f(Ix ), current
controlled current source I = f(Ix ) (CCCS) and voltage controlled current source I = f(Vx ) (VCCS).
These elements can be modelled using only nonlinear
resistor and linear controlled sources. As an example, Fig.
2 shows two possible equivalent circuit models for a VCVS
and CCCS nonlinear controlled source described by f1 and
Network, Nonlinear
Figure 1. The four basic nonlinear elements and their symbols. Out of the possible pairings of the four basic variables V, I, Q and , two
Figure 2. (a) A nonlinear Voltage Controlled Voltage Source (VCVS) (a) and (b) a nonlinear Current Controlled Current Source (CCCS)
(b) together with two possible equivalent realization using linear controlled sources and nonlinear resistors.
Network, Nonlinear
Figure 3. Symbols adopted for an ideal (a) and for a pn semiconductor junction diode (b) and their corresponding I V characteristics.
Nonlinear Inductors
relationship
(9)
V (t) =
d(I(t)) dI(t)
dI(t)
= L(I(t))
dI
dt
dt
where the surface potential s is a strongly nonlinear function of V, while Cox , VFB and are constant parameters.
(14)
(15)
where I0 is a device parameter and k = 2e/ a fundamental constant. This device is an example of a not currentcontrolled element, so its small-signal inductance is not
uniquely dened. However, the Josephson junction is a
ux-controlled device and can be classically modeled with
a parallel connection of a linear capacitor C, a linear resistor R, and a nonlinear inductor L with the constitutive
relation shown in Eq. (17).
A device made of a conducting wire wound around a
ferromagnetic material shows a nonlinear measured I
characteristic. In this case (see Fig. 5), the nonlinear behavior is due to the variation of the permeability of ferromagnetic materials with the applied magnetic eld intensity.
Network, Nonlinear
Figure 4. Nonlinear Q V characteristic referring to a depletion region charge Q as a function of junction voltage V.
= g[I f ()]
(16)
(17)
leads to
V =
d
I = M(Q)I
dQ
Network, Nonlinear
Figure 5. A nonlinear inductor driven by a periodic voltage signal (a) and the hysteresis loop associated with the steady-state waveform
(t) and I(t).
Figure 6. A simple lumped circuit model of hysteretic inductor operating under periodic excitation.
characterize an Nterminal element: each setup could involve as many sets of interesting variables (Vj , Ij , Qj or j )
curves as are necessary to include all desired combinations
of parameter values of the controlling variables. Any independent combinations of these variables constitutes a valid
set of measurements.
Similarly to the classication of two terminal elements,
one can consider: Nterminal resistors, Nterminal capacitors, Nterminal inductors if the relationship involves only
(Vj , Ij ), (Vj , Qj ) (Ij , j ), j = 1 (N 1), respectively.
For example, ideal multi-terminal elements such as gyrators, ideal transformers, controlled sources and opamps
are four-terminal resistors (3). Finally, there is another
important class of networks called two-port resistors that
transforms a given V I curve into a new V I one.
According to the type of transformation performed, they
are called scalors, rotators and reectors, together with
mutators, i.e. linear algebric two-ports that are able to
transform a basic network element (R, C, L, M) into an
element of different type. These can be usefully adopted to
generate many new nonlinear components (14).
Example 1
Under some simplifying assumption a three-terminal resistor is representative of many practical devices, such as
bipolar, eld-effect transistors and silicon-controlled rectiers (SCR) (15).
A three-terminal resistor can be characterized by two
separate sets of measurements: for example, the input and
output characteristic curves. The rst set is obtained by
applying an independent source S2 (which may be a voltage
source or a current source) across terminals bc as show in
Fig. 7(a) and measuring the V1 I1 curve across terminals
ac of the resulting two-terminal controlled element. For
each value of the independent source S2 , a corresponding
Table 1. Equations for the six possible representations of a nonlinear resistive two-port.
V1 = Z1 (I1 , I2 )
V2 = Z2 (I1 , I2 )
V1 = H1 (I1 , V2 )
I2 = H2 (I1 , V2 )
V1 = T1 (V2 , I2 )
I1 = T2 (V2 , I2 )
I1 = Y1 (V1 , V2 )
I2 = Y2 (V1 , V2 )
I1 = H1 (V1 , I2 )
V2 = H2 (V1 , I2 )
V2 = T1 (V1 , I1 )
I2 = T1 (V1 , I1 )
Network, Nonlinear
Figure 7. Typical setup for measuring the characteristic curves of a three-terminal resistor. Each set of curves can be interpreted as the
I V curves of a two-terminal controlled resistor. In gure, terminal c is arbitrarily chosen to the common (ground) terminal
Figure 8. (a) The common-emitter conguration of a npn bipolar transistor. (b) Input Ib Vbe characteristic with Vce as a parameter and
(c) output Ic Vce characteristic with Ib as a parameter.
Network, Nonlinear
=
=
Vo
0
0
A(V2 V1 )
{ AE
AE
if |V2 V1 | < E
if (V2 V1 ) E
if (V2 V1 ) E
(18)
where parameters A and E are called voltage gain and saturation voltage, respectively.
Thus, an operational amplier acts as a nonlinear element if the magnitude of the input differential voltage exceeds the saturation voltage E (voltage comparator, threshold detector), while it operates as a linear device in the
opposite case. In practice, operational ampliers are built
by a suitable connection of several devices such as bipolar,
MOS or FET transistors, resistors and capacitors (16).
However, as in several applications there is no need of a
detailed knowledge of the current and voltage behavior in
all branches the amplier, analog ICs manufacturers usually provide extremely simplied models for the entire circuits, called circuit macromodels (see the Subsection titled
Circuit Macromodelling).
DEVICE MODELS AND EQUIVALENT CIRCUIT MODELS
By denition, a circuit is equivalent to a given element iff
they are indistinguishable when measured from their external terminals. This is a very strong requirement that, in
most practical cases, forces to consider circuit model as approximately equivalent circuits. For this reason a model of
an electronic device should ideally reproduce the same electrical behavior of the real device when connected with an
appropriate excitation network and the results predicted
from the model should represent a good approximation of
the validating data constituted by the set of measured admissible voltage-current pairs. Depending on the applications, the acceptable error may be rather small (less than
5%) as in many analog signal processing applications or
it may be quite large if one is interested only in the device models qualitative performance. Additionally, a device
model must avoid non-physical situations when it is used
Network, Nonlinear
Figure 10. (a) Standard symbol of an operational amplier and (b) the simple three-segment piecewise-linear relationship between the
output voltage Vo and the differential input voltage Vd = V1 V2 (transfer characteristic). The terminal voltages are measured with respect
to the ground terminal.
Ic =
I = Id + Ic
(19)
V = V1 + Vd = f 1 (I) + Vd
(20)
(21)
dQj (Vd )
dId
dId
Vd
dVd
+
=
+ Cj (Vd )
= C(Vd )
(22)
dt
dt
dt
dt
dt
where V1 represents the voltage drop in the nonlinear series resistor, Vd is the voltage applied to the intrinsic diode,
Vt the thermal voltage, the effective transit time, Is the socalled diode saturation current, n the diode grading factor,
while Qj and Cj are the charge and depletion capacitance,
respectively. Besides, Ib (Vd ) is a term that takes into account generation current in the space-charge region and
breakdown phenomena for reverse-bias operation and is
negligible for forward bias. The presence of a grading factor
n always greater than 1 in real devices enables to model
in a simple manner for non ideal effects. Additionally, to
account for the nite physical dimensions of the junction,
the depletion capacitance Cj can be expressed in the following way as a function of both area (bottom) and perimeter
(sidewall):
Cj = Cjb
As
Psw
m + Cjsw
m
(1
Vo ) b
(1
Vs ) sw
(23)
where As and Psw are area and perimeter of the junction respectively. The two grading factors mb and msw , due to the
signicant differences between the doping shapes in the direction of the main current ux and along the borders of the
junction, differ from each other and are strongly processdependent. Finally, the experimental current-voltage characteristic shows that the V1 drop is signicant only at high
current levels; in this region, for the sake of easy modelling
Eq. (25) can be substituted by V1 = RI, where the series resistance Rs represents an average or effective value for
the ohmic effects at high voltages.
Bipolar Transistor
The basic dc model for a three-terminal bipolar semiconductor transistor (BJT) can simply be represented through
the so-called Ebers-Moll (EM) injection model (18, 19) reported in Fig. 12(a). Here the two diodes account for the direct injection of the carriers from the emitter (e) to the base
(b) and from the collector (c) to the base, respectively. Only
npn transistors will be considered here, since the same
models would apply to pnp transistor with minor modications. The two voltage-dependent current sources represent the fraction of the free carriers injected from the
emitter reaching the quasi-neutral region of the collector,
and the fraction of the free carriers injected from the collector reaching the quasi-neutral region of the emitter, respectively. With reference to a npn structure, the equations
relating currents and voltages are simply given by:
Ie = Ibe (Vbe ) + Ir (Vbc )
(24)
(25)
(26)
(27)
where Ies and Ics are the direct and reverse saturation currents (which depend on doping and junction areas), while
ne and nc are called forward and reverse grading coefcients, and account for non ideal effects. Parameters F
and R are the forward and reverse current-gains in the
common-base conguration. Of course, in the normal forward operation mode, where the be-junction is forward bi-
10
Network, Nonlinear
Figure 11. (a) Diode lumped model and (b) experimental V I characteristic.
Figure 12. (a) Bipolar injection model and (b) transport model.
Ic
Ie
(28)
R = R /(1 R )
(29)
have been introduced. The equivalent circuit model corresponding to Eqs. (33), known as transport version of the
Ebers-Moll model, is shown in Fig. 12(b) and has been implemented in most circuit simulators. The main differences
between the two approaches lies in the reference currents
used: (1) the injection model is based on the diode currents
injected at the junction while (2) the transport model is
based on the currents traversing the base region. Considering the approximations inherent in the model derivation,
it turns on that the functional dependencies of model pa-
rameter in the case (2) are both more realistic from a physical point of view and simplify measurements procedures
required for parameter determination.
It clearly appears that the device is completely modelled
once the ve (constant) parameters Is , F , R , ne and nc are
known. Both the injection and transport model of Fig. 12
do not consider base-width modulation with bias and predict a constant collector (emitter) current Ic (Ie ) against bc
(be) voltage for a device biased in the normal forward (reverse) mode. On the contrary, base-with modulation has a
complex dependence on Vbe and Vbc . However, it has been
experimentally found that for forward (reverse) operation
the reduction in base-width strongly depends on Vbc (Vbe )
and is practically independent of Vbe (Vbc ) and induces an
almost linearly increase in collector (emitter) current with
respect to Vbc (or Vbe ); in particular, Eqs. (33) can still be
assumed valid by considering F and R simple function
of Vbc and Vbe , respectively. Additionally, experimental results show that, as a rst approximation, F and R can be
substituted with the following simple expressions:
F = FO (1
Vbc
);
VAF
R = RO (1
Vbe
)
VAR
(30)
Network, Nonlinear
12 the currents owing through the two nonlinear capacitors Cbe and Cbc represent both the effect of the effective transit time of injected carriers and of the depletion
charges in the be-junction and in the bc-junction, respectively. Thus, similarly to capacitive currents in semiconductor diodes (see Eq. (27)), currents associated to charge
variations can be expressed as:
Icbe
2
[2(Vgs VT )Vds Vds
] for Vds > Vgs VT , Vgs VT
2
Id = {
(36)
for Vds > Vgs VT , Vgs VT
(Vgs VT )2
2
0
for Vgs VT
dIec
dQjbe (Vbe )
dVbe
dIec
= F
+
= F
+ Cjbe (Vbe )
dt
dt
dt
dt
(31)
dQjbc (Vbc )
dVbc
dIcc
dIcc
+
= R
+ Cjbc (Vbc )
dt
dt
dt
dt
(32)
Icbc = R
11
(33)
(34)
Ib = f d (Vdb ) + f d (Vsb )
(35)
where VT is the threshold voltage, = (W/L) is the extrinsic conduction factor, W and L represent channel with and
length respectively and = Cox = ox /tox is a physical
parameter depending on effective carrier mobility , oxide
permittivity ox and gate oxide thickness tox .
The rst two Eqs. (42) express the drain current in the
above-threshold regime; in particular, the rst one refers
to the linear or triode regime, while the second one corresat
sponds to the saturation region, being Vds
= Vgs VT (saturation voltage) the Vds value corresponding to the transition point from triode to saturation. Besides, the third
relationship means a zero drain current for a device in the
sub-threshold regime. The threshold voltage VT depends
on source-substrate voltage Vsb and on device technology.
For example, for a n-channel MOSFET one can write:
VT = VT 0 + (
Vsb 2F
2F )
(37)
2
[2(Vgs VT )Vds Vds
](1 + Vds ) if Vds > Vgs VT , Vgs VT
2
{ (Vgs VT )2 (1 + Vds )
if Vds < Vgs VT , Vgs VT
0
0
if Vgs VT
(38)
where is another tting model parameter, calledchannel length modulation parameter, which can be considered (apart a minus sign) as the reciprocal of the Early
voltage for MOS transistors. Often, to take into account
12
Network, Nonlinear
also mobility reduction with electric eld and, thus, to better t experimental data, it is usual to render the intrinsic
conduction factor a suitable function of both Vgs and Vds
(1).
Depending on the magnitude of the time-varying voltages, the dynamic operation can be classied as large signal operation or small signal operation. If the variation in
voltages is sufciently small, the device can be modeled
with linear resistors, capacitors, current sources. Such a
model is call a small-signal model. Otherwise, the device
must represented by an analytical, nonlinear large-signal
model. Both types of dynamic operation are inuenced by
devices capacitive effects. Thus, a capacitive model describing the intrinsic and extrinsic components of the device capacitance is an essential part of a compact MOSFET model
for circuit simulation.
Turning now to capacitive effects, in a MOS transistors
one has to consider both capacitive couplings associated
with channel, substrate and gate charges in the intrinsic
device, and capacitive effects associated with the non ideal
fabrication processes. In the simple Meyer model (20), one
assumes that: (1) capacitances in a MOSFET are reciprocal, that is Cgb = Cbg , Cgd = Cdg , Cgs = Csg ; (2) the change
rate of gate charge Qg is equal to change rate of channel
charge when gate, source and drain bias changes. By so
doing, one can introduce in the model (see Fig. 14) three
interelectrodic capacitances Cgs , Cgd and Cgb (each of which
is constituted by an intrinsic (nonlinear) and an extrinsic
(linear) or overlap contribution) and two junction capacitances Cjs (Vsb ) and Cjd (Vdb ) whose voltage dependence is
the same as the second term in Eq. (27). For the intrin
sic components Cgj
( j = s, d, b) of the interelectrodic capacitances, one can refer for example to the following usual
denitions (1,19):
Cgs
=
Qg
|V ,V
Vgs gd gb
Cgd
=
Qg
|V ,V
Vgd gs gb
Cgb
=
Qg
|V ,V
Vgb gs gd
so we have:
Cgs
Cgd
Cgb
2
(Vgd VT )2
WLCox [1
]
3
(Vgs VT + Vgd VT )2
2
2
(Vgs VT )
WLCox [1
]
3
(Vgs VT + Vgd VT )2
0
2
WLCox
3
Cgd = Cgb = 0
Network, Nonlinear
13
Figure 14. MOS transistor model complete with interelectrodic (Cgs , Cgd , Cgb ) and junction (Cjs (Vsb ), Cjd (Vdb )) capacitances.
14
Network, Nonlinear
Figure 16. Macromodel circuit diagram for the LF355 operational amplier in Fig. 15.
ADVANCED MODELS
Modern IC design is based on circuit simulation, the effectiveness of which depends on the accuracy and completeness of the compact models and characterization of the circuit elements and parasitics that comprise the IC.
In modern microelectronics VLSI technologies the channel length of MOSFETs and the base width of BJTs have
very reduced physical dimensions; thus analytical models
for these devices must be able to describe, at least in an
approximate manner, several second-order physical phenomena associated with two- or three-dimensional effects.
Compact model should be formulated physically, as functions of both the fundamental process parameters that con-
Network, Nonlinear
15
Figure 17. Common mode gain behaviour for the LF355 operational amplier; comparison between full-circuit simulation (continuous
line) and macromodel (dashed line).
Figure 18. Experimental (line) and simulated (dots) slew performance of the LF355 operational amplier connected in the voltage follower
conguration for a 5V/5V input voltage step.
Iss
Vbe
Vbc
[exp(
) exp(
)]
qb
Vt
Vt
Icc Iec
Vbe
=
+
+C2 Iss [exp(
) 1]
F R
ne Vt
Vbc
) 1]
+C4 Iss [exp(
nc Vt
=
(39)
q1 2
) + q2
2
(40)
and where
q1 = 1 +
Vbe
Vbc
+
VAR
VAF
(41)
(42)
16
Network, Nonlinear
Figure 19. Circuit representation of the Gummel Poon model for a vertical npn bipolar transistor.
Figure 20. Plot of Ic and Ib versus Vbe for Vbc = 0 showing the denitions of main parameters introduced with the Gummel Poon model.
q1 = 1 +
QTE + QTC
QB0
q2 = 1 +
QBE + QBC
QB0
Network, Nonlinear
17
Figure 21. Mextram equivalent circuit for a vertical npn transistor. Current generator Iavl models avalanche multiplication current due
to the high eld in the space-charge region.
gion (including quasi-saturation and saturation), the distributed modelling of external base-collector region, self
heating effects and sufciently physical model equations
allowing predictions of temperature and process variations, as well as scalability, even at high current densities.
Advanced MOSFET Models
The rst attempt to ameliorate current-voltage behavior in
MOSFET model was given by the so-called Level 2 model.
This model copes with several short-channel effects such
as the velocity saturation or the variation of the depletion
charge along the length of the channel. This results in a
more accurate but complex expression for the drain current
Id , leading to many convergence problems. For example, for
a device in the triode region one has (20, 29)
Id =
Weff Cox
{(Vgs (VFB + 2F ))Vds
Leff
2
2
Vds
f s [(Vds + 2F Vbs )3/2 (2F Vbs )3/2 ]
2
3
f n[
2
Vds
+ (2F Vbs )Vds ]}
2
(43)
18
Network, Nonlinear
simulators and models smoothing functions have been implemented. The use of smoothing functions is particularly
useful as it serves two interrelated purposes: (1) it eliminates the need to change equations at particular points
sat
(e.g. the Vds voltage crosses the saturation voltage Vds
),
which allows for the use of a single equation for all regions
of device operation (e.g. one unique expression for Id rather
than separate equations for weak-inversion, triode and saturation regions), and (2) it allows smooth and continuous
derivatives to also be guaranteed, a very useful property
in the development of the expressions for the device conductances and transconductances.
With the growth of both the number and empirical character of model parameters, increasing emphasis must be
placed on parameter extraction; for examples, in the BSIM
model (20) each parameter is made dependent on both effective channel length Leff and width Weff as
X = X0 +
XL
XW
+
Leff
Weff
(44)
j = g, s, d, b
Moreover, the capacitances in a MOSFET cannot be arbitrary functions and the charge neutrality relationship
Qg + Qs + Qd + Qb = 0
Ij =
dQj
=
dt
i {g, s, d, b}
i = j
(45)
dQj dVji
dVji dt
j = g, s, d, b;
(46)
i = j, i, j = g, s, d, b;
(47)
i = j.
i = j
Cij =
Cji
(48)
i = j
Network, Nonlinear
W
(1 + )VDS,eff
VGST,eff [1
n Cox
]VDS,eff
L
2VGST,eff + 4kT/q
(49)
VGST,eff =
)]
(50)
that gradually changes the overdrive voltage Vgs VT between two extreme values: when Vgs > VT then VGST,eff
Vgs VT and when Vgs < VT then VGST,eff kT/q exp[q(Vgs
VT Voff )/nkT]. Similarly,
VDS,eff = VDS,sat
+
1
(VDS,sat Vds
2
(51)
ID
= i f ir = F (v p vs ) F (v p vd )
IS
19
BIBLIOGRAPHY
1. Y. P. Tsividis, The MOS Transistor, New York: McGraw-Hill,
1988.
2. R. Beaufoy, J. J. Sparkes The Junction Transistor as a Charge
Controlled Device ATE Journal, 13: 310327,October 1957.
3. L. O. Chua, C. A. Desoer and E. S. Kuh, Linear and Nonlinear
Circuits, New York: McGraw-Hill, 1987.
4. C. A. Desoer and E. S. Kuh, Basic Circuit Theory, New York:
McGraw-Hill, 1969.
5. L. O. Chua, Nonlinear Circuits IEEE Trans. Circuits Syst.
CAS-31, 1984.
6. L. D. Landau, E. M. Lifshitz Statistical Physics Oxford: Pergamon, 1969.
7. L. O. Chua, K. A. Stromsmoe Lumped circuit models for nonlinear inductors exhibiting hysteresis loops IEEE Trans. on
Circuit Theory 17: 564574,Nov 1970.
8. J. Millman, A. Grabel, Microelectronics, New York: McGrawHill, 1987.
9. D. A. Hodges, H. G. Jackson, R. A. Saleh Analysis and Design
of Digital Integrated Circuits in Deep Submicron Technology,
New York: McGraw-Hill, 2004.
10. K. Mitsubori and T. Saito, A four-dimensional plus hysteresis chaotic generator, IEEE Trans. Circuits Syst. I, Fundam.
Theory Appl. 41: 782789, 1994.
20
Network, Nonlinear
GUIDO MASETTI
NICOLO` SPECIALE
D.E.I.S.-Universita` di
Bologna-Viale, Risorgimento
2, 40136, Bologna, Italy
154
NETWORK EQUATIONS
YSIS.
NETWORK EQUATIONS
In an electrical network or an electrical circuit, the operation
of every single element is determined at each moment by the
interaction between the element itself and the rest of the network. In other words, we can say it results from the action
due to different requirements: (1) that the element behaves
in a manner compatible with its specific nature; and (2) that
such behavior is in turn compatible with the behavior of all
other elements present in the network. The constitutive relations describe the operation of the single elements and Kirchhoff s laws regulate the interaction. The equations that derive
from them are the network equations and are the subject of
this article.
Within the limits of the circuit model approximation, the
operating conditions of the single elements are identified by
the voltages and currents at their terminals; these are the
unknowns of the circuit equations. They are linked by the
constitutive relations, which are expressions of the nature of
the elements and, as a whole, describe their operations. The
constitutive relations do not depend on the way in which the
elements are connected to one another. For the sake of simplicity, we will refer here to a network of one-ports only, that
is, elements with two terminals. Its extension to the case
where there are also n-terminal elements does not involve
any conceptual difficulty and will be considered later.
Voltages and currents are algebraic variables, and so it is
necessary to choose a reference direction in advance for every
single one-port. In this context the concepts of voltage and
current are introduced axiomatically; thus, it may be difficult
to understand fully the need for the choice of a reference direction for them. However, if one remembers that the current
is nothing but the intensity of the electric charge flow crossing
the one-port, one readily understands why it is necessary to
indicate the reference direction in advance in order to assess
the intensity of the flow charge indicated by the symbol i(t).
Similarly, for the voltage it is sufficient to recall that it is the
work done by the electric field to bring a unit charge from one
terminal to another. Therefore, it is necessary here to indicate
also the starting and ending terminals in advance to evaluate
the work indicated by the symbol v(t). These concepts, which
are the basis of the circuit theory, would merit a more profound discussion. However, due to space limitations we cannot develop them in this article. We therefore refer the reader
to LINEAR NETWORK ELEMENTS and TIME-DOMAIN NETWORK ANAL-
There the problems implicit in the previous simple definitions, and their connections with the general theory of the
electromagnetic fields, are dealt with in greater depth.
Returning to the circuit model, if we represent the one-port
as a closed box with two terminals, as shown in Fig. 1a, we
can indicate the chosen reference directions with an arrow
placed on one of the two terminals for the current and with
the signs and placed near the terminals for the voltage.
Suppose that a current reference direction is chosen from the
two available. Then the voltage reference direction can be
chosen with either the sign or the sign at the terminal
where the current arrow enters the element. The former
choice is called the normal convention, which we will always
adopt here. In the general case, one thinks, for example, of
the pn junction diode; the four possible alternatives give rise
to different expressions of the constitutive equations.
One-ports can be classified as dynamic and nondynamic.
For nondynamic one-ports, which we will call resistive oneports, the relations between the voltage and the current are
of the algebraic type, that is, the value assumed by the voltage at any time depends only on the value of the current at
that time and vice versa. Resistors, diodes, voltage and current sources are examples of resistive one-ports. By contrast,
the operations of dynamic one-ports are described by means
of differential or integral-type equations. Thus the value of
the voltage or of the current at any time depends also on their
past histories. Capacitors and inductors are examples of dynamic one-ports. For now and for the sake of simplicity, we
will refer only to resistive one-ports.
The voltage and the current of a resistive one-port, therefore, identify its operating point. This expression derives from
the fact that, as the one-port constitutive relation is of an
algebraic type, f(v, i) 0, and thus is graphically representable in the plane (v, i), a given voltage and a given current
identify a point on the characteristic curve f(v, i) 0. If one
considers, for example, the linear resistor, the characteristic
v Ri is representable in the plane (v, i) by a straight line
passing through the origin. The points on this straight line
represent the possible operating conditions that the one-port
in question can allow. What the effective operating point of
the one-port is at a given time is determined by the operating
conditions of the remaining part of the network into which it
is inserted. The laws governing this interaction are the two
Kirchhoff laws, which we will briefly recall after the introduction of some simple definitions.
Let us call node the connecting point of at least two terminals of distinct elements. Between two nodes effectively connected to one-ports we will say that the network has
branchesone branch for each one-port. The set of branches
i
+
v1
+
i1
i3
v
Figure 1. Graphic representation for (a)
two-terminal elements; (b) three-terminal
elements or three-poles; (c) four-terminal
elements operating as two-ports. The normal convention is used.
i2
+
(a)
+
v1
i1
i2
i1
i2
v2
(b)
(c)
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
+
v2
NETWORK EQUATIONS
155
156
NETWORK EQUATIONS
In the case of nonlinear circuits with only resistive elements, the circuit equations are still algebraic, but a part of
them is nonlinear. The properties of these equations can be
very different from those of linear circuits. For example, it is
possible to have several solutions that are compatible with
the same sources. In general, the problem of their solution is
very complicated and there are still many unsolved questions.
The analysis is further complicated (and considerably so)
if the nonlinear circuit contains dynamic elements. Because
many results can be found in the literature on nonlinear ordinary differential equations having the normal form dx/dt
H(x; t), where x is a vector whose components are the state
variables of the circuit and H is a single-valued vectorial function, much attention has been given to determining when is
it possible to reduce the equations of nonlinear dynamic circuits to a system of differential equations in normal form for
the state variables.
In general, for nonlinear circuits it is not always possible
to reduce the system of circuit equations to a system of state
equations in normal form. It can happen that the first derivative of some state variables depends on the state variables of
the circuit through multivalued functions. As we will then
see, if this happens, it means that the circuit equations and
the initial state alone are not sufficient by themselves to determine the circuit evolution. That is, the circuit model under
consideration is incomplete; essential phenomena for an adequate representation of the physical circuit are absent in
the circuit model because of the approximations introduced in
the modeling phase.
Resistive One-Ports
A resistive one-port is a two-terminal circuit element described by a constitutive relation of the type
i1 = i2 = i
+
+
i1
f s (v, i) = 0
i2 = i2 (v2)
(1)
v1
Equation (1) defines an instant type relation between the current i and the voltage v, that is, the value of the voltage at
any time t depends only on the value that the current assumes at that time and vice versa.
The linear resistor is a particular type of resistive one-port
where
v = Ri
i2
(2)
2 v2
v1 = v2 = v
(a)
i1 = i1 (v1)
(b)
NETWORK EQUATIONS
rent source will admit more than one solution; see Fig. 4. This
is a further example of an inadequate circuit model. In this
case to obtain a circuit that admits one and only one solution,
it is sufficient to add a capacitor with an arbitrary small capacitance in parallel to the tunnel diode.
i
+
+
i
+
i = i (v)
Dynamic One-Ports
v
(a)
(b)
(3)
where ( ) is a single-valued function defined for the admissible voltage values. These one-ports are called voltage controlled. If, however, for any admissible current value there is
one voltage value that verifies Eq. (1), it can be rewritten as
v = v(i)
157
(4)
where v( ) is a single-valued function defined for the admissible current values. These one-ports are called current controlled. Naturally, if the one-ports are time-varying, then the
functions and v depend on the time.
There are static one-ports that are voltage and current
controlled at the same time; for example, linear resistors
(with R 0 and R ), junction diodes, zener diodes and
varistors. In these cases the characteristic curves are strictly
increasing, Eq. (1) can be rewritten indifferently as either Eq.
(3) or Eq. (4) and the function g is the inverse of the function
r and vice versa. One-ports that are only voltage or only current controlled have characteristic curves that are not strictly
increasing. Therefore, it is clear that in the case where a oneport is voltage controlled only, the function g is not wholly
invertible with respect to the voltage; in the case where a oneport is current controlled only, the function r is not wholly
invertible with respect to the current.
The ideal current source, the open circuit and the tunnel
diode, are examples of voltage-controlled one-ports. The ideal
voltage source, the short-circuit and the thyristor with disconnected gate are examples of current-controlled one-ports. The
ideal switch is current controlled when it is on and voltage
controlled when it is off. A circuit consisting of a voltage-controlled one-port (such as the tunnel diode) connected to a cur-
(5)
dq
dt
(6)
q(t) =
t0
i( ) d + q(t0 ),
t t0
(7)
(8)
i
+
+
i
i = i (v)
J
v
v
(a)
(b)
158
NETWORK EQUATIONS
dv
dt
(9)
or, equivalently,
v(t) =
1
C
t0
i( ) d + v(t0 )
t t0
(10)
From Eq. (10), it is more evident that v(t) depends on the time
history of the current in the time interval (t0, t) and on the
voltage value at t t0. A capacitor having a constitutive relation that is nonlinear is called nonlinear. As an example of a
nonlinear capacitor, we can consider the model of the varactor
diode described by the equation q 3/2 C0V0(1 v/V0)2/3 for
v V, where C0 and V0 are two constants.
The other fundamental dynamic one-port, which, with respect to the capacitor has a dual operation, is the inductor,
defined by the constitutive relation
f i (i, ) = 0
(11)
d
dt
(12)
From Eq. (16), it is evident that i(t) depends on the time history of the voltage in the interval (t0, t) and on the current
value at t t0. An inductor whose characteristic is nonlinear
is called nonlinear. For example, the behavior of an inductor
realized on a ferromagnetic core can be described by the nonlinear constitutive equation i a b3 if the hysteresis
phenomena are negligible, and a Josephson junction can be
represented by the equation i I0 sin( /0); a, b, I0 , and 0
are characteristic constants.
It is possible to extend all the concepts introduced for static
one-ports to the characteristic curves of capacitors and inductors (time-variant capacitors, time-variant inductors, voltage
and charge admissible values, charge and voltage-controlled
capacitors, current and flux admissible values, flux and current-controlled inductor. For example, the varactor diode
model given in the foregoing describes a voltage-controlled capacitor with v V0 admissible voltages. For more details on
linear and nonlinear one-ports we refer the reader to LINEAR
NETWORK ELEMENTS and to NONLINEAR NETWORK ELEMENTS.
Circuit Elements With More Than Two Terminals
An element with M 1 terminals is characterized by M 1
currents i1, i2, . . . and (M 1)M/2 voltages v12, v13, . . .; the
current ik is associated with the kth terminal and the voltage
vkh is associated with the two terminals k and h. The reference
directions of the currents are those entering the element, and
for the voltages those going from the terminal k to the terminal h. An example is shown in Fig. 1(b), where (M 1) 3,
and in Fig. 1(c), where (M 1) 4.
In agreement with Kirchhoff s law for currents, at any
time the following equation has to be verified:
(t) =
t0
v( ) d + (t0 )
t t0
(13)
M+1
ih = 0
(17)
h=1
(14)
di
dt
(15)
i(t) =
1
L
t
t0
v( ) d + i(t0 )
t t0
(16)
(18)
NETWORK EQUATIONS
(19)
159
i = [i1 , . . ., ib ]T
v = [v1 , . . ., vb ]T
(20)
(21)
Bv = 0
(22)
160
NETWORK EQUATIONS
the circuit equations and it is compatible with the initial conditions for the state variables.
1 2
1 2
Cv (t) =
q (t)
2
2C
(23)
Resistive Circuits
Even if circuits without inductors and capacitors are a particular case, their study is of fundamental importance in circuit
theory. In fact, as we will see, in the study of dynamic circuits
one often resorts to auxiliary circuits consisting of resistive
elements related to the dynamic circuits being studied. When
an electric network is without dynamic elements, that is,
nc ni 0, the circuit is said to be resistive and the circuit
equations are algebraic and generally nonlinear. Even if the
sources present are time variant, the solution at each instant
has no memory of its operating point at preceding instants.
For these circuits the time appears as a parameter in the
equations. Therefore the relations between the overall circuit
variables and the voltages and currents imposed by the independent sources are instant type relations. If the nonlinearities of the one-ports present allow it, the values of the 2b
unknowns can be determined univocally by solving the relative system of algebraic equations instant by instant. In the
case of linear one-portsapart from pathological cases, which
we will refer to shortlythis is always possible. In the presence of nonlinearities, the circuit may have no solutions, one
solution or several solutions.
The pathological situations in which a resistive network,
even linear, may not admit solutions, are those where there
is incongruency or dependence between the constitutive relations of some circuit elements and the Kirchhoff equations
regulating the interaction. In general, bearing in mind what
has been said in the introduction to this article about the interaction between each single element and the rest of the network, we may say that cases of incompatibility between
Kirchhoff s equations and the constitutive relations may occur when there are elements for which there are inadmissible
voltages and/or currents. The case of two ideal sources of voltage e1(t) and e2(t) connected in parallel is emblematic. It is
evident that no solution is possible when there is incongruency [i.e., e1(t) e2(t)], while the number of solutions is infinite, at least so far as the currents of the sources are concerned, when there is dependence [i.e., e1(t) e2(t)]. Naturally,
the incongruency or the dependence is entirely in the model
used to represent the real circuit; a more realistic model that
includes the internal resistance of the sources would resolve
every problem of incongruency or dependence. In general, the
existence and the uniqueness of the solution of a linear resistive one-port network are guaranteed if there are no loops
consisting of voltage sources only, no cut-sets consisting of
current sources only, and the resistances of the circuit resistors are strictly positive. If controlled sources, ideal transformers, gyrators, nullators, and norators are also present in
the circuit, further pathological situations of a different nature may be present. There can also be cases where solutions
do not exist because of the presence of nonlinear elements. A
typical case is that of an ideal current or voltage source that
feeds an ideal diode, as we have seen in the foregoing. In this
case the incongruency disappears if more realistic models of
source or diode are also adopted. Hereafter we will assume
that such situations are absent. Finally, it should be said that
even where the system of circuit equations admits more than
one solution, such behavior can be attributed to a weakness
NETWORK EQUATIONS
161
dv1
= i1
C
dt
(26)
di
L 2 = v2
dt
0 = i1 + i2 i3
0
= i3 + i4
0 = v v
1
2
(27)
0 = v2 + v3 v4
0 = v 3 R3 i 3
0 = v4 e(t)
vc
dv
= ic
C
dt
(24)
dii
L i = v i
dt
0 = F (vv, i ; t)
(25)
e(t) v1 (t)
i2 (t)
R
v2 (t) = v1 (t)
i1 (t) =
(28)
and
i3 (t) = i4 (t)
v3 (t) = e(t) v1 (t)
v1 (t) e(t)
R
v4 (t) = e(t)
(29)
i4 (t) =
i3 +
i4
R
+
+
e(t)
v3
v4
i2
i1
C
+
v1
v2
Figure 5. Simple dynamic circuit used to illustrate the determination of the state equations in normal form.
162
NETWORK EQUATIONS
The result obtained is very significant; the nonstate variables can be expressed at each instant as function of the sole
state variables and the voltage source. The result further justifies the name of state variables given to v1 and i2; their
knowledge at a given time in fact implies the knowledge of all
the other circuit variables at the same time and thus univocally determines the state of the circuit. Substituting Eq.
(28) in the differential Eqs. (26), the equations for the state
variables are obtained
v = v (i)
v
i
e(t)
dv1
= 1 2 +
dt
RC C
RC
di2
v1
=
dt
L
(30)
This is a system of two first-order ordinary differential equations in normal form, that is, in general, of the type
dx1
= H1 (x1 , . . ., xN ; t)
dt
....................
(31)
dxN
= HN (x1 , . . ., xN ; t)
dt
where xk is the generic state variable, the value of which is
known at instant t t0, and H1, . . ., HN are single-valued
functions defined for every x1, . . ., xN, where N nc ni.
The differential equations that regulate the dynamics of the
circuit state variables, written in the normal form of Eq. (31),
are called global state equations of the circuit. Global state
Eqs. (30) are linear and with constant coefficients because the
circuit under examination consists of linear and time invariant one-ports.
Naturally, the presence of a nonlinear one-port of particular nature can hinder the reduction of the circuit equation to
a system of normal form state equations. Let us again consider the circuit in Fig. 5 where, however, we have substituted the linear resistor with a nonlinear one. If the nonlinear
resistor is both voltage and current controlled (for example, a
junction diode) or only voltage controlled [for example, a tunnel diode; see Fig. 4(b)], its constitutive relation is of type
i3 (v3), where the function (v3) is a single-valued function.
Here, too, it is possible to express the circuit variables as
functions of the state variables by means of a univocal relation of instantaneous type, resolving the algebraic part of the
circuit in respect to the nonstate variables. In this case the
global state equations are nonlinear and are
[e(t) v1 (t)] i2
dv1
=
dt
C
C
v2
di2
=
dt
L
(32)
for every value of i3 (see Fig. 6). In this case the capacitor
current i1 can be expressed as a function of the state variables
only in the implicit form through the nonlinear equation
v[i
1 (t) + i2 (t)] + v1 (t) e(t) = 0
Thus the state equations are
dv
v C 1 + i2 (t) = v1 (t) + e(t)
dt
v
di2
= 2
dt
L
(33)
(34)
As the function v(i3) is not globally invertible, the first equation of the system in Eq. (34) cannot be rewritten in normal
form. This is a direct consequence of the fact that in this circuit even though all the nonstate circuit variables are linked
to the state by means of the instantaneous relations imposed
by the algebraic part of the circuit equations, the state does
not determine them univocally. From Eq. (34) it is evident
that the capacitor current can be expressed in general as
function of the state variable and the voltage source only
through multivalued functions. In this case, starting from the
assigned initial conditions, the solution of the state equations
cannot be unique because the time derivative of the capacitor
voltage is a multivalued function of the state variables and
the voltage source. From the physical point of view this is
another very interesting example of an incomplete model. The
incongruency can be resolved by adding an inductor with an
arbitrary small inductance in series with the nonlinear resistor. In this way the current i3 also becomes a state variable.
It is clear, then, that to reduce the circuit equations to a
system of global state equations, one needs to be able to express the nonstate circuit variables, and in particular the capacitor currents and the inductor voltages, as functions of the
state and source variables by means of single-valued functions. This is the same as resolving a resistive circuit obtained
from the actual circuit by substituting each capacitor with a
voltage source whose voltage is equal to that of the capacitor
and each inductor with a current source whose current is
equal to that of the inductor. We call this circuit associated
resistive circuit; interested readers may refer to Ref. 1. Thus
it is evident that the necessary and sufficient condition to express the nonstate circuit variables of a dynamic circuit as
functions of the state variables by means of single-valued
functions is that the associated resistive circuit admits one
and only one solution for every admissible state value. In this
way the possibility of reducing the circuit equations to a sys-
NETWORK EQUATIONS
163
ables are annulled, which is called free evolution, and one that
is annulled if the sources are all turned off, which is called
forced evolution. Each of these can be represented through
the nc ni natural modes of the circuits eit, where i i 1,
. . ., nc ni are the natural, generally complex, frequencies
of the circuit (we have implicitly assumed that the natural
frequencies are all distinct); the natural circuit frequencies
are the eigenvalues of the dynamic matrix A. For dissipative
circuits the free evolution tends to zero when t , while
the forced one will depend on the waveforms of the independent sources of the network. For example, when all the circuit
sources are constant, the forced evolution term for every variable tends towards a constant function for t . Where all
the sources are sinusoidal and isofrequential, the forced evolution term of each variable tends towards a sinusoidal waveform with the same frequency as the sources for t . By
contrast, for time-variant and/or nonlinear circuits the solution cannot be determined in closed form. In these cases one
has to use approximate solution methods, such as perturbative techniques (see NETWORK ANALYSIS USING LINEARIZATION)
and more generally numerical methods (see CIRCUIT ANALYSIS
COMPUTING and PERIODIC NONLINEAR CIRCUITS). The general
properties of the solutions of circuit state equations, even
nonlinear, can be determined without having to resolve them.
In the last thirty years many analysis techniques have been
developed for predicting the qualitative behavior of the solutions of state equations of a circuit merely starting from their
structures and properties (see NONLINEAR DYNAMIC PHENOMENA
IN CIRCUITS, QUALITATIVE ANALYSIS OF DYNAMIC CIRCUITS, and
Refs. 13).
dxx
= Axx + d (t)
dt
H N (x1 , . . ., xN ) = 0
(35)
Dc Operating Points
Let us now consider time-invariant dynamic circuits with only
stationary sources. These circuits are called autonomous circuits. An autonomous circuit generally admits stationary solutions. These solutions are called dc operating points of the
circuit. The fundamental characteristic of these solutions is
that the current in the capacitors and the voltage across the
inductors are zero at each instant. The dc operating points of
an autonomous circuit can be determined in various ways. It
is possible to determine the stationary solutions of the state
equations first, and then determine the remaining variables
through their instantaneous relations with the state variables. The stationary solutions of the state equations are obtained by making all the system derivatives in Eqs. (31) zero,
and thus they are the solutions of the algebraic equation system
H 1 (x1 , . . ., xN ) = 0
.................
(36)
164
NETWORK EQUATIONS
If the resistors of the autonomous circuit have strictly increasing characteristics and topological hypotheses on sources
are verified, then there is only one dc operating point. By contrast, there can be more than one dc operating point if the
circuit also contains resistors that are only voltage or current
controlled. The possibility of having more than one dc operating point in a dynamic circuit does not mean, in fact, that
the circuit model is ill-posed. Which of the dc operating points
is effectively reached depends on the stability of the corresponding stationary solution of the state equations and on the
initial value of the state (see QUALITATIVE ANALYSIS OF DYNAMIC CIRCUITS).
The determination of dc operating points assumes particular significance when besides stationary sources the network
also contains variable sources with small amplitudes. In such
cases nonlinear characteristic curves of the network can be
approximated with straight lines passing through the dc operating points of the elements and tangent to the characteristic curves. In this way it is possible to determine the circuit
solution by superimposing the solution of two distinct problems, the first nonlinear but static, and the second, dynamic
but linear.
(37)
0 = i1 i3
0
= i2 + i3
0
= i1 i4
0 = v1 v2 + v3 + v4
0 = v2 E
0
= v 3 R3 i 3
0 = f (v , i )
4 4
di1
= v1
dt
(38)
An operating point P (v1, v2, v3, v4, i1, i2, i3, i4) of this circuit
is a point of the eight-dimensional space R8, compatible with
the holonomic constraints determined by the algebraic equations (38). The set of Eqs. (38) consists of 7 independent and
compatible equations, and thus has infinite solutions. The solutions of these equations form a surface of dimension N
8 7 1, that is, a curve of the space R8. This is the configuration space of the circuit under examination.
The only way to visualize this configuration space is to
project it onto two-dimensional planes. The projection of
onto planes with two currents or two voltages as coordinates
is a straight line, as is evident from the first 4 equations of
system [Eq. (38)]. If the projection is made, for example, onto
the plane with coordinates (i1, i3), the straight line is the bisector of the first and third quadrants, while if the projection
is made onto the plane (v1, v3), the straight line does not generally pass through the origin. If is projected onto the
i3 +
i2
+
E
v2
v3
R3
i4
v4
+
L
v1
i1
Figure 7. Circuit used to highlight the problems arising in the writing of the state equations due to nonlinear elements.
NETWORK EQUATIONS
v1
v1
Q(t0)
165
v1
Q(t1)
Q
Q(t2)
Q1
I1
Q(t)
(a)
Q3
Q2
i1
i1
i1
(b)
(c)
planes (v2, i2), (v3, i3) and (v4, i4), we obtain the characteristic
curves of the circuit resistive one-ports. In particular, the projection onto the plane (v2, i2) is a straight line, which moves
parallel to the i2 axis. The projection of onto the plane (v1,
i1), which we will denote with , is the most interesting one,
since it involves all the constitutive relations of the resistive
elements. Combining the equations of system [Eq. (38)] we
obtain the equation for the curve
erating point. Therefore, once assigned, the initial state univocally determines the motion of the circuit operating point.
For the case described by a curve of the type illustrated in
Fig. 8(b), three dc operating points are possible, Q1, Q2 and
Q3. One can easily see that the point Q2 is unstable, whereas
Q1 and Q3 are stable. The operating point reaches equilibrium
points Q1 or Q3 according to its initial position as indicated by
the arrows in the figure.
Finally, let us consider the case where the resistor is only
voltage controlled, i4 (v4) [for example, a tunnel diode; in
Fig. 4(b) the characteristic of a tunnel diode is represented],
where (v4) is a single-valued function but not globally invertible. The equation of curve is given by
v1 + i1 (R3 + R4 ) E = 0
i1 (v1 R3 i1 + E) = 0
f (v1 + E R3 i1 , i1 ) = 0
(39)
(40)
(41)
(42)
166
NETWORK EQUATIONS
q
dq
= ic
dt
d
= vi
dt
(43)
q, , v , i ; t)
0 = F (q
(44)
Now algebraic equation system Eq. (44), besides the b Kirchhoff equations and the ns characteristic resistive one-port
equations, also includes the (nc ni) characteristic equations
of circuit dynamic one-ports. In this case too the circuit equation system can be reduced to the canonic form wherein only
the circuit state variables q and appear as unknowns. By
means of algebraic equations (44) it is possible to express the
capacitor currents ic and the inductor voltages vi as functions
of the sole state variables and sources
q, , i , v ; t)
i c = H c (q
q, , i , v; t)
v i = H i (q
(45)
In fact if one considers the charge q and the fluxes as assigned, it is possible to interpret system [Eq. (44)] as a system
of 2b equations in the 2b unknowns i and v. If the solution of
this system exists and is unique, then Hc and Hi are singlevalued functions defined for every value of the state variables
q and . When this is so, the system of state equations
q
dq
q, , i , v ; t)
= H c (q
dt
d
q, , i , v; t)
= H i (q
dt
(46)
is in normal form.
Only if the capacitors are charge controlled and the inductors flux controlled does the state circuit at a given time determine the capacitor voltages vc and the inductor currents ii
univocally at that time, and thus it is possible to define an
associated resistive circuit as for circuits consisting of linear
and time-invariant dynamic one-ports. Otherwise it is evident
that it will never be possible to build a system of global state
equations. If all the capacitors are at least charge controlled
and the inductors at least flux controlled, and the resistive
circuit associated with the dynamic circuit admits one and
only one solution, then it is possible to reduce the systems of
circuit Eqs. (43) and (44) to a system of global state Eqs. (46).
Similar results can naturally be extended to a circuit containing elements with more than two terminals. In such cases
the algebraic equation system in Eq. (44) will also contain the
BIBLIOGRAPHY
1. M. Hasler and J. Neirynck, Nonlinear Circuits, Norwood, MA: Artech House, 1986.
2. L. O. Chua and P. M. Lin, Computer-Aided Analysis of Electronic
Circuits, Englewood Cliffs, NJ: Prentice-Hall, 1975.
3. A. N. Willson, Some aspects of the theory of nonlinear networks,
Proc. IEEE, 61: 10921113, 1973.
4. C. A. Desoer and F. F. Wu, Trajectories of nonlinear RLC networks: a geometric approach, IEEE Trans. Circuit Theory, CT-19:
562571, 1972.
Reading List
L. O. Chua, C. A. Desoer, and E. S. Kuh, Linear and Nonlinear Circuits, New York: McGraw-Hill, 1987.
LUCIANO DE MENNA
GIOVANNI MIANO
Universita` di Napoli Federico II
107
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
108
#
d f ##
x + O(|x|2 )
dX #0
(5)
#
d f ##
dX #0
(6)
(7)
(1)
It is important to stress that the whole linearization procedure applies whenever the signal x X X0 (and hence y
Y Y0) is small in the sense that it can be considered as
infinitesimal without introducing unacceptable errors. In order to verify this condition, circuit topology, devices characteristics, and DCOP have to be considered, as well as the maximum tolerable distortion in the circuit. Typically, this
amounts to subsequently performing a suitable (nonlinear)
distortion analysis (9).
Figure 1 shows a simple geometrical interpretation of the
linearization process. Given the diagram of the function Y
f(X) and the operating point P0 (X0, Y0), then the diagram
of Y l(X) with p chosen according to Eq. (6) is represented
by the straight line tangent to f in P0. If the coordinate axes
are shifted so that the new origin coincides with P0, then the
tangent equation in the new reference system is expressed by
Eq. (7).
It is worthwhile to note that the above considerations remain valid, under suitable assumptions, even when the circuit element constitutive law is provided in implicit form as
g(X , Y ) = 0
(2)
(3)
namely
(4)
(8)
109
X, x
Y, y
Current
Voltage
Voltage
Current
Voltage
Voltage
Current
Current
Voltage
Current
Charge
Magnetic flux
Voltage
Current
Voltage
Current
Differential resistance
Differential conductance
Differential capacitance
Differential inductance
Voltage gain
Transconductance
Transresistance
Current gain
in P0 is given by
rd =
#
dV ##
nVT
=
dI #0
I0 + IS
Examples
1. Consider a pn junction diode whose dc voltage
current relationship in the forward bias region (I 0)
is expressed as (11)
I
V = nVT ln 1 +
IS
where IS is the reverse saturation current, VT is the
thermal voltage, and n the emission coefficient. If one
indicates with P0 (I0, V0 nVT ln (1 I0 /IS)) the diode
operating point, the differential resistance of the diode
Y = f (X)
Y = Y 0 + p ( X X 0)
P0
x
X0
"
VBE
IC = IS exp
1 ,
VT
Figure 1. Geometrical interpretation of the basic linearization procedure: in a small neighborhood of a DCOP P0, the curve Y f(X) is
approximated by its tangent straight line Y Y0 p(X X0) whose
slope is p (df /dX)0. The small signals x and y px are measured
in the coordinate system with origin in P0.
IB =
"
IS
VBE
exp
1
F
VT
Y0
iB =
vBE
rBE
(9)
where gm IC /VBE0 (IC0 IS)/VT is the BJT transconductance and where rBE F /gm. By using a VCCS,
Eqs. (9) can be considered as referring to the small-signal equivalent two-port shown in Fig. 2(b).
3. As a last example, consider a reverse-biased p/n junction diode. If one neglects the reverse current, its model
reduces to a nonlinear capacitor having a voltage
charge relationship given by
1m
V
Q = Q0 1 + R
VJ
110
IB
Figure 2. Basic BJT model, where
f(VBE) IS[exp (VBE /VT) 1]: (a) A linearization procedure applied to (a) gives rise
to the linear constitutive laws of the small
signal equivalent two-port represented in
(b).
VBE
IC
f(VBE)
F
VBE
rBE
(11)
l , Il ) = 0
Fl (
(12)
Fr (Vr , Ir , E) = 0
(13)
gm VBE
VCE
(b)
#
Cj0
dQ ##
Cj =
=
m
#
dVR 0
V
1 + R0
VJ
BV = 0
VCE
iC
(a)
AI = 0,
f(VBE)
iB
l
d
= Vl
dt
(14)
+
i =0
l
l #0
Il #0 l
#
#
#
Fr ##
Fr ##
Fr ##
v
+
i
+
e=0
r
r
Vr #0
Ir #0
E #0
(15)
(16)
(17)
(18)
dqc
= ic
dt
(19)
l
d
= vl
dt
(20)
where Fc /Qc0, Fc /Vc0, . . ., Fr /E0 are the Jacoby matrices of the functions Fc, . . ., Fr with respect to Qc, Vc, . . .,
E at the DCOP.
Small-Signal Equivalent Circuits
A small-signal equivalent circuit can be defined as a graphical
representation of Eqs. (15) (20) constructed by means of linear ideal circuit components which, in addition to those considered in the section entitled A Simple Approach to Linearization, may include, for instance, dependent voltage and
current sources controlled by any number of variables. Moreover, if the derivative with respect to time of Eqs. (16) and
(17) is considered and Eqs. (19) and (20) are used, dependent
branches controlled by time derivatives of voltages and currents may also appear. By simple inspection of Eqs. (10) (14)
and (15) (20) it can be observed that, since Kirchhoff s equations sets (10) and (15) have an identical structure, a smallsignal equivalent circuit having the same topology as the orig-
rB'C
rBB'
CB'C
B'
vB'E
vBE
CB'E
rB'E
vCE
gmbvB'E rCE
E
Figure 3. Hybrid- equivalent circuit of a BJT which is widely used
for small-signal analysis of bipolar and BiCMOS circuits.
inal nonlinear one may be obtained by substituting small-signal increments for the corresponding circuit variables and a
linear component for each corresponding nonlinear one. In
practice, however, the topological correspondence between the
nonlinear and the linearized circuit models is often not perfect. This is due, on one hand, to the common practice of rearranging the equations to simplify the associated equivalent
circuit or, conversely, of performing transformations which
modify the topology of the small-signal circuit to simplify the
associated equations and, on the other hand, to the presence
of bias sources. In fact, a voltage bias source is, by its very
definition, an independent voltage source whose value is unaffected by the signals. Therefore, its small-signal equivalent
one-port is an independent voltage source of zero voltage
that is, a short-circuit. Dually, the small-signal equivalent
one-port of a current bias source is an open-circuit. So, the
small signal equivalent sources corresponding to bias sources
do not explicitly appear in equivalent circuits.
As simple but important examples, commonly used equivalent circuits of a bipolar junction transistor operating in normal region and of a MOS field-effect transistor are reported
in Figs. 3 and 4, respectively (11,12). In addition to the components in Fig. 2(b), the well-known hybrid- equivalent circuit for a BJT shown in Fig. 3 accounts for the base resistance (rBB), the junction capacitances (CBE and CBC), an
internal resistive feedback (rBC), and the Early effect (rCE). Notice that in a BJT nonlinear model the Early effect is accounted for by a dependence of the transport current on the
base-collector voltage and the corresponding equivalent circuit should have a current source controlled by vBC connected
CGD
v GS
gd
CGS
CGB
gmvB'E gmbvB'E
vDS
CDB
S
CSB
vBS
B
Figure 4. Small-signal equivalent circuit of a MOSFET which is
widely employed for small-signal analysis of MOS circuits.
111
112
where Gs 1/Rs and where VGS1, Vi and V0 indicate the Fourier transform of the voltages vGS1(t), vi(t) and v0(t), respectively. By solving Eqs. (21) and (22) for Vi( j) and V0( j), one
easily obtains
VDD
M2
M3
C
1 j GD1
V0 ( j)
gm1
= Av0
,
Av ( j) =
Vi ( j)
1 + j 2
Voo + vo(t)
Ib
Rs
(23)
M1
Rs
[C (C
+ Cg ) + CgCGD1 ]
GL L GD1
Rs
=
[G (C
+ Cg ) + Gs (CL + CGD1 ) + gm1CGD1 ]
GL L GD1
=
v i(t)
Vio
circuit including the supply voltage generator VDD, the transistor M3, and the constant current source Ib is used to bias
the gate of M2 to a suitable voltage, thus establishing, together with the bias source Vio, the circuit DCOP. Moreover,
vi(t) represents a small-signal input source with internal resistance Rs, and CL is a load capacitance. Substituting the
equivalent circuit shown in Fig. 4 for M1 and M2 yields the
small-signal equivalent circuit reported in Fig. 6, where Cg
CGS1 CGB1, CL CL CDB1 CDB2 CGD2, and GL gd1
gd2. In order to characterize the circuit behavior, a very meaningful quantity to be computed is the amplifier voltage gain,
namely the transfer function Av V0 /Vi, where V0 and Vi represent the Laplace or Fourier transform of the small signals
v0(t) and vi(t). By applying the KCL to the nodes M and N of
the circuit in Fig. 6, one gets
(VGS1 Vi )GS + jCgVGS1 + jCGD1 (VGS1 V0 ) = 0
(21)
(22)
Rs
Vi
Cg
CGD1
Vo
VGS1
gm1V GS1
GL
CL
Av ( j) Av0
1 j
2
1+ j
p1
p1 p2
(24)
H/H
H
=
H
/
iC
iB
VCC
113
vBE
RC
rBE
ICo iS
ISo F
F iB
Vo
vi
RC
vo
REo
Vi
RE
(a)
IEorE
(b)
Figure 7. Sensitivity calculations may be included in a general linearization procedure. Parameters changes in the circuit (a) are accounted
for in the small-signal equivalent circuit (b) by suitable independent sources.
BVr = 0,
Fr (Vr , Ir , E, ) = 0
(25)
where, with respect to Eq. (13), the dependence on the parameters vector has been accounted for. By applying a linearization procedure to Eqs. (25), one obtains
Air = 0,
Bvr = 0,
#
#
#
#
Fr ##
Fr ##
Fr ##
Fr ##
v
+
i
+
e
+
=0
r
r
#0
Vr #0
Ir #0
E #0
where indicates the small changes parameters vector with
respect to the nominal parameter values 0. Note that only
vr and ir are unknown variables and therefore may be dealt
with as e; that is, the effects of small parameter changes may
be accounted for by suitable independent sources. Once vr, ir
are expressed as functions of e and , any desired sensitivity
is readily obtained.
As a simple example, consider the amplifier stage of Fig.
7(a) and suppose that small spreads or changes of the BJT
transport saturation current IS and of the resistance RE must
be considered. Assume that IS has nominal value IS0 and variation iS, while RE has nominal value RE0 and variation rE. The
equations describing the circuit behavior may be written as
Vi = VBE + RE IE
VCC = RC IC + VCE + RE IE
VCE = VCB + VBE
Vo = VCC RC IC
$
%V &
'
IC = IS exp VBE 1
T
IE = IC + IB
$
%V &
'
I
IB = S exp VBE 1
F
i C = F i B
iE = iC + iB
iB =
v BE
r BE
I C0
F I S0 iS
vo = RC iC
and may be interpreted by the small-signal equivalent circuit
of Fig. 7(b), where the changes of RE and of IS are accounted
for by a voltage source IE0rE and a current source (IC0 /FIS0)iS,
respectively. In this way, sensitivities such as
#
IS0 v0 ##
R I
rBE
V0
SI =
= C C0
V00 iS # v i =0
V00 rBE + RE0 (F + 1)
S
r E =0
114
NETWORK COMPUTING
SERGIO GRAFFI
GIANLUCA SETTI
Universita` di Bologna
408
+ 5V
Only during the past few years has the issue been formulated in a manner that permits adequate insight into its character such that a rigorous theory has begun to emerge. A major stride forward was announced in (4), and subsequent work
has yielded further results. The problem of assessing the stability of each operating point of a nonlinear dc circuit is still
not completely solved. Much basic understanding has been
attained, however, and this article is intended as a survey of
that knowledge. We begin with several important definitions.
FUNDAMENTAL DEFINITIONS
Equilibrium Points vs. Operating Points
We will first make a clear distinction between a circuits equilibrium point and its operating point. In any dynamic system
described by a set of differential equations
dx
= f (x)
dt
(1)
where x Rn and f : Rn Rn, the set of equilibrium (or singular) points is defined to be x : f(x) 0. When we refer to an
equilibrium point it is within this context of a given dynamic
system. When the dynamic system is an electric circuit the
derivative terms in Eq. (1) will necessarily arise from the
presence of capacitors and inductors.
A natural starting point when analyzing a dc circuit is to
solve for its operating point. This entails ignoring all capacitors and inductors while solving for the voltages and currents
across all branches of the static elements (e.g., transistors
and resistors). In such an analysis there are no state variables defined, hence the concept of an equilibrium point has
no meaning. This set of dc branch voltages and currents constitutes the operating point, defined independently of any dynamic system; in others words, an operating point is independent of the vaue or location of any capacitor or inductor in
the circuit.
As a simple example, the circuit in Fig. 1(a) has its equilibrium point given by vc 10 V, while the circuit in Fig. 1(b)
has its equilibrium point given by iL 5 A. Although these
equilibrium points are different, since they correspond to different dynamic systems [indeed, the equilibrium point of Fig.
1(a) is unstable, while the Fig. 1(b) circuit is stable], they both
correspond to the same dc operating point, defined by the
+
vc
5V
(a)
(b)
5A
Mathematically speaking, there is no basis for discussing stability
in resistive networks, since they are not described by differential
equations. Physically, however, it is well known that in a resistive
network with multiple states of equilibrium some of these states
are usually unstable. Thus some dynamic mechanism of instability must exist in the network.
iL
+ 5 V
5 A
1
+ 5V
5A
+
10 V
(c)
Figure 1. Illustration of equilibrium point versus operating point.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
shunt capacitors and series inductors into the circuit, the corresponding equilibrium point of the resulting dynamic circuit
is stable, even in the presence of parasitic capacitors and inductors.
In the above definition we use the term parasitic to mean
arbitrarily small values of capacitors and inductors that are,
as in real circuits, placed anywhere throughout the circuit. In
the next section we will give an example illustrating why such
elements must be mentioned in this definition.
Definition 3. An operating point that is not potentially stable is said to be unstable.
We emphasize that if an equilibrium point is unstable this
means with respect to a particular set of capacitor and inductor values. If an operating point is unstable, this means it is
unstable for any set of positive-valued capacitors or inductors.
Since there is an infinite number of possible dynamic circuits
(and, therefore, an infinite number of resulting equilibrium
points) that could be constructed from a given operating
point, the above definitions are unsuitable for directly testing
for an operating points instability. A more practical method
is required. Such a method is given in the next section.
HOW TO IDENTIFY UNSTABLE DC OPERATING POINTS
Consider the linear dynamic circuit shown in Fig. 2(a). We
presume that this has come from the linearization, around a
particular operating point, of some nonlinear circuit. The linear n-port N contains only positive-valued resistors. Ports 1
Ck + 1
yk+1
y1
+
xk+1
+
x1
.
.
.
Outside
ports
Ln
xn
a 1x i
.
.
.
xk
+
yn
+
yk
C1
Dependent
source ports
Lk
+
a kx j
(a)
y1
+
x1
a 1x i
.
.
.
xk
+
yk
N
.
.
.
where the vector x consists of the usual state variables (capacitor voltages and inductor currents), and is partitioned
into xd, a vector whose components are the state variables
appearing at the dependent source ports, and xo, a vector of
state variables appearing at the outside ports. Vectors yd and
yo are the respective port-variable complements of xd and xo.
The n n matrix Q, shown partitioned in Eq. (2), has a nonnegative determinant, since N contains only passive reciprocal elements.
The port constraints of the circuit in Fig. 2(a) are given by
y
yd
yo
a kx j
(b)
Figure 2. Generalized linear circuits.
409
d
=K
dt
x
xd
xo
AA
+
0
0
xd
0
xo
(3)
410
xd
xo
x
+ (QA + In ) d
xo
=0
(4)
(5)
det(QA AA + Ik )
We can now state the following theorem:
ib
e1 10 V
100
2
M1
100
2
3.51 k
M2
e3
I1
I2
Q4
Q3
+
vb
ia
e2
ic
dc node voltages
e1 = 10
e2 = 9.01
e3 = 0.691
e4 = 0.041
285 Sva
id
+
vc
1.57 mS vd
e4
+
va
+
vd
64 k
641 k
R = 1 k
1 k
(a)
(a)
e1 10 V
ia
e2
100
2
M1
M2
e3
I1
Q3
5
+
va
100
2
I2
Q4
1
dc node
e1 =
e2 =
e3 =
e4 =
voltages
10
9.01
0.693
0.042
ib
292 mS vb
ic
602 k
62 k
+
vc
+
vb
3.42 k
id
+
vd
e4
R = 1 k
1 k
(b)
(b)
Figure 3. Two versions of a current reference circuit.
1.61 mSvc
Vt
ln 5
1000
3510
0
3510
0
0
635
10
625
3510
10
4495
975
0
625
975
1600
ia
ib
ic
id
va
vb
vc
vd
=0
(6)
1562
0
1562
0
1562
0
1562
0
0
3420
0
3420
0
3420
0
3420
ia
ib
ic
id
va
vb
vc
vd
=0
411
Since 0 for the Fig. 3(b) circuit, the operating point indicated in the Fig. 3(b) circuit must be unstable.
Recall that Theorem 1 specifies a sufficient condition for
operating point instability, but not a necessary one. The
above analysis does not prove that the operating point shown
in Fig. 3(a) is potentially stable, but it can be verified that
this is the case simply by building this circuit and observing
the dc node voltages shown in Fig. 3(a).
The instability criterion 0 given in Theorem 1 has been
incorporated into the dc operating point analysis of SPICE
(10). A discussion of that topic will be given in the section
titled Identifying Unstable Operating Points Using SPICE.
MODELING REQUIREMENTS FOR REACTIVE ELEMENTS
How capacitors and inductors are appropriately modeled was
addressed in another result, which was derived as a by-product of the development of the stability criterion. In particular,
Theorem 2 in (4) states that any dependent current (voltage)
source must have a capacitor (inductor) placed in parallel (series) with it. This requirement holds even if the value of a
dependent source gain is zero. (Such zero-valued dependent
sources are needed when a port corresponds to an open-circuit
voltage or a short-circuit current that is used as a controlling
signal but is not directly connected to an actual, nonzero dependent source.) This result is important because there are
locations in certain circuits where capacitors and inductors
must be modeled in order to observe unstable natural frequencies. The dangers of leaving out such critical capacitors
and inductors are illustrated in the following example. Consider the circuit shown in Fig. 4. This circuit has been designed to be a second-order low-pass gm C filter using the
pseudodifferential technique (11). The capacitors C1 and C2
determine the filters desired transfer function. The capacitor
(7)
VDD
The AA matrix, as defined in Eq. (3), is given, for the Fig. 3(a)
circuit, by
AA =
0
.000285
0
0
0
0
0
0
0
0
0
0
0
0
.00157
0
C2
C1
(8)
M2
AA =
0
0
0
0
.000292
0
0
0
0
0
0
.00161
0
0
0
0
M2
M3 M3
(9)
VCM(out) +
M1
vout
2
M1
VCM(out)
We now evaluate for each circuit. For the Fig. 3(a) circuit,
= 1.55
(10)
VCM(in) +
vin
2
M1
M1
VCM(in)
(11)
vin
2
vout
2
412
V2
RC = 1 k
RC = 1 k
10 k
10 k
V2 V1
C
gm2
g g
C s + m1 m3 = 0
2 2
4
V1
Since both solutions to the above equation lie in the left halfplane, we could then conclude that the filter is stable. Unfortunately, this conclusion is incorrect, as we will now show.
Let us now assume Cp 0. The resulting (now third-order)
characteristic equation is:
g g
g g
g
C1C2C p s + 2C1C2 m1 m3 C1C p m1 m3 + C2C p m2
gm2
2gm2
2
3
C2 gm1 gm3 s
(a)
RC = 90
10 k
(b)
V2
RC = 90
10 k
C
V2 V1
s2
( gm1 gm3 )2
=0
2gm2
V1
(c)
(d)
Is (mA)
Is
+
100 k
Vs
200 k
3
6.8
3 k
14
(a)
24
453
(b)
Vs
(s k ) = 0
r=1
l=1
(13)
c=1
At this point perhaps it is natural to question whether circuits exist which, when the dc biasing is appropriate, possess
operating points having an even number of positive real natural frequencies. Such circuits do exist (14) and such operating
points would also be unstable, but they would not be identified as such by Theorem 1, since the constant term in any
corresponding characteristic polynomial would be positive.
The following definition identifies such operating points.
Definition 5. Given an operating point O of a dc circuit, if
every robust dynamic circuit that can be constructed around
O has an even nonzero number of natural frequencies in the
open right half-plane, then we say that O U e.
Although a special class of U e operating points has been
identified in Ref. 14, finding definitive criteria that identify
such operating points is still an open problem.
(Almost) Half of All Dc Operating Points Are Unstable
We will now prove some general results for the number of
unstable operating points that a circuit must possess. We begin by stating the following result from Ref. 15:
(12)
k=1
R
L
C
=
r
l
(c + jc )(c jc )
an
r=1
c=1
l=1
R
L
C
2
2
r
l
(c + c )
=
413
(14)
414
12 V
4 k
4 k
4 k
4 k
30 k
30 k
V1
V2
10.1 k
10.1 k
2.5 V
1 mA
1 mA
V1
V2
Index
1.8
1.8
2.7
2.7
2.5
1.8
2.7
2.5
2.5
1.8
2.7
1.8
2.7
2.5
2.5
2.5
1.8
2.7
+1
+1
+1
+1
+1
1
1
1
1
Stability type
Potentially
Potentially
Potentially
Potentially
ue
uo
uo
uo
uo
stable
stable
stable
stable
Let the circuit contain p nodes and m independent and dependent voltage sources. Then in Eq. (15), v Rp is a vector each
of whose components is a node voltage; Rp is a vector
whose components are the sums of independent current
source values entering each node; v Rm is a vector each of
whose components is either an independent voltage source
value, or zero if the component corresponds to a dependent
voltage source; Rm is a vector whose components are the
currents flowing through each independent or dependent voltage source; F : Rpm Rpm is a smooth mapping. The jth
equation, j 1, . . ., p, of Eq. (15) is the KCL constraint that
equates the sum of all independent current sources entering
node j with the sum of the rest of the currents leaving node
5V
1 k
1 k
10 k
2
4
10 k
5
V(1)
V(2)
V(3)
V(4)
V(5)
=
=
=
=
=
5.000000e+00
1.186180e+00
1.186180e+00
8.085736e01
8.085736e01
+15
+
741
+15
741
+
Vout
Vout
v
15
15
Vout = 710.2999e6
Vout = 710.3210e6
******************************
Warning: This operating point
is unstable.
******************************
(a)
(b)
Figure 9. Op-amp circuits connected with (a) positive and (b) negative feedback. Warning message is automatically printed out by modified SPICE algorithm.
circuits equilibrium pointthat is, the instability of an equilibrium point of a dynamic circuit with a specific set of capacitors and inductors specifiedand the instability of a dc circuits operating point, as discussed in the section titled How
to Identify Unstable Dc Operating Points, and in Ref. 4. The
pole-zero and ac analyses cannot be used to determine a circuits dc operating point stability because such analyses must
be performed on a circuit with specific capacitors and inductors prescribed.
Bandgap Voltage Regulator. The fact that SPICE can converge to an unstable operating point is undesirable for two
reasons. First, SPICE can find an operating point that looks
correct, but is worthless in that it is actually unstable and
hence physically unobservable. As mentioned previously, the
instability of the operating points indicated in Fig. 8 and Fig.
9(b) is well known to most circuit designers. There are other
circuits, however, where it is not as obvious that an unstable
operating point has been encountered. Consider, for example,
the design of a circuit commonly used as a bandgap reference
voltage. The design concept, as illustrated in Fig. 10, is based
on the placing of two sets of constraints on a pair of branch
currents I1 and I2. The first, imposed by the connection shown
of Q1, Q2, and R1, gives
VT ln
Two Examples
Op-Amps Connected with Positive and Negative Feedback. To
illustrate the use of the above algorithm in SPICE, consider
the SPICE dc analysis of the two op-amp circuits shown in
Fig. 9. The model of the 741 op-amp used in our simulations
is the one shown in Ref. 9, p. 424. In both circuits, the SPICE
simulations converged to the operating point shown in Fig. 9.
Our modified SPICE algorithm automatically shows the Fig.
9(b) operating point to be unstable. It may be argued that
such instability can be determined by performing an ac or
pole-zero analysis, both of which are available in most circuit
simulators. However, the Fig. 9(a) circuit that we simulated
was not properly internally compensated; a pole-zero analysis
on this circuit would show that, in fact, both circuits are unstable for the particular capacitor values used.
While the Fig. 9(a) circuit can be stabilized by adjusting
the value of an internal capacitor; the Fig. 9(b) circuit cannot.
This is the essence of the difference between instability of a
415
nI2
= I1 R1
I1
(16)
Current mirror
I1
I2
Q1
Q2
K
nx
Vout
1x VB
R1
R2
416
(17)
VT
ln n
R1
(18)
(19)
10 V
This gives a voltage with very low dependence on temperature. Further details on the operation of this circuit can be
found in (9). The Fig. 10 block diagram can be implemented
in the two different ways shown in Fig. 11(a) and Fig. 11(b).
Both circuits correctly realize the Fig. 10 design, where the
output voltage at node 4 is approximately 5 V. The SPICE dc
operating point analysis, whose results are shown in Fig.
11(c) and Fig. 11(d), confirms this. (The difference between
the output voltages of the two circuits is due to second-order
effects, such as nonzero base currents.) It happens that the dc
operating point in the Fig. 11(a) circuit is potentially stable,
whereas in Fig. 11(b) it is unstable. This fundamental prop-
10 V
4
3
Vout
8 k
8
8 k
5X
5X
6
10 k
1 k
10 k
1 k
26 k
26 k
(a)
V(1)
V(2)
V(3)
V(4)
V(5)
V(6)
V(7)
V(8)
=
=
=
=
=
=
=
=
(b)
1.000000e+01
9.309432e+00
5.585356e+00
4.845284e+00
2.688440e+00
2.039494e+00
1.999687e+00
8.720304e+00
V(1)
V(2)
V(3)
V(4)
V(5)
V(6)
V(7)
V(8)
i(vs) = 3.46535e04
=
=
=
=
=
=
=
=
1.000000e+01
9.305452e+00
6.203722e+00
5.460580e+00
3.029703e+00
2.378533e+00
2.335150e+00
8.712343e+00
i(vs) = 3.92694e04
*****************************
Warning: This operating point
is unstable.
******************************
(c)
(d)
V(1)
V(2)
V(3)
V(4)
V(5)
V(6)
V(7)
V(8)
=
=
=
=
=
=
=
=
1.000000e+01
9.413378e+00
1.722498e+00
1.022720e+00
5.681401e01
2.314104e02
2.242561e02
8.928227e+00
i(vs) = 5.76906e05
******************************
Warning: This operating point
is unstable.
******************************
(e)
Vout
417
+5
I
+
V
2 k
500 A
5
Figure 12. Transistor one-port with NDR.
418
y1
xk
.
.
.
ak x j
x1
x1
a1 x i
+
+
y1
xk
ak x j
N
ik+1
.
.
.
yk
N
ik+1
+ vk+1
+ vk+1
No
Figure 13. General linear active oneports.
Ns
(a)
(20)
s det(Qs A + Ik )
(21)
(b)
Let gp(A) denote the linearized port conductance of the oneport biased at a given operating point, and let gp(0) denote
the port conductance of the same linearized one-port under
the condition that all of the dependent sources have been set
to zero. This notation is illustrated in Fig. 14. The following
theorem is presented in (24):
Theorem 6.
g p (A) = g p (0)
o
s
(22)
y1
+
x1
.
.
.
xk
.
.
.
+
ak x j
yk
N
ik+1
a 1x i
.
.
.
yk
.
.
.
+ vk+1
gp(A)
y1
+
x1
.
.
.
a 1x i
xk
.
.
.
+
yk
N
ik+1
+ vk+1
gp(0)
B
i
i2
+
v
i1
v1
R
+
v
v2
(a)
(b)
i
B
i2
i1
E
v
v1
v2
Voltage-controlled
Current-controlled
(c)
(d)
Theorem 7. Let O be an operating point of a nonlinear oneport whose topology satisfies the loop and cut set assumptions
stated above, and let gp(A) be the linearized port conductance
at O . The following statements are true:
(i) If gp(A) 0 then O s U o if and only if O o U o.
(ii) If gp(A) 0 then O s U o if and only if O o U o.
Proof. By the assumptions on the topology of the one-port,
gp(0) 0 only if gp(A) 0, and gp(0) only if gp(A) .
The proof is now immediate from inspection of the sign of
each term of Eq. (22).
We can use Theorem 7 to identify regions of stability on a
one-ports driving-point characteristic for both open-circuit
and short-circuit terminations of the one-port as follows.
In Fig. 15(a) we show a one-port with a C-type drivingpoint characteristic, constructed by placing a positive resistor
in series with an N-type circuit, as shown in Fig. 15(b) (23).
The charactristic has been divided into five curve segments in
Fig. 15(a), each of which is bounded on at least one end by a
point at which the characteristic has either zero or infinite
slope. We assume that all components in this one-port are
described by C 2 functions that are, along with their derivatives, bounded on any compact set. Also, let us assume for
this example that the origin of the characteristic in Fig. 15(a)
is known to be potentially stable when the one-port is terminated with either a short circuit or an open circuit. These
assumptions imply that s and o are continuous and finite
everywhere on the characteristic and that they are both positive at the origin.
As we proceed from the origin along segment A to the
boundary between segments A and B, the slope changes from
419
CONCLUSION
This article has surveyed a variety of results on the stability
of dc operating points. In contrast to techniques often found
in the literature, which are based on heuristic methods or
overly simplified assumptions and consequently can be misleading, these results provide an analysis of operating point
stability in a more rigorous context. We first made clear the
difference between an operating point and an equilibrium
point and then defined rigorously, based on the actual circuit
dynamics, what it means for an operating point to be either
potentially stable or unstable. We found a simple criterion,
based only on the dc circuits linearized equations, that can
identify an operating point as being unstable. As a by-product
of the derivation of this criterion we showed that, in order to
correctly determine operating point stability, it suffices to
model stray capacitance and inductance in a few specific locations, even though parasitic reactances might exist virtually
everywhere in a physical circuit. Practical examples were
given which used this criterion to identify unstable operating points.
Our attention then turned to the classes of U 0 and U e operating points, and we established that U 0 operating points
are quite prevalentcomprising approximately half of all operating points in circuits having multiple operating points.
The modification of SPICE to permit it to identify U 0 operating points was treated next. The stability results were
extended to operating ports of nonlinear one-ports, where the
relationship between negative differential resistance at an operating point and open- and short-circuit stability was described.
420
CIRCUIT TUNING
BIBLIOGRAPHY
1. W. Duddel, On rapid variations in the current through the directcurrent arc, The Electrician, 46: 269310, 1900.
M. M. GREEN
A. N. WILLSON, JR.
University of CaliforniaIrvine
University of CaliforniaLos
Angeles
529
530
stable steady state in the state space. The steady state works
as if it absorbs every neighboring state into itself. Such a
steady state is called an attractor, and the existence of attractors is the most significant property of a dissipative system
such as the circuit dynamics. On the other hand, a lossless circuit containing only inductors and capacitors is formulated as
an energy conservative system similar to classical mechanics.
In this case we have no attractors and the distinction between
transient state and steady state becomes difficult.
Examples of Steady State and Attractor
Among all possible states in the state space, some particular
states have a special property such that they are invariant in
some sense during the time evolution. They are candidates to
be attractors or steady states of the system. Equilibrium
point, periodic state, quasiperiodic state, and a more complicated state, called chaotic state, are typical invariant sets of
the system. An equilibrium point, also called a rest point, is a
single point in the state space which always rests at the same
point during the time evolution. This is the simplest steady
state and corresponds to a dc operating point in a real circuit.
Periodic state is a periodically repeated state with a definite
period or frequency. This state is commonly observed in
forced circuits driven by an ac voltage or current source. An
oscillator also produces a periodic state. Many biological
rhythms are also modeled as periodic states generated by biological oscillators. A state containing several distinct frequencies is called a quasiperiodic state. It appears sometimes in a
forced oscillator with periodic input signal. When the difference of free and driving frequencies is appropriately large,
both frequencies can survive and doubly periodic oscillation
becomes possible. In this case the time response is a beat or
quasi-periodic oscillation. Chaotic state is the most complicated state whose long-term time response looks like a noisy
or random nature. Later we will discuss this state more precisely. The concept of stability of the above invariant sets is
also important. Roughly speaking, a steady state is stable if
every neighboring state always stays in the neighborhood of
the steady state in future evolution. If a steady state satisfies
a stronger condition such that all neighbors approach the
steady state, then we say that the state is asymptotically stable. An attractor is an asymptotically stable steady state. In
a real system a physically observable state is an attractor. In
the theory of dynamical systems the above invariant sets are
called nonwandering sets. On the other hand, a transient
state corresponds to a wandering set. Figure 1 shows schematic diagram of states of dynamical systems and their bifurcations.
Role of System Parameters
A steady state of a circuit depends also on parameters contained in circuit dynamics. Associated with the change of parameters, the qualitative property of a steady state may
change at some particular value of the parameters. For example, the appearance of a couple of steady states, stability
change of a steady state, or the creation of a new type of
steady state, and so on, may occur under the variation of parameters. We may imagine the parameters as a controlling
device of the qualitative property of states. That is, by changing system parameters we can see a morphological process of
steady states, which is referred to as a bifurcation of state or
Transient states
Wandering
states
Periodic states
TB
PDB
NS
Quasiperiodic
states
PDB
Regular states
531
Complex
and
composed
states
Homoclinic
states
Chaotic states
Phase space
Attractor
Mutual
interaction
Stabilize or
destabilize
Selforganization
Synchronization
Tangent
period-doubling
Neimark-Sacker
Jump
hysteresis
Self-excited
oscillation
Nonlinear
resonance
Hopf
Tangent
Bifurcation
Parameter space
Parametric
excitation
Period doubling
Figure 2. Schematic diagram of typical nonlinear
phenomena: synchronization, self-excited oscillation, nonlinear resonance, and parametric excitation.
532
Equilibrium points
Limit cycles
Invariant sets
Phase portrait
Parameter family
of dynamical systems
Calculus, analysis
Bifurcation diagram
Dynamical
systems
Probability
Time response
Frequency spectrum
Lyapunov exponent
Fractal dimension of attractor
Topology
Global structure
of phase portrait
Basin of attractor
Geometry
Geometrical or Topological Method. Although nonlinear ordinary differential equations cannot generally be solved explicitly by quadrature, we can know the existence of a solution with a given initial condition, the uniqueness property of
the solution, extendability of the solution in long time interval, asymptotic property of solution, stability of the solution,
and so on. These properties depend upon the geometrical or
mainly topological property of dynamical systems. A qualitative approach is then directed to the study of phase portraits,
stability theory, and bifurcation processes.
Numerical Method or Simulation. Many numerical integration methods are now available. Combining these integration
methods and the qualitative approach, we can calculate any
type of steady state, stability condition, bifurcation condition,
statistical test condition for chaotic states, and so on. Newtons method and other root finding methods are effectively
used for the numerical computations.
References in This Section
The theory of dynamical systems, especially classical mechanics, has a long history and has developed many useful techniques to study the time evolution of state. During the early
part of the twentieth century, the theory of nonlinear oscillations arose in electrical and mechanical engineering and has
been developed also in parallel with that of dynamical systems. After discovering the chaotic state in many applied
fields, the nonlinear dynamics has become popular during the
last two decades. Many books and references are now available. We refer to only classical books (19) about nonlinear
oscillations in circuit dynamics and dynamical systems.
BASIC MATHEMATICAL FACTS
In this section we review minimal mathematical tools for understanding the circuit dynamics as a time-evolving process
called a dynamical system. We also mainly treat a smooth
system; that is, the functions or maps defining the system will
be differentiable as many times as we want. In the remainder
of the article the term dynamical system refers to a differentiable dynamical system or simply a smooth dynamical system.
Circuit Dynamics, State and State Equation
Every lumped electrical circuit obeys two basic physical laws:
(1) Kirchhoff s voltage and current laws and (2) the element
characteristics derived from the constitutive relation of circuit
element. Combining these two constraint relations and eliminating auxiliary variables, we can obtain a system of firstorder ordinary differential equations in normal form as the
state equation or circuit dynamics of the circuit:
x = f(t, x, )
(1)
iG
iG
iC
vC
(a)
i
iG = g(v)
iL
L
R
E
1
2
= . Rm
..
m
(2)
where A is an n n constant matrix and is a small parameter of real number. In this case we say Eq. (2) a quasilinear
system or a weakly nonlinear system.
x1
x2
x = . Rn ,
..
xn
533
iG = I
vC
vC = V
(b)
534
We assume that the capacitor and inductor have linear characteristics whereas the conductor G has a nonlinear characteristics with voltage controlled type [see Fig. 4(b)]. For convenience we assume the nonlinear characteristics as a cubic
polynomial. Then the constitutive relations are written as
dvC
,
dt
di
vL = L L ,
dt
iG = g(vG ) = IG G1 vG G2 v2G + G3 v3G ;
iC = C
(3)
(4)
1
1
i
g(v
)
L
C
C
v
C
f=
x= C ,
(5)
1
R
E
iL
vC iL +
L
L
L
This gives an autonomous vector field in two-dimensional
state space (vC, iL).
By using the coordinate translation
vC = v + V,
iL = i + I,
iG = ig + I
1 = g1
L
,
C
g
3 = 3
C
L
,
C
k=R
C
,
L
B=e C
(12)
(13)
2
d 2y
3 dy
dy
+y=0
1 1
2
d
1 d
d
(14)
Both Eqs. (13) and (14) are expressed by the first-order form
as Eq. (11), hence they are equivalent.
2. A forced resonant circuit. Figure 5 shows another resonant circuit with a saturable nonlinear inductor driven by an
alternating voltage source E sin t. As shown in the figure,
the linear resistor R is placed in parallel with the linear capacitor C, so that the circuit is dissipative. With the notation
of Fig. 5, we have
(6)
dv
= i + g1 v g3 v 3
dt
di
= v Ri + e
L
dt
3 2 dx
d 2x
+x=0
1 1 3 x
d 2
1
d
G1 , G2 , G3 > 0
dvC
= iL iG = iL g(vC )
dt
di
L L = vC RiL + E
dt
v
dvC
+ C = iL
dt
R
(15)
d
+ vC = E sin t
dt
(7)
where we put
g1 = G1 + 2G2V 3G3V 2 ,
g3 = G3 ,
e = V RI + E
(8)
(16)
(9)
R
Cv,
y=
Li,
1
= t
LC
(10)
C
E sin t
dx
= y + 1 x 3 x3
d
dy
= x ky + B
d
iL
E0
(11)
Figure 5. Forced resonant circuit with a nonlinear saturable inductor.
v
dvC
1
= C +
f ()
dt
RC nC
(17)
d
1
E
= vC + sin t
dt
n
n
for the state variables (vC, ). This gives a nonautonomous
system. By eliminating vC, we have the following second-order
equation:
d
d 2
+ b1 + b2 2 + b3 3 = B cos
+k
d 2
d
(18)
where
1
,
k=
RC
= t tan1 k,
E
1 + k2
B=
n
a
bl = 2 l2 (l = 1, 2, 3),
n C
(19)
(20)
(21)
(22)
(23)
x(t0 ) = (t0 , x0 , ) = x0 ,
ant under any translation of time. Hence without loss of generality we can choose the initial instance t0 0.
Hence the questions arise. For a given initial value problem, does Eq. (1) has a solution for all t I R? If Eq. (1)
has a solution, is such a solution unique and does it extend to
the entire time interval R? The answer is the following theorem on the local existence and uniqueness of the solution of
Eq. (1).
Theorem 1. Suppose that in Eq. (1) the function f(t, x, ) is
differentiable in all variables t, x, , then there exists an interval I R containing t0 and the solution (21) also exists for
all initial conditions (t0, x0) I Rn. Moreover, this solution
is unique.
Remark 2. 1. The initial value problem (24) can be equivalently rewritten as the integral equation of the form:
x(t) = x0 +
f(s, x, )ds
(25)
t0
Existence and uniqueness property is then discussed by posing an appropriate condition on f. One of the sufficient conditions to guarantee the property is known as a local Lipschitz
condition. Because the differentiability is stronger than the
Lipschitz condition, we never worry about the existence and
uniqueness problem if f is differentiable. Note that the solution to Eq. (21) exists only in a short time interval I so that
the theorem asserts a local existence property.
2. Extendability of the solution to the entire time interval
R depends on f(t, x, ). Usually the function f(t, x, ) is defined in a bounded region of state space Rn. Starting with an
initial state in the region, the state may reach the boundary
of this region after a finite time, and the solution could no
longer be extended to rest in the region. The simplest example
of such behavior is a blow-up situation where a state approaches to infinity within a finite time. In most circuit applications, however, the solution can be extended to the entire
time interval R.
3. In circuit dynamics, under some particular connection of
elements, the normal form of the state equation (1) may break
at some points or in some subset in the state space as the
next example shows. This pathological situation occurs by
making an oversimplified model for a real physical circuit. It
can be remedied, however, by an appropriate normalization
technique, such as inserting stray reactance elements into
suitable positions of the circuit.
Example 2. In Eq. (7), if we remove the capacitor (i.e., C
0), then we have
= f(t, x(t), ),
535
tIR
(24)
i = g1 v + g3 v3
L
(26)
di
= v
dt
dv
=v
dt
(27)
536
or equivalently
dv
v
=
dt
L(g1 3g3 v2 )
Hence at the point v2 g1 /3g3, Eq. (27) or (28) becomes singular; that is, the circuit dynamics could not be defined. Note
that, instead of the inductors current i, the conductors voltage is used for describing Eq. (27). The inductor is connected
in series with the voltage-controlled conductor with noninvertible characteristics. Hence even if the element characteristics are differentiable, the state equation can never be described by the normal form of Eq. (1). The above points are
called impasse points and generally appear by making an
oversimplification of a mathematical model of the circuit. Indeed if we consider a small stray capacitance C in parallel
with the nonlinear conductor, then the state equation is written in the form of Eq. (4).
Continuous Dependence on Initial Condition
and Parameters at a Finite Time
Knowing that the solution Eq. (21) exists for any initial state
and parameters, we can regard the solution Eq. (21) as the
following function:
(t, , ) :
Rn Rm Rn ;
(x0 , )
(t, x0 , )
(29)
(30)
f(t, (t, x0 , ), )
+
(31)
(34)
(t,
x0 , ) + (t) = f(t, (t, x0 , ) + (t), )
= f(t, (t, x0 , ), )
+
f(t, (t, x0 , ), )
(t) +
x
(35)
F:
with the initial conditions
(36)
(t0 , x0 , )
= In
x0
(32)
(t0 , x0 , )
=0
(33)
x = gradF (x)
(37)
gradF (x) =
F
x
F
F
, ,
x1
xn
T
(38)
F
x
T
0
(39)
Hamiltonian System, Conservative System, or Lossless System. In classical mechanics we study mainly Hamiltonian
systems. In circuit application, a lossless circuit is described
by this type of equation. Let an energy function H be defined
as
R R R; (x, y)
H(x, y)
n
H:
x =
C1
H
y
H
y =
x
H dx H dy
H
dH
=
+
=
dt
x dt
y dt
x
T
(41)
H
y
H
y
H
x
F (v1 , v2 ) =
R R R; (x, y)
F (x, y)
n
(43)
H
y
F
x
y =
H
x
F
y
(l = 1, 2)
(45)
v2
C2
(49)
R2 R2 ;
(v1 , v2 )
1 (v1 , v2 ) = (v2 , v1 )
(50)
R2 R2 ;
(v1 , v2 )
(v1 , v2 ) = (v1 , v2 )
(51)
(v1 , v2 )
2 (v1 , v2 ) = (v2 , v1 )
(52)
0
1
1
,
0
1
0
0
,
1
2 =
0
1
1
0
(53)
ig2
v1
(48)
C1
and
g1
dF
F dv1
F dv2
=
+
dt
v1 dt
v2 dt
2
1 F
1 F
+
50
=
C1 v1
C2 v2
2 = 1 :
ig1
v2
(44)
g(v1 )dv1 +
Hence Eq. (48) is a kind of gradient system. In fact, F decreases along a trajectory, that is,
1 :
v1
F (v1 , v2 )
dv1
=
dt
v1
F (v1 , v2 )
dv
C2 2 =
dt
v2
=0
(42)
C1
Dissipative System. A dissipative system is a combined system of the above two systems. Let F be a dissipative scalar
function:
n
1
G(v1 v2 )2 +
2
x =
(46)
F:
dv1
= g(v1 ) G(v1 v2 )
dt
dv
C2 2 = g(v2 ) G(v2 v1 )
dt
(40)
537
g2
E1 = {(v1 , v2 ) R2 | v1 = v2 }
E2 = {(v1 , v2 ) R2 | v1 = v2 }
(54)
538
dv
= g(v),
v E1
dt
dv
= g(v) 2Gv,
v E2
C
dt
C
(55)
v E
1 2
1
v +
F () C sin t
2n C nC
n
(56)
where
F () =
0
(57)
dvC
H
1
=
=
f ()
dt
nC
d
H
1
E
=
= vC + sin t
dt
vC
n
n
(58)
1 2 1
1
y + c1 x2 + c3 x4 x(B0 + B cos )
2
2
4
(59)
dx
H
=
=y
d
y
dy
H
=
= c1 x c3 x3 + B0 + B cos
d
x
(60)
The qualitative, geometrical, or topological approach of nonlinear ordinary differential equations is a powerful tool for
understanding the nonlinear phenomena of circuit dynamics.
In this and the following sections we introduce some basic
examples from this approach. For now we consider an autonomous system
x = f(x, ),
(61)
x Rn , Rm
(65)
where x Rn is a state vector and Rm is a system parameter. Usually the terms state and phase have the same
meaning. Hence the state space Rn is also called the phase
space. In the two-dimensional case, we say the phase plane
instead of the state plane. Note that Eq. (65) defines the
phase velocity vector field at every point in the phase space.
The phase portrait of Eq. (65) is the set of all trajectories in
the phase space Rn. The phase portrait contains useful information of the behavior of trajectories. We see the number and
types of equilibrium points, their asymptotic behavior when
t , and so on. In practice, only typical trajectories are
illustrated in the portrait to show the behavior schematically.
Equilibrium Point and its Topological Classification
A point at which the phase velocity becomes zero is called an
equilibrium point. The point corresponds to a dc operating
point of a circuit. Hence an equilibrium point x0 Rn is given
by the relation
f(x0 , ) = 0
(62)
H
F
dx
=
d
y
x
H
F
dy
=
d
x
y
(67)
(63)
Example 4. 1. Consider Eq. (11) in Example 1(1). Equation
(66) is given by
(66)
f 1 (x0 , y0 ) = y0 + 1 x0 3 x30 = 0
(64)
f 2 (x0 , y0 ) = x0 ky0 + B = 0
(68)
(69)
k1 1 1
,
k3
k
k1 1
,
k3
(0, 0),
1
k1 1
,
k3
k
k1 1
k3
(70)
di1
= E 1 R1 i 1 v
dt
di
L 2 2 = E 2 R2 i 2 v
dt
dv
= i1 + i2 g(v)
C
dt
L1
(71)
g1 , g3 > 0
(72)
f 1 (i1 , i2 , v) = E1 R1 i1 v = 0
f 2 (i1 , i2 , v) = E2 R2 i2 v = 0
(73)
f 3 (i1 , i2 , v) = i1 + i2 g(v) = 0
Substituting the first and second equations into the third
equation, we have the following cubic function of v:
f (v) =
E1
E
+ 2
R1
R2
1
1
+
g1 v g3 v 3 = 0
R1
R2
(74)
iG
G
x(t) = x0 + (t)
L2
L1
i1
i2
R1
E1
R2
E2
(75)
Substituting Eq. (75) into Eq. (65), we have the linear variational equation as
(76)
1 2
D f(x0 , )( , ) +
2
(77)
It follows that the linear part A Df(x0, ) is a good approximation to the nonlinear function f( , ) near the equilibrium point x x0, and it is reasonable to expect that the
qualitative behavior of Eq. (65) near x x0 will be approximated by the behavior of Eq. (76). This is indeed the case if
the matrix A Df(x0, ) has no zero or pure imaginary eigenvalues. Hence we define an equilibrium point with this condition as a hyperbolic equilibrium point. That is, an equilibrium
point is hyperbolic if none of the eigenvalues of the matrix
A Df(x0, ) have zero real part. The HartmanGrobman
theorem shows that near a hyperbolic equilibrium point, the
nonlinear system of Eq. (65) has the same qualitative structure as the linear system of Eq. (76). That is, by a homeomorphism (continuous mapping with its inverse) h from an open
set U containing x0 of Eq. (65) onto an open set V containing
the origin of Eq. (76), trajectories of Eq. (65) in U map onto
trajectories of Eq. (76) while preserving their orientation by
time. Here qualitative structure, topological property, or
topological type has the same meaning.
Using this result, we can classify topologically hyperbolic
equilibrium point. Let
() = det[In Df(x0 , )] = 0
(78)
(79)
iC
(t) = A (t)
539
(80)
540
(a) Rn = Eu Es ,
is called the orbit of Eq. (65) through the initial state x0. Asymptotic behavior in the future or in the past is also defined
as
A(Eu ) = Eu , A(Es ) = Es
(81)
(t, x0 , )
0 t
(t, x0 , )
(x0 ) = (Orb(x0 )) = lim(x0 ) =
(86)
They are called the limit set and the limit set of x0 or
Orb(x0), respectively. Now suppose that p Rn be a sink.
Then the set of all point x0 whose limit set is p is called the
basin of attraction or the domain of attraction of the attractor p:
{0 O, 1 O, , n O}
Basin(p) = {x Rn | lim(x) = p}
(82)
(87)
(88)
0 t
(83)
W u (x0 ) W s (x0 ) = x0
(84)
(85)
a2
2O
0O
a1
1O
Im
Im
541
y2
Im
Im
Re
2 1
Re
(a)
Re
(b)
y1
Re
(c)
(a)
(b)
() =
1
1
= 2
+ 1 = 0
x = x x3 (x y)
(92)
y = y y3 (y x)
x = y
(89)
y = x +
(1 x2 )y
where we put
where
= 1 > 0,
y2
v1 =
=3 3 >0
1
(90)
C
x,
g3
v2 =
C
y,
g3
y1
A = Df(x0 , y0 ) =
(a)
(b)
y2
y2
y1
g1
> 0,
C
G
>0
C
(93)
y2
y1
(c-1)
(91)
3x20
3y20
(c-2)
y1
Figure 10. Phase portrait of a sink. (a) Node, (b) focus, (c) degenerate focus.
(a)
(94)
y2
y2
y1
y1
(b)
542
2
0O
1O
1O
0O
0O
1O
0O
2O
1
2
0O
2O
1O
1O
0O
1O
1 1O
0
1
0O
3 x
0O
1O
All equilibria are hyperbolic and their types are easily calculated from Eq. (94). The phase portrait is illustrated in Fig.
15. Stable manifolds approaching four saddle points separate
the phase plane into four regions in which one sink is situated. That is, the phase plane is divided into four basins of
attractions whose boundaries are stable manifolds of the saddle points.
x Rn , Rm
(95)
where x Rn is a state vector and Rm is a system parameter. Suppose that Eq. (95) have a periodic solution (t, x0, )
with period L. The orbit
C = Orb(x0 ) = {x Rn | x = (t, x0 , ), t [0, L]}
(96)
forms a closed curve in the state space Rn. This is an invariant set of Eq. (95). That is,
(t, C, ) = C
(97)
A small perturbation or variation (t) from the periodic solution obeys the following variational equation:
(t) = A(t) (t)
(98)
where
A(t) = Df((t, x0 , ), ) =
f
((t, x0 , ), )
x
(99)
x
Figure 14. Surface of the dissipative function, Eq. (47). Each stationary point corresponds to the equilibrium point in Fig. 13.
tR
(100)
2O
Figure 16. Phase portrait of the van der Pol equation, Eq. (89), with
0.5 and 1. The closed curve C indicates a stable limit cycle.
(101)
y = x
(1 x2 + x4 )y
Equation (101) is the van der Pol equation with a hard characteristic. That is, the nonlinear characteristic is assumed to
543
be a fifth-order polynomial. Figure 17 shows the phase portrait for 0.2, 3.5. Two limit cycles C1 and C2, one of
which is stable and another unstable, exist and the origin is
a sink 0O in this case. Hence we have two attractors: a stable
limit cycle C1 and a stable equilibrium point 0O. The basin of
the latter equilibrium point is the region surrounded by the
unstable limit cycle cycle C2. The outer region of the unstable
limit cycle C2 is then the basin of the stable limit cycle C1.
According to the initial state we specify, the final steady state
becomes the sink 0O or the stable limit cycle C1. This shows
an example of the existence of multistable states. In the circuit, if the initial state is small, then the oscillatory state is
never realized. To observe an oscillatory state corresponding
to the stable limit cycle we must give an initial state large
enough to enter the basin of the limit cycle C1. This oscillatory
process is called a hard oscillation. On the other hand, the
process stated in Example 6, item 1, is called a soft oscillation.
Poincare Map for Autonomous Systems
The definition of a Poincare map, or first return map, for a
periodic solution is quite simple. Suppose that Eq. (95) has a
periodic solution (t, x0, ) through the point x0. We choose a
hypersurface Rn to intersect transversally the periodic
orbit at x0, Then for each point x1 sufficiently near x0,
the solution through x1 will return to again at a point x2
near x0 (see Fig. 18). The mapping x1 x2 is called the
Poincare map T. That is, T is defined as
T:
;
x1
x2 = T (x1 ) = ( , x1 , )
(102)
(103)
(104)
Rn
C1
(t, x1, )
0O
x2
x2 = ( (x1), x1, )
C2
x0
x1
x2 = (L, x0, )
(t, x0, )
Figure 18. Periodic orbit and Poincare map. Local cross section is
the hypersurface .
544
form
xk+1 = T (xk ),
xk ,
k = 1, 2, . . .
(105)
(106)
That is, x0 is a fixed point of T. If L is the period of the periodic solution, then the return time becomes (x0) L.
Stroboscopic Mapping: Poincare Map
for Periodic Nonautonomous Systems
x = f(t, x, ), x Rn , Rm
(107)
where x Rn is a state vector and Rm is a system parameter. We assume that f is periodic in t with period 2:
f(t, x, ) = f(t + 2, x, )
(108)
for all t R. Equation (107) describes a class of dynamic nonlinear circuits with a periodic forcing term. A nonlinear circuit with an ac operation is a typical example of this class.
Without loss of generality, we assume that the period of the
external forcing is 2. Suppose that Eq. (107) has a solution
x(t) (t, x0, ) with x(0) (0, x0, ) x0. This time we
have the periodic property equation, Eq. (108). Hence for every 2 instance the vector field equation, Eq. (107), returns
the same value so that a stroboscopic sampling of the solution
can be achieved under the fixed vector field. That is, we can
define the stroboscopic mapping as the Poincare map (see Fig.
19):
T:
R R ;
n
x0
x1 = T (x0 ) = (2, x0 , )
(109)
(110)
Rn
x0
(t, x0, )
Rn
(a) Rn = Eu Es ,
DT (Eu ) = Eu ,
x1
DT (Es ) = Es
t=0
(111)
n1 I}
(113)
where D and I denote the type of the fixed point and the subscript integer indicates the dimension of the unstable subspace: k dim Eu. Usually a completely stable fixed point 0D
is called a sink, a completely unstable fixed point nD is called
a source, and others are called saddles.
t = 2
Figure 19. Stroboscopic mapping. Poincare map for a periodic nonautonomous system.
(114)
d (t, x0 , )
f(t, (t, x0 , ), ) (t, x0 , )
=
dt
x0
x
x0
(0, x0 , )
= In
x0
(t, x0 , )
,
x0
(115)
(122)
This means that (L) has the unity multiplier with the eigenvector:
(0,
x0 , ) = f(x0 , )
(123)
(124)
(125)
W u (x0 ) W s (x0 ) = x0
(0) = In
(116)
T (x0 )
(2, x0 , )
=
= (2 )
x0
x0
(117)
L
f( , ( , x0 , ), )
d
trace
(118)
x
0
L
f( , ( , x0 , ), )
d > 0
= exp
trace
x
0
Thus T is an orientation preserving diffeomorphism.
3. In an autonomous system, Eq. (95), a periodic solution
always has at least one characteristic multiplier that is equal
to unity. Indeed, a periodic solution satisfies the relation
(t,
x0 , ) = f((t, x0 , ), )
(119)
Differentiating by t yields
(t,
x0 , ) =
(L,
x0 , ) = (L)(0,
x0 , ) = (0,
x0 , )
545
f((t, x0 , ), )
(t,
x0 , ) = A(t)(t,
x0 , ) (120)
x
x0 , )
(121)
(127)
(128)
(129)
546
x(k+1) = x(k) + h,
DF(x
(k)
)h = F(x
k = 0, 1, 2,
(k)
(130)
S2
1D
S1
(131)
2
Then we have:
(a) If 0 a2 1, 0 (1), 0 (1), then the hyperbolic
fixed point is 0D,
(b) If 0 a2, 0 (1), then the hyperbolic fixed point is
1D,
(c) If 0 a2, 0 (1), then the hyperbolic fixed point is
1I,
(d) If 1 a2, 0 (1), 0 (1), then the hyperbolic fixed
point is 2D.
These relations are illustrated in Fig. 20.
2. Periodic solutions of Duffings equation. Consider the following Duffings equation [cf. Eq. (20)]:
x = y
(132)
(1) = 0
(1) = 0
2D
1
0D
(133)
(134)
(135)
Substituting Eq. (135) into Eq. (134) and equating the same
power of , we have
a2
1D
Figure 21. Phase portrait of the Poincare map defined by Eq. (132).
Closed dotted curves indicate periodic solutions.
0:
x0 + 2 x0 = B cos t
1:
x1 + 2 x1 = x0 cx30
x2 + x2 =
1I
a1
3cx20 x1
(136)
x1
B
cos t
2 1
B
3cB3
sin
t
cos t
x1 (t) = 2
( 1)2
4(2 1)4
cB3
cos 3t
2
4( 1)3 (2 9)
x0 (t) =
(138)
0 : x0 + x0 = 0
(140)
(141)
where M0 and N0 are unknown coefficients. They are determined as follows: Substituting Eq. (141) into the second equation of Eq. (140), we have
!
3
x1 + x1 = M0 + a cr2 N0 sin t
4
!
3 2
+
a cr M0 N0 + b cos t
4
(142)
1
2
2
+ c(N0 3M0 )N0 sin 3t
4
1
+ c(3N02 M02 )M0 cos 3t
4
where r2 M02 N02. Equation (142) has a periodic solution if
and only if the following conditions are satisfied:
3
P(M0 , N0 ) = M0 + a cr2 N0 = 0
4
(143)
3
Q(M0 , N0 ) = a cr2 M0 N0 + b = 0
4
When a solution (M0, N0) of Eq. (143) is an isolate root, i.e.,
the Jacobian matrix:
P
M
0
Q
M0
P
N0
Q
N0
3
a cr2
4
2
+
r2 = b 2
(146)
(139)
(145)
(148)
0O
1.4
= 0
1.2
1.0
0.7
1O
0.8
0.6
0.4
0O
0.2
0
(144)
(147)
B =
b
1
c(N02 3M02 )N0 sin 3t
32
1
(137)
547
0.2
0.4
b
0.6
0.8
1.0
548
where
2.0
0O
b=
1.5
a1 = { (1) (2 ) + (2) (2 )} = 2
{1(1) (2 ) + 1(2) (2 )}
2 {2(1) (2 ) + 2(2) (2 )}
1.0
0.5
1O
+
2 {2(1) (2 ) + 2(2) (2 ) + 1(1) (2 )1(2) (2 )
1.0
r
1(2) (2 )1(1) (2 )} +
b = 1.0
0.5
0.5
0.3
0O
0.2
(1) = 1 a1 + a2 = 4 +
{ } + > 0
0.1
0
(155)
(1) = 1 + a1 + a2
2.0
1.0
=
2 {1(1) (2 )1(2) (2 ) 1(2) (2 )1(1) (2 )} +
3 { } +
3.0
a2 = 1 +
{1(1) (2 ) + 1(2) (2 )} +
(156)
where
the variational equation becomes
1(1) (2 )
+ =
{3c( (t))2 + }
(149)
(2)
(t) =
0(2) (t)
1(2) (t)
2 2(2) (t)
k(1) (0)
k(2) (0)
= k(1) (0) = 0
(150)
0(1) (0)
0(2) (0)
0(1) (0)
0(2) (0)
= 1,
= 0,
= 0,
= 1,
= k(2) (0) = 0
(k = 1, 2, . . .)
(151)
Substituting Eq. (150) into Eq. (149) and equating the same
power of , we have
0(1)
0(2)
1(1)
1(2)
+
+
+
+
0(1)
0(2)
1(1)
1(2)
=0
=
{3c( (t))2 0(1) + 0(1) }
(152)
=
{3c( (t))2 0(2) + 0(2) }
0(1) = cos t,
0(2) = sin t
t
3c( ( ))2 cos + sin sin(t )d
1(1) (t) =
1(2) (t) =
0
2
=
0
1(2) (2 ) =
1(2) (2 ) =
0
2
Q
M0
P
M0
P
N0
Q
N0
(157)
(1) =
2 det A +
3 { } +
a2 = 1 +
trace A +
3 { } +
(158)
where
P
M0
A=
Q
M0
P
N0
(159)
N0
trace A = 2 < 0
det A = a2 + 2 3acr2 +
(153)
(1) (2 )
() =
(1) (2 )
=0
1(1) (2 )
(2) (2 )
= 2 + a 1 + a 2 = 0
(2) (2 )
(154)
27 2 4
db2
c r =
16
dr2
(160)
Note that from the first equation of Eq. (160), we see that no
completely unstable type of periodic solution exists in Eq.
(139). Hence the stability of the periodic solution is determined as follows:
(a) If det A 0, that is, db2 /dr2 0, then the periodic
solution is a completely stable type: 0D.
(b) If det A 0, that is, db2 /dr2 0, then the periodic
solution is a directly unstable type: 1D.
(161)
(162)
=
G(u cos t + v sin t, u sin t + v cos t,
, t) sin t
v(t)
=
G(u cos t + v sin t, u sin t + v cos t,
, t) cos t
(163)
2 0
G(u cos + v sin , u sin + v cos ,
, ) sin d
(164)
2
v(t)
=
2 0
G(u cos + v sin , u sin + v cos ,
, ) cos d
u(t)
0O
1O
1
S
2
R
N
2
Figure 25. Phase portrait of Eq. (165) with a c 1, 0.1, and
b 0.3.
!
3
u + a cr2 v = P(u, v)
u =
2
4
2
!
3
a cr2 u v + b = Q(u, v)
v =
2
4
2
(165)
where P(u, v) and Q(u, v) are given in Eq. (143). An equilibrium point of Eq. (165) gives a periodic solution Eq. (162).
Hence we have the correspondence between the equilibria of
Eq. (165) and the periodic solutions of Eq. (161). Moreover,
the phase portrait of Eq. (165) gives information about global
behavior of the solutions of Eq. (161). Figure 25 shows a
phase portrait of the case where three equilibria exist in Eq.
(165). We see two sinks R and N corresponding to the resonant and nonresonant solutions, respectively. We also illustrate a saddle S whose stable manifold forms the basin
boundary of two attractors.
References in This Section
The Poincare map stated in this section is discussed in any
books on nonlinear dynamics; for example, see Refs. 4, 9, and
12. Hyperbolicity of fixed point of the Poincare map is introduced in Refs. 7 and 12. The classification of the hyperbolic
fixed point is found in Refs. 1721. Various numerical methods are well treated in Refs. 22 and 23. Various nonlinear
resonancesthat is, subharmonic resonance and higher harmonic resonance as well as harmonic resonanceare treated
in the standard books on nonlinear oscillations (see Refs. 3
6). For the perturbation method stated in the last paragraph,
see Ref. 24. Practical applications of the averaging method
are found in Ref. 3.
BIFURCATIONS OF EQUILIBRIA AND PERIODIC STATES
0O
0 b = b1
549
b = b2
When the system parameter varies, the qualitative properties of the state space may change at 0. We may observe
the generation or extinction of a couple of equilibria or fixed
points, the branching of new equilibria or fixed or periodic
points, and the change of a topological type of equilibrium
550
The Hopf Bifurcation. This bifurcation is observed if a couple of characteristic roots becomes purely imaginary numbers
at 0. The stability of the equilibrium point changes and
a limit cycle appear or disappear after the bifurcation. Symbolically we have the following relation:
x Rn , Rm
(166)
where x Rn is a state vector and Rm is a system parameter. Suppose that x0 Rn is an equilibrium point of Eq.
(166):
f(x0 , ) = 0
(167)
(168)
() = det(In A())
= n + a1 n1 + + an1 + an = 0
(169)
d Re()
= 0
d =
(170)
(172)
kO
kO
+ LC(k+1 D)
k+2 O
+ LC(k D)
k+2 O
(k = 0, 1, . . . , n 2)
(k = 0, 1, . . . , n 2)
(173)
where LC(kD) denotes a limit cycle whose type of the corresponding fixed point of the Poincare map is kD. The first relation shows that before the bifurcation a k-dimensionally unstable hyperbolic equilibrium point exists, and after the
bifurcation the equilibrium point becomes a (k 2)-dimensionally unstable and k-dimensionally unstable limit cycle of
the type kD appears. If k 0, then a sink becomes two-dimensionally unstable and an orbitally stable limit cycle appears.
This type of Hopf bifurcation is called a supercritical type,
whereas the second relation shows a subcritical type (see Fig.
26). The bifurcation condition is then given by
( j) = det( jIn A()) = 0
(174)
k+1 O
(k = 0, 1, . . . , n 1)
(171)
( j) = ( j)2 + ja1 + a2 = 0
(175)
Hence we have
a1 = 0,
a2 = 2 > 0;
a2
(176)
(177)
551
k+ 1D
Equilibrium point
Equilibrium point
kO
k+ 2O
kO
Limit cycle
k+ 2O
Limit cycle
= 0
= 0
(a)
(b)
Hence we have
a1 a2 + a3 = 0,
a2 > 0;
= a2
(178)
(179)
Bifurcation of a Fixed Point
Hence we have
a4
> 0;
a2
a4
a2
(180)
(181)
() = det(In DT (x0 ))
(182)
= n + a1 n1 + + an1 + an = 0
0.7
1O
0O
0.5
0.5
1
b
00
Amplitude characteristics
1
0.4
0
0
0.5
0.3
t1
0.2
t2
0.1
3
0
0.6
0.5
0
1
Bifurcation diagram
Figure 27. Characteristic surface of Eq. (146) with amplitude characteristic curves and bifurcation diagram.
0.1
0.2
0.3
b
0.4
0.5
0.6
0.7
Figure 28. Bifurcation diagram of equilibria. Tangent bifurcation occurs on the curves t1 and t2, and the cusp point C is a degenerate
tangent bifurcation point.
552
Tangent Bifurcation of Fixed Point. Under the change of parameter , at 0 the generation or extinction of a couple
of fixed points occurs. The types of bifurcation are
?
?
k1 D + k D
k1 I
(183)
+ kI
= 1 + a1 + + an1 + an = 0
Period-Doubling Bifurcation. If a real characteristic multiplier passes through the point (1, 0) in the complex plane,
then the original fixed point changes its type and 2-periodic
points are branching. This bifurcation is called a period-doubling bifurcation. The types of bifurcation are
kD
kI
kI
k+1 I
+ 2 k D2
k1 I
+ 2 kD
k+1 D + 2 k D
k1 D +
kD
k2 D +
kI
k+2 D
kI
k2 D +
+ ICC
(186)
(191)
sin 2 + a1 sin = 0
Hence we have
a2 = 1,
2 < a1 < 2
(192)
(e j ) = e j3 + a1 e j2 + a2 e j + a3 = 0
(193)
2 < a3 a1 < 2
(194)
Second consider autonomous systems. In this case the characteristic equation has at least one unity multiplier. Thus we
factor the characteristic equation as
() = n + a1 n1 + + an1 + an = ( 1)A () = 0
(195)
where
A () = n1 + b1 n2 + + bn1 ,
bk = 1 +
k
ai (196)
i=1
A (e j ) = e j2 + b1 e j + b2
(187)
(197)
(198)
or, equivalently,
= e jn + a1 e j(n1) + + an1 e j + an = 0
cos 2 + a1 cos + a2 = 0,
ICC
(e j ) = det(e j In DT (x0 ))
That is,
a1 (a3 a1 ) + a2 = 1,
+ ICC
ICC
(190)
or equivalently
The NeimarkSacker Bifurcation. Similar to the Hopf bifurcation for equilibrium point, a fixed point becomes unstable
and there may appear an invariant closed curve of the Poincare map. Here the invariant closed curve C is a closed curve
in Rn such that T(C) C, which corresponds to doubly periodic oscillation in the original periodic nonautonomous system. This bifurcation indeed occurs if a pair of the characteristic multipliers and pass transversally through the unit
circle except for the points (1, 0) and (1, 0). The types of the
bifurcation are
k+2 D
(e j ) = e j2 + a1 e j + a2 = 0
(185)
2 k D2
kD
(189)
Note that in this case we need an additional inequality satisfying the condition: cos 1.
(184)
NS (x0 , ) = 0
() = det(In DT (x0 ))
kD
a2 + a1 = 0,
3 < a1 < 1
(199)
(188)
The numerical determination of the codimension one bifurcation value 0 and the location of the nonhyperbolic fixed
(200)
(201)
3 2
1 r u v = f (u, v)
u =
2
4
u + 1 r2 v + B = g(u, v)
v =
2
4
(202)
where
a1 = 3 r2 2
a2 = 2 + 1 3 r2 +
2( 1)
(203)
9
27 2 4
3
r = 2 + 1 r2
1 r2
16
4
4
(208)
where
r2 = u 2 + v 2 ,
553
x + (a + b cos 2t)x = 0
(209)
x = A(t)x
(210)
or, equivalently,
3 2
r u v = 0
4
3
u + 1 r2 v = B
4
1
(204)
0O
2
3 2
2
1 r
+ r2 = B2
4
1O
(205)
a1 = 0
a2 = 0
P
2O
f
u
g
u
3
f
2
2
1 4 (3u + v )
v
=
g 2
3
uv +
v
2
3
uv
2
3
1 (u2 + 3v2 )
4
(206)
v = 2 + a + a = 0
() = u
1
2
g
g
u
v
0.5
1.5
P
1
B 0.5
(207)
0.5
0
0
1
0
1
0.5
0.5
Figure 29. Characteristic surface of Eq. (205) and projected bifurcation diagram.
554
0.6
C1
C2
h2
0O
0.5
h1
t2
0.4
2O
0.3
h1
2O
0O+ 1O+ 2O
0O
t2
0.1
0O+ 1O+ 2O
0
0.8 0.6 0.4 0.2
A(t) =
(a + b cos 2t)
(211)
(t) =
1 (t)
2 (t)
1 (t)
2 (t)
(212)
R2 R2 ;
x0
x1 = ( )x0
(213)
(214)
where we put
m = 1 ( ) + 2 ( ) = 1 + 2
(215)
0 trace A ( ) d
=1
(216)
2) log 22, and (t) and (t) are periodic functions with
period 2.
(c) If m 2, then the origin is a nonhyperbolic fixed
pointthat is, a center-type fixed point. The general
solution is then a doubly periodic function. In the last
case the characteristic multipliers lie on the unit circle
in the complex plane:
1 = 2 = exp( j ),
j = 1,
= tan
4 m2
m
(218)
t1
(a)
where
x
x=
,
y
2O
t1
t3
0.2
0O+2x 1O
(217)
(219)
or, equivalently,
x = y
y = kx (a + b cos 2t)x x3
(220)
(221)
(222)
555
20
1I
1D
m = 10
15
m = 50
1I
20
10
10
m = 15
0
1
10
1
0
10
2
5
2
2
0
5
10
100
400
1D
0
1
15
where
a2 = (0) = det( ) = det(0)ek < 1
(223)
of Fig. 33(a). This is the typical parametric excitation phenomenon, also called the parametric resonance. Stable 2-periodic points 0D12 and 0D22 T(0D12) have the period 2 which is
the double period of the injected pumping signal. Traversing
the curve P2 from the region B to C, we observe that two directly unstable 2-periodic points branch off from the origin
and the origin itself becomes a completely stable fixed point.
Hence in the region C we have the phase portrait shown in
Fig. 33(b). On the tangent bifurcation curve T, 2-periodic
points 0D12 and 1D12, (and also 0D22 and 1D22) coalesce and disappear in the region A below the curve T.
References in This Section
Many books are available on bifurcation theory. We refer to
only a few of them: Refs. 79, 25, and 26. For the higherorder bifurcationsthat is, codimension two bifurcations
see Refs. 9, 26, and 27. Various numerical methods are stated
in Refs. 21 and 2628. Harmonic synchronization is analyzed
in Refs. 3, 6, and 9. Mathieus equation and more generally
the linear periodic differential equations are well surveyed in
1I
y
2
0D1
2
0D1
P1
P2
0D
1I
2
D
0 2
0D
T
c
0
2
1D1
2
1D2
0D
2
0D2
a
Figure 32. Bifurcation diagram of Eq. (219) with k 0.1. Curves
P1 and P2 indicate the period-doubling bifurcation of the origin, and
T denotes the tangent bifurcation of 2-periodic points.
(a)
(b)
Figure 33. Phase portrait of the time Poincare map of Eq. (219).
(a) k 0.1, a b 1.0; (b) k 0.1, a b 0.54.
556
(a)
(b)
(c)
(224)
y = x +
{(1 y2 )y cx3 + B cos t}
3
3
1 r2 u cr2 v
2
4
4
3 2
3 2
cr u + 1 r v + B
v =
2
4
4
u =
(225)
2( 1)
(226)
3
1 r2
4
2
3 2
r2 = B2
+ cr
4
(227)
3
t2
h1 t
1
2
1O
1O2
t1
h2
Q
0
1
(a)
h1
R
t2
SL
1O1
(b)
Figure 34. Schematic diagram of a saddle to saddle orbit. (a) A saddle connection orbit, or heteroclinic orbit; (b) a separatrix loop, or
homoclinic orbit.
t3
0
557
(a)
(b)
Homoclinic Point
Now we consider the phase portrait of the Poincare map. We
focus our attention to the behavior of invariant manifolds
stated in Remark 5(1) [see Eq. (124)] and its related property.
For simplicity consider a two-dimensional periodic nonautonomous system defined by Eq. (107):
x = f(t, x, ),
x R2 , Rm
(228)
R2 R2 ;
x0 = (x0 , y0 )
x1 = (x1 , y1 )
= T (x0 ) = (2, x0 , )
(230)
(x) = Q
(231)
(229)
h1
t2
P
t1
SL
1D
c
a
d
Figure 39. Schematic diagram of a horseshoe map on the small rectangle abcd near homoclinic points. The region comes back to the
curved rectangle abcd after some finite iteration of the Poincare
map T.
558
C
H0
H1
H2
1D
x = y
y = 0.02y x3 + 0.3 cos t 0.08
H2
H1
(233)
indicated as
H2 = T 2 (H0 ),
H1 = T 1 (H0 ),
H0 , H1 = T (H0 ),
H2 = T (H0 )
2
Figure 42(a)(c) shows the images T(R), T 2(R), and T 3(R), respectively. We see that the number of intersections,
Horseshoe Map
A horseshoe map on a rectangular region contains a complex
invariant set. This is a typical chaotic state. Hence we summarize briefly some of the properties of the map. Let a map
T have a directly unstable fixed point 1D and let its and
branches intersect each other, forming homoclinic points as
schematically illustrated in Fig. 41. Then the map from the
rectangular region R ABCD into the plane becomes a horseshoe map. In the figure, images of the homoclinic point H0 are
#{R T k (R)} = 2k
(k = 1, 2, . . .)
(234)
increases as 2k while forming the vertically very narrow rectangles. And the positively invariant set becomes
R = R
T k (R) CantorSet I
(235)
k=1
y
A
R = R
C
T k (R) I CantorSet
(236)
k=1
D
B
1D
A
A
B C
(a)
Figure 40. Phase portrait of the Poincare map defined by Eq. (233).
D
(b)
(c)
R
= R
T k (R)
k=1
2S
k=1
(237)
559
1S
1S
2S
1. R
has countably many periodic points of T with an
arbitrarily high period, and these periodic points are all
of the saddle type.
2. R
has uncountably many nonperiodic point of T.
3. R
set R
is chaotic. Note that this chaotic invariant set R
is
unstable because of the above properties 1 and 3. To observe
R
as an attractor, there exists another mechanism to encapsulate this invariant set in some bounded region of the phase
plane. Mathematically, this problem is not yet solved completely. In circuit dynamics, however, this mechanism may be
achieved by the dissipative property of the circuit.
(238)
1I
2k
+ 2 0 D2
k+1
k = 0, 1, 2, . . .
(b)
S
2S
(c)
S
2
(239)
In many systems with weak dissipation, this bifurcation occurs successively until k tends to infinity under the finite
change of parameters. The universality of this cascade of bifurcations is studied by Feigenbaum. We illustrate this cascade by the following example.
(d)
Figure 43. Phase portrait of the Poincare map defined by Eq. (238).
Homoclinic points appear when k becomes small. (a) k 0.1, (b) k
0.05, (c) k 0.005, (d) k 0.
0D
(a)
y = 0.1y x3 + B cos t + B0
(240)
Figure 44 shows the bifurcation diagram of a fixed point corresponding to a nonresonant oscillation. P and T denote the
period doubling and tangent bifurcation curves, respectively,
on which these bifurcations appear. The superscript k indicates the k-periodic point, and the subscript shows the numk
ber for distinct curves. On the curve labeled P12 the bifurcation process of Eq. (239) occurs. These curves accumulate on
just the inner region of the curve P18 so that in the shaded
region we see a chaotic state. Phase portraits of the perioddoubling cascade are shown in Fig. 45. Stable 2-periodic
points exist in Fig. 45(a), which bifurcate into 4-periodic
points in Fig. 45(b). Chaotic states separated into four groups
appear in Fig. 45(c). They gather as two parts in Fig. 45(d)
and finally coalesce into one big attractor in Fig. 45(e). The
attractor grows until it touches the branch of the directly
unstable fixed point D. After intersecting, the chaotic state
loses its attractivity and the attractor disappears, although
an unstable chaotic state exists.
Lyapunov Exponent to Measure a Chaotic State
To determine whether an attractor is chaotic or not, we have
a conventional method of evaluating the mean value of the
560
0.15
P1
P12
0.1
P18
I
I22 S 4
2
S44
B0
S22
T12
0.05
S14
I12
S34
S12
P14
(b)
(a)
T22
P22
0.0
T32
0
0.2
0.1
0.3
0.4
B
D
Figure 44. Bifurcation diagram of Eq. (240). Period-doubling cascade
appears on a fixed point corresponding to a nonresonant periodic solution.
Ch41
I12
Ch42
Ch44
2
Ch43
k 2k
I2
(d)
(c)
(241)
y
Ch21
I
Ch22
(242)
D
Ch
Ch
x
(f)
(e)
Figure 45. Phase portraits of the Poincare map defined by Eq. (240)
with B0 0.075: (a) B 0.15, (b) B 0.185, (c) B 0.195, (d) B
0.197, (e) B 0.199, (f) B 0.217.
0.08
Chaotic attractor
0.06
0.04
P4
0.02
0
0.02
0.04
0.06
0.10
P2
P
4
0D
0D
0.12
0D
P8
P
E
0D
0.14 0.16
B
0.18
0.2
0.22
561
562
NONLINEAR EQUATIONS
HIROSHI KAWAKAMI
The University of Tokushima
respectively. These equations are the constituency relationships of the elements. If an element is time-varying,
then we need to write the relationships as R(v, i, t) = 0, C(q, v, t) = 0, and L(, i, t) = 0. A resistor is voltagecontrolled if the current i is a function of the voltage across the resistor, that is, the constituency relationship
R(i, v) = 0 is expressed as i = (v). A resistor is current-controlled if the constituency relationship is written
v = v (i). A capacitor is voltage-controlled if the charge is a function of the voltage across the capacitor, that
is, C(q, v) = 0 is rewritten q = q (v). Similarly, a capacitor is charge-controlled if we write the constituency
relationship v = v (q). An inductor is flux-controlled if it is described by a function i = () and current-controlled
if it is described by = (i).
1
Passivity
Because many of the results in this article rely on energy-like quantities, the concept of passivity is important.
Passivity implies that the nonlinear element dissipates energy (or at least does not supply energy), which is
the case for elements without a power source.
Definition 1. A nonlinear resistor with a constituency relationship i = g(v) is passive if vg(v) 0 for all v. It is
strictly passive if vg(v) > 0 for all v = 0. It is eventually passive if there exists a k > 0 such that vg(v) 0 for |v|
> k. It is eventually strictly passive if vg(v) > 0 for |v| > k.
If the constituency relationship is given by v = r(i), the previous definition still holds when v is replaced
by i. Passive resistors are such that the power vi fed into the resistor is always nonnegative, that is, the
constituency relationship lies in the first or third quadrant of the v-i plane.
Definition 2. A nonlinear element (resistor, inductor, or capacitor) with a constituency relationship y = f (x) is
strongly locally passive if there exists a, b > 0 such that the following holds for all x, x :
It is eventually strongly locally passive if Eq. (2) holds for all |x| > k, |x | > k for some k > 0.
A strictly locally passive nonlinear element has a constituency relationship that is strictly increasing and
is not necessarily passive.
which are expressed as branch currents and branch voltages, are used to find the equilibrium points of the
dynamic circuit as follows: for each capacitor Ci , the branch voltage vi at the operating point is used to find all
the qi s which satisfy Ci (qi , vi ) = 0. Similarly this is done for inductors to find the i s. Each operating point of
the resistive circuit can correspond to many equilibrium points of the dynamic circuit.
where qc is the vector corresponding to the charges on the capacitors and l is the vector of fluxes of the
inductors. These are the state equations of the dynamic circuit.
If all the capacitors are charge-controlled and have differentiable and invertible constituency relationships v = v (q) and all the inductors are flux-controlled and have differentiable and invertible constituency
relationships i = (), then we can express the state equations in terms of capacitor voltages vc and inductor
currents il . Let us write vc = gc (qc ) and il = gl (l ). The hypothesis implies that gc , g 1 c , gl , and g 1 l exist.
This form is more desirable in practice because voltages and currents are more easily measured than charge
or flux.
Even if the state equations of the system exist, there is still no guarantee that solutions to the equations
exist, let alone that they are unique for each initial conditions. A mild requirement guaranteeing the existence
and uniqueness of solutions for all time t of ordinary differential equations of the form x = f (x, t) is that f is
continuous and uniformly Lipschitz continuous with respect to x (2).
In this article, unless otherwise stated, we assume that we can always write the state equations [Eq. (3)]
of a dynamic circuit and that solutions to x = f (x, t) exist and are unique for all time and that f is continuous.
Fig. 2. First-order autonomous dynamic circuit consisting of a resistor in parallel with a capacitor.
(except for cases such as where there are loops of capacitors, in which case there are less equations than the
number of dynamic elements). The simplest types of dynamic circuits are the first-order and second-order
circuits. In this section we study some simple first-order and second-order dynamic circuits in some detail and
illustrate how the qualitative behavior of these systems is derived.
One Nonlinear Resistor and One Nonlinear Capacitor. Consider the first-order circuit consisting
of a nonlinear capacitor coupled with a nonlinear resistor (Fig. 2). If the resistor is voltage-controlled with
driving-point characteristic i = g(v) and the capacitor is charge controlled with driving-point characteristic
v = c(q), then the state equation of the circuit is written
What is the possible behavior of this system? The following theorem shows that nothing very interesting can
happen in this case:
Theorem 1. Suppose that c and g are continuous functions. Any solution q(t) of Eq. (4) is monotonic with no
inflection points (isolated points with slope 0). Thus, q(t) converges to an equilibrium point, or q(t) becomes
unbounded.
This can be proved as follows. Existence and uniqueness of solutions imply that, if dq/dt = 0 at some
time t0 , dq/dt = 0 for all time t. Because g and c are continuous and q(t) is continuous, q = dq/dt = g[c(q)] is
a continuous function of time. Suppose that q > 0 at some time t0 and that q < 0 at some time t1 . Then, by
the mean value theorem (18), q = 0 at some time t2 , which contradicts the above. So q always has the same
sign, and q is either constant or a strictly monotonic function. If q(t) is bounded, then it converges to some
number q. Because q(t) is monotonic, there exists a sequence tn such that g{c[q(t)]} = q 0, as n
. Therefore g[c(q)] = 0 which implies that q is an equilibrium point.
One Resistor, One Capacitor, and One Periodic Input. Now assume that a periodic voltage source
u(t) is connected in series with a nonlinear capacitor and resistor as shown in Fig. 3. We assume that u(t) is a
continuous function of t. Again assuming that the capacitor is charge-controlled and that the resistor is voltage
Fig. 3. First-order nonautonomous dynamic circuit obtained from Fig. 2 by adding a periodic voltage source in series with
the capacitor.
For the initial condition q(t0 ) = q0 , we denote the corresponding solution as q(t, t0 , q0 ). Let T be the period of
u(t). Then, by uniqueness of solutions, q(t + nT, t0 , q0 ) for n an integer depends only on q(t, t0 , q0 ). This implies
that a map Pt0 exists, which maps q0 to q(t0 + T, t0 , q0 ). Note that Pt0 depends on t0 . The map Pt0 is called
a Poincare map. By continuity of solutions of ordinary differential equations, Pt0 is continuous because u(t) is
continuous. Pt0 is also invertible by uniqueness of solutions. This implies that Pt0 is a strictly monotonic map.
Note that uniqueness of solutions also implies that q(t0 + 2T, t0 , q0 ) = Pt0 [Pt0 (q0 )] etc., so that q(t0 + nT, t0 , q0 )
is just the nth iterate of the map Pt0 evaluated at q0 . Because Pt0 is monotonic, its iterates either diverge or
converge toward an equilibrium point. This implies that q(t, t0 , q0 ) as a function of t either diverges to infinity
or converges toward a periodic waveform with period T.
One Resistor, One Capacitor, and One Inductor. Consider a second-order circuit consisting of
a capacitor, an inductor, and a resistor connected in parallel (Fig. 4). Assuming that the resistor is voltagecontrolled, the inductor is flux-controlled, and the capacitor is charge-controlled with constituency relationships
i = f (v), i = g(), v = h(q), respectively, then the state equations are given by
The PoincareBendixson theorem (2) states that second order autonomous circuits cannot exhibit behavior
more complicated than periodic solutions.
Theorem 2. If the trajectory of an autonomous second-order system is bounded and does not approach an
equilibrium point, then it must approach a periodic solution.
Fig. 4. Second-order autonomous dynamic circuit consisting of a resistor, a capacitor, and an inductor in parallel.
In particular, in second-order autonomous systems with one unstable equilibrium point, almost all
bounded trajectories must approach a periodic solution.
If the characteristics of the nonlinear elements are of a certain form, the LevinsonSmith theorem (2)
allows us to conclude that there exists a periodic solution which is stable in the sense that nearby solutions
converge toward it.
Theorem 3. If the following conditions are satisfied:
(1) the inductor is linear and strictly passive;
(2) the capacitor is strictly passive such that h is odd and differentiable;
(3) the function F(x) = f (h(x)) is odd and differentiable and there exists a > 0 such that F(x) < 0 on 0 < x < a,
F(x) > 0 on x > a, and F (x) > 0 on x > a:
(4) x 0 h(s)ds , as |x| ; and
(5) F(x) , as x ;
then Eq. (5) has a nonconstant periodic solution which is stable.
This nonconstant periodic solution is unique if, in addition, the following conditions are satisfied (3):
(1) F(x) is zero only at x = 0, x = a and x = a; and
(2) F(x) monotonically, as x for x > a.
By using index theory (19), it can be proved that any periodic solution encircles at least one stationary
point.
When the circuit is autonomous but higher than second-order (e.g., Chuas circuit) or the circuit is secondorder but nonautonomous, that is, driven by an external input, the behavior can be very complex. In fact,
circuits of this type can be chaotic and can exhibit complicated bifurcation phenomena (4,5,6). See the article
(Circuits exhibiting chaotic behavior) for an introduction to bifurcation and chaos in nonlinear circuits and
systems.
There is no loop in the circuit formed exclusively by capacitors, inductors, and/or voltages sources.
There is no cut set in the circuit formed exclusively by capacitors, inductors, and/or current sources.
All resistors (not including sources) are eventually strongly locally passive.
All capacitors and inductors are eventually strongly locally passive.
In terms of Eq. (6), an equilibrium point is a state x such that x = 0, that is, f (x) = 0.
The property of uniqueness and existence of solutions allows us to show that, if the state of the circuit is
at an equilibrium point, then it remains there for all time. Because of physical noise, we are also interested
in behavior near the equilibrium point. Compare this with a ball balanced on the tip of a needle. This is an
equilibrium state, and theoretically the ball remains balanced for ever. But, because of small noise, the ball
invariably leaves the equilibrium state. We call such equilibrium states unstable. On the other hand, a ball
lying at the bottom of a bowl is at a stable equilibrium state because a ball lying near the bottom will move
toward the bottom of the bowl. More precisely, an equilibrium point is (Lyapunov) asymptotically stable if all
initial conditions nearby do not leave a neighborhood of the equilibrium and converge toward the equilibrium
point as time goes on. If initial conditions nearby do not stay within a neighborhood of the equilibrium point,
the equilibrium point is called unstable.
A consequence of the HartmanGrobman linearization theorem (8) is that it allows us to deduce the
stability of an equilibrium point by looking at the eigenvalues of the linearization.
Theorem 6. Consider Eq. (6). Let xe be an equilibrium point, that is, f (xe ) = 0. Suppose that Df (xe ), the Jacobian
matrix of f at xe , does not have purely imaginary eigenvalues. If all the eigenvalues have negative real parts,
then xe is asymptotically stable, and otherwise it is unstable.
Theorem 8. Consider the system x = f (x). Let V : Rn R be a differentiable function such that V(x) C for
all x and some constant C and
Then each solution x(t) of x = f (x) approaches E {}. Although V does not necessarily satisfy the conditions
in Definition 4, we still call V a Lyapunov function when used in the context of Theorem 8.
where v (i) is the voltage-vs-current characteristic of the nonlinear resistor. Similarly, the co-content of a voltagecontrolled resistor is defined as
This is illustrated in Fig. 6. The co-content is the area below the curve, and the content is the area above the
curve within the rectangle. For resistors, which are both voltage- and current-controlled, it is easy to see from
10
Fig. 6. The co-content of a resistor is the area under the curve bounded by the coordinate axes and the dashed vertical
line. The content of a resistor is the area above the curve bounded by the coordinate axes and the dashed horizontal line.
For a two-terminal, voltage-controlled capacitor with the device characteristic q = q(v), the capacitor co-energy
is defined as
A graphical interpretation of the energy and the co-energy is shown in Fig. 7 for the case when the capacitor
is both voltage-controlled and charge-controlled. The co-energy is the area below the curve, and the energy is
the area above the curve bounded by the rectangle. It is clear that, for V = v (Q), U(Q) + U(V)
= QV.
Dually, the energy and co-energy of an inductor are defined as
and
= I.
respectively, and, for I = (), T() + T(I)
11
Fig. 7. The co-energy of a capacitor is the area under the curve bounded by the coordinate axes and the dashed vertical
line. The energy of a capacitor is the area above the curve bounded by the coordinate axes and the dashed horizontal line.
Using Content and Energy to Derive the Qualitative Behavior of Dynamic Circuits
Conservation of Energy. Consider a dynamic circuit where all the capacitors are charge-controlled
and all inductors are flux-controlled. Let Dj = vj ij be the power dissipated by the nonlinear resistor Rj . Let U k
and T l be the energies of capacitor Ck and inductor T l , respectively. Then the law of conservation of energy
(expressed as a particular form of Tellegens theorem: vn in = 0) implies that
at each instant.
Completely Stable Behavior. Definition 5. A dynamic circuit is convergent (or completely stable) if
all of its trajectories converge toward an equilibrium point.
Theorem 9. Consider a dynamic circuit with only charge-controlled capacitors and resistors. Assume that
the characteristics v = v (q) of the capacitors are differentiable and have positive slope everywhere. Assume
also that the resistors are either independent voltage sources or voltage-controlled, eventually strictly passive
resistors with differentiable constituency relationships. If the circuit has a finite number of equilibrium points,
then the system is convergent.
The proof is based on LaSalles invariant principle. The resistors are combined into a resistive n-port
whose constituency relationship is the gradient of a potential function which we choose as the Lyapunov
function. The passivity is used to guarantee eventually bounded solutions. The local passivity of the capacitor
guarantees the negativity of the derivative of the Lyapunov function with respect to the trajectories. Here we
the simple proof from (11). The Lyapunov function equals the sum of the co-contents of the resistors: V =
give
vk
0 k (v)dv where the summation is over all resistors. Because of the eventual strict passivity of the resistors, a
C can be chosen such that V C. By Theorem 5, the solution of the circuit is eventually bounded. The derivative
12
where the second equality is from Tellegens theorem. By applying LaSalles theorem (Theorem 8), the conclusion follows.
The following theorems provide criteria for a circuit to have a unique equilibrium point and be convergent
(7):
Theorem 10. An autonomous dynamic circuit is convergent and has a unique equilibrium point if the following
conditions are satisfied:
(1)
(2)
(3)
(4)
There is no loop in the circuit formed exclusively by capacitors, inductors, and/or voltages sources.
There is no cut set in the circuit formed exclusively by capacitors, inductors, and/or current sources.
All resistors (not including sources) are strongly locally passive.
All capacitors and inductors are strongly locally passive.
Theorem 11. An autonomous dynamic circuit is convergent and has a unique equilibrium point if the following
conditions are satisfied:
(1)
(2)
(3)
(4)
(5)
(6)
There is no loop in the circuit formed exclusively by capacitors, inductors, and/or voltages sources.
There is no cut set in the circuit formed exclusively by capacitors, inductors, and/or current sources.
Every loop containing a voltage source also contains a capacitor.
Every cut set containing a current source also contains an inductor.
All resistors (not including sources) are strictly passive.
All capacitors and inductors are eventually strongly locally passive.
Equivalent Resistors, Capacitors, and Inductors. Even though the elements are nonlinear, the
content, co-content, energy, and co-energy enjoy the following linear superposable property. Consider a one-port
N consisting only of arbitrarily connected, nonlinear, charge-controlled capacitors and its equivalent capacitor,
an example of which is shown in Fig. 8. The energy of the equivalent capacitor is the sum of the energies of the
capacitors in N. Similarly, this result is also true for the co-energy of a one-port of voltage-controlled capacitors.
By duality, this result is also true for a one-port consisting only of inductors.
We give the proof here for the energy of a one-port N composed only of capacitors. The sum of the energies
of the capacitors is given by
13
Fig. 8. A one-port N consisting of arbitrarily connected capacitors and its representation as an equivalent capacitor.
where the summation is over all branches b in the one-port N. By Tellegens theorem, vb ()ib ()= v0 ()i0 (),
and thus
14
where the summation is over all capacitors in the one-port. By Tellegens theorem,
Suppose that i0 = 0. Passivity of the resistors implies that ib = 0 for all b and consequently Gb = 0 for all b.
i
Putting this into the above equation, we get c = 0 and therefore b Gb = 00 v0 di0 which is the content of the
equivalent resistor.
Stationary Principles. The total content G of a circuit consisting only of current-controlled resistors is
of a circuit consisting only of voltage-controlled
the sum of the contents of each resistor. The total co-content G
resistors is the sum of the co-contents of each resistor. Because the branch currents are uniquely determined
by a link (or co-tree) branch vector, the total content G is expressed as a function of the link branch vector il .
is a function of the tree branch voltage vector vt . Millars theorem on stationary
Similarly, the total co-content G
content (12) states that a set of link branch currents is a solution of the circuit if and only if it is a stationary
point of the total content G, that is, G/il = 0 if and only if il is the link branch current vector corresponding
to a solution of the circuit equations. Similarly, a tree branch voltage vector is a stationary point of the total
co-content if and only if it is a solution of the circuit.
Here we give the proof presented in (13). Let i be the branch current vector. By Kirchhoffs Current Law,
i = BT il , where il is the link branch current vector. Let v be the corresponding voltage vector across the resistors,
v and i satisfy the constituency relationships of the resistors:
which is zero if and only if v satisfies Kirchhoff voltage law, that is, i and v are solutions of the circuit.
These results are also valid for inductor-only and capacitor-only circuits. The total energy of a dynamic
circuit consisting only of charge-controlled capacitors is defined as the sum of the energies of the capacitors.
Similar definitions exist for the total co-energy. Then, in a circuit of charge-controlled capacitors, the link
branch current vector corresponding to a solution of the circuit is a stationary point of the total energy at every
instant. Similar for a circuit of voltage-controlled capacitors, a tree branch voltage vector is at a stationary point
of the total co-energy. In a circuit of flux-controlled inductors, the tree branch voltage vector is at a stationary
point of the total energy, whereas, in a circuit of current-controlled inductors, the link branch current vector is
at a stationary point of the total co-energy.
15
In linear systems, we can separate the system response into two parts: the transient or zero-input response
due to the initial states and the zero-state response due to the input. The transient response goes to zero if the
system is stable, leaving us with only the zero-state response, which we call the steady-state solution, and this
gives us the desired property.
In nonlinear circuits and systems, this separation does not exist any longer, but the convergence toward
a steady-state solution regardless of initial condition can still be defined as follows (14):
Definition 6. Assume that the state equations of the system exist and are written as
If all solutions are bounded and any two solutions of Eq. (7) converge toward each other asymptotically, that is
if x(t) and y(t) are solutions of Eq. (7), we get
There is no loop in the circuit formed exclusively by capacitors, inductors, and/or voltage sources.
There is no cut set in the circuit formed exclusively by capacitors, inductors, and/or current sources.
All capacitors and inductors are weakly nonlinear and passive.
All resistors (not including sources) are strongly locally passive.
There is a tradeoff between the local passivity of the resistors and the nonlinearity of the capacitors and
inductors. The more locally passive the resistors are, the more nonlinear the capacitors and inductors can be,
and the theorem still remains valid.
There is no loop in the circuit formed exclusively by capacitors, inductors, and/or voltages sources.
There is no cut set in the circuit formed exclusively by capacitors, inductors, and/or current sources.
All capacitors and inductors are linear and passive.
All resistors (not including sources) are strongly locally passive.
16
(5) The external driving sources are defined by differentiable periodic functions.
Theorem 14. Consider a dynamic circuit driven by periodic inputs. The conclusion of the previous theorem
holds if the following conditions are satisfied:
(1)
(2)
(3)
(4)
(5)
There is no loop in the circuit formed exclusively by capacitors, inductors, and/or voltages sources.
There is no cut set in the circuit formed exclusively by capacitors, inductors, and/or current sources.
The circuit either has no capacitors or no inductors.
All resistors (not including sources) are linear and passive.
The external driving sources are defined by differentiable periodic functions.
Theorem 15. Consider a dynamic circuit driven by periodic inputs. The conclusion of the previous theorem
holds if the following conditions are satisfied:
(1) There is no loop in the circuit formed exclusively by capacitors, inductors, and/or voltages sources.
(2) There is no cut set in the circuit formed exclusively by capacitors, inductors, and/or current sources.
(3) All capacitors and inductors are strongly locally passive and have twice-differentiable (C2 ) constituency
relationships.
(4) All resistors (not including sources) are strongly locally passive.
(5) The external driving sources are combinations of dc sources and sufficiently small periodic sources.
ManleyRowe Equations
A characteristic feature of nonlinear circuits is the ability to generate beat frequencies m,n = m1 n2 given
two sinusoidal input signals of radial frequencies 1 and 2 . For resistive networks this can be seen as follows.
Given an input signal sin 1 t + sin 2 t, the output is written as y(t) = f (sin 1 t + sin 2 t). Expressing f as a
Taylor expansion around zero, we obtain
17
Fig. 9. A circuit driven by two periodic voltage sources illustrating the ManleyRowe equations.
The same result is valid when the capacitor in Fig. 9 is replaced by a flux-controlled inductor.
Duality
Many of the results in this chapter have dual counterparts. A theorem about RC circuits is also valid for
RL circuits, etc., because of the dual properties of current and voltage. If we look at the circuit equations
(Ai = 0, Bv = 0, constituency relationships, i = dq/dt, v = d/dt) and interchange v with i and interchange q
with , then we obtain another set of constrained differential equations. When do these correspond to the
circuit equations of another circuit (the dual circuit)? The equations i = dq/dt, v = d/dt are interchanged after
the variable interchange. For each constituency relationship, we get the constituency relationship of a dual
element. For a resistor with constituency relationship f (v, i) = 0, the dual element is a resistor with constituency
relationship f (i, v) = 0. For a capacitor with constituency relationship g(q, v) = 0, the dual element is an inductor
with constituency relationship g(, i) = 0. For an inductor with constituency relationship h(, i) = 0, the dual
element is a capacitor with constituency relationship h(q, v) = 0. Finally Kirchhoffs Laws becomes Av = 0,
Bi = 0. Are these the Kirchhoff Laws of another circuit? The answer is affirmative if and only if the underlying
graph of the circuit is planar, that is, it can be drawn on the two-dimensional plane so that branches intersect
only at the nodes.
For a circuit with a connected planar underlying graph, the dual circuit is found by the following algorithm
(17):
(1) Draw the underlying graph in planar form with n nodes and b branches. It partitions the plane into (b n
+ 2) connected regions by Eulers formula. The dual graph has (b n + 2) nodes and b branches. Draw
exactly one node of the dual graph lying in each region.
18
Fig. 10. (a) A nonlinear circuit and its associated graph. The dual graph is shown with dashed branches. (b) The dual
circuit of (a) and its associated graph.
(2) Each branch of the graph lies exactly between two regions. Draw a branch of the dual graph connecting the
nodes of the dual graph in these two regions.
(3) The direction of the branch in the dual graph is found as follows. For a branch in the graph, find the region
adjacent to the branch which is bounded. If the branch encircles this region clockwise, the corresponding
branch of the dual graph points toward the region. Otherwise, it points away from this region.
(4) A branch of the dual graph corresponds to each branch of the original graph. The dual circuit has the
topology of the dual graph, and the element of each branch is the dual element of the original circuit at the
corresponding branch.
For example, in Fig. 10(a), we show a circuit and its corresponding graph. The dual graph is shown with
dashed lines. In Fig. 10(b), we show the dual circuit along with its corresponding graph. From the previous
discussion, a circuit and its dual circuit have the same qualitative dynamics, because they share the same state
equations except for a renaming of the variables.
Note that the dual of the dual circuit is the original circuit, but with all the nonlinear elements turned
upside down.
19
Thus for a circuit with a planar underlying graph, the operation of turning all the elements upside down
results in a circuit with the same qualitative dynamics as the original circuit. In fact the underlying graph
needs not be planar.
Theorem 16. By turning all the elements of a circuit upside down, we obtain a circuit with the same state
equations.
This can be shown as follows. Turning all the elements upside down reverses the directions of all the
branch currents and branch voltages but does not change the constituency relationships nor the equations
i = dq/dt and v = d/dt. If Kirchhoffs laws are Ai = 0, Bv = 0 for the original circuit, they are now Ai = 0 and
Bv = 0 for the new circuit. So the same Kirchhoff laws for the original circuit also hold for the new circuit.
Note that the underlying graph does not need to be planar for this theorem to be true.
Many of the results in this article can be extended to general circuits with multi-terminal devices. In
some of the results, e.g., the results on completely stable behavior, an additional technical requirement of
reciprocity is imposed on the circuit elements. Unfortunately, many of todays circuits contain nonreciprocal
circuit elements such as transistors (17,18,19).
BIBLIOGRAPHY
1. L. O. Chua C. A. Desoer E. S. Kuh Linear and Nonlinear Circuits, New York: McGrawHill, 1987.
2. R. K. Miller A. N. Michel Ordinary Differential Equations, New York: Academic Press, 1982.
3. D. W. Jordan P. Smith Nonlinear Ordinary Differential Equations, Oxford Applied Mathematics And Computing Science
Series, Oxford, 1977, Chap. 11, 333338.
4. K. Murali M. Lakshmanan L. O. Chua The simplest dissipative nonautonomous chaotic circuit, IEEE Trans. Circuits
Syst. I, Fundam. Theory Appl., 41: 462463, 1994.
5. C. W. Wu G. Q. Zhong L. O. Chua Synchronizing nonautonomous chaotic systems without phase-locking, J. Circuits,
Syst., Comput., 6 (3): 227241, 1996.
6. L. O. Chua et al. A universal circuit for studying and generating chaos, parts III, IEEE Trans. Circuits Syst. I, Fundam.
Theory Appl., 40: 732761, 1993. Special Issue on Chaos in Electronic Circuits, Part A.
7. L. O. Chua Dynamic nonlinear networks: State-of-the-art, IEEE Trans. Circuits Syst., 27: 10591087, 1980.
8. P. Hartman Ordinary Differential Equations. New York: Wiley, 1964.
9. J. P. LaSalle An invariance principle in the theory of stability, in Differential Equations and Dynamical Systems (J. K.
Hale and J. P. LaSalle, eds.), New York: Academic Press, 1967, pp. 277286.
10. C. Cherry Some general theorems for non-linear systems possessing reactance, Philos. Mag., 42 (333): 11611177, 1951.
11. M. Hasler Qualitative analysis, in The Circuits and Filters Handbook (W.-K. Chen, ed.), Boca Raton: CRC Press, 1995,
Chap. 31, pp. 914934.
12. W. Millar Some general theorems for non-linear systems possessing resistance, Philos. Mag., 42 (333): 11501160, 1951.
13. L. O. Chua Stationary principles and potential functions for nonlinear networks, J. Franklin Inst., 296 (2): 91114,
1973.
14. L. O. Chua D. N. Green A qualitative analysis of the behavior of dynamic nonlinear networks: Steady-state solutions
of nonautonomous networks, IEEE Trans. Circuits Syst., 23: 530550, 1976.
15. M. J. Hasler P. Verburgh On the uniqueness of the steady state for nonlinear circuits with time-dependent sources,
IEEE Trans. Circuits Syst., 31: 702713, 1984.
16. J. M. Manley H. E. Rowe Some general properties of nonlinear elementspart I. general energy relations, Proc. IRE,
44: 904913, July 1956.
17. L. O. Chua Introduction to Nonlinear Network Theory, New York: McGrawHill, 1964.
18. W. Rudin Principles of Mathematical Analysis, 3rd Ed., New York: McGrawHill, 1990.
19. J. Guckenheimer P. Holmes Nonlinear Oscillations, Dynamical Systems, and Bifurcations of Vector Fields, New York:
Springer-Verlag, 1983.
20. M. Vidyasagar Nonlinear Systems Analysis, Englewood Cliffs, NJ: Prentice-Hall, 1978.
20
READING LIST
Reference 17 is an excellent classic text on nonlinear circuit theory.
M. P. Kennedy L. O. Chua Circuit Theoretic Solutions for Neural Networksan Old Approach to A New Problem, Proc.
IEEE ICNN, San Diego, CA, June 1987, II-169II-176. This paper uses the co-content function of a nonlinear network
to study the stability of the Hopfield neural network
Reference 7 is a good source of more advanced results in the qualitative analysis of nonlinear circuits.
L. O. Chua Nonlinear Circuits, IEEE Trans. Circuits Syst., 31 (1): 6987, 1984. Contains extensive bibliography.
CHAI WAH WU
IBM T. J. Watson Research Center
OSCILLATOR DESIGN
The development of electronic oscillators was strongly related to the invention of the vacuum tube at the
beginning of the twentieth century. The first oscillator circuits were presented by Meissner, Hartley, and
Colpitts, among others, and basic ideas for a theory of such circuits were presented by Vallauri in 1917 (1).
In 1914 Zenneck considered an oscillatory arrangement with an arc as the active device, and he discussed
nonlinear aspects of electronic oscillators by means of an energy balance equation. Unfortunately, he did not
derive the corresponding differential equations for the currents and voltages. A differential equation for a
triode oscillator was presented for the first time by van der Pol in 1920. His studies became the starting point
for a long series of research in mathematics, physics, and electrical engineering on oscillatory networks and
systems. As a result, a first monumental monograph about this subject was published by Andronov et al. in
1937 (2) that included the essential aspects of the theory of oscillatory circuits and systems and was illustrated
by many examples. At the same time Krylov and Bogoliubov (3) published essential results about the analysis of
oscillatory circuits. Both groups started from the work of van der Pol and used ideas and results from the work
of the French mathematician and physicist Henri Poincare. Short presentations of the history of these methods
can be found in Sanders and Verhulst (4) and Mathis (5). Although the results of these authors were discussed
several times in the literature, most of them were unknown to many researchers until the late sixties. Maybe
this is one of the reasons that, in contrast to the linear analysis of oscillatory circuits and systems, details of the
nonlinear theory due to the above-mentioned Russian research groups were not included in a design theory of
oscillators. We will show in this article that the design of oscillators can be clarified if their ideas are included.
Foundations
Properties of Electrical Oscillators. In order to understand the difficulties related to electronic
oscillators it is useful to discuss the main properties of the behavior of such electronic circuits and consider
some aspects of their modelling. It is well known that the basic behavior of an electronic oscillator should be
characterized as follows (e.g. Parzen (6)]:
Some voltages and/or currents should behave in a periodic manner. The most important shapes of the
output are sinusoidal, saw tooth, and square waves.
The oscillator frequency should be well determined.
After a transient, the oscillator amplitude should be well determined and independent of the initial conditions.
Perturbations of the oscillatory behavior in the steady state should die out after some transient behavior.
The oscillatory behavior should not be destroyed by parasitic circuit elements (structural stability).
From these qualitative properties the main features of electronic oscillators can be extracted and serve
as main specifications:
1
OSCILLATOR DESIGN
Oscillator frequency
Oscillator amplitude
Rate of the startup and decay
Obviously we have to add further properties if electronic oscillators are to be designed. The signal-tonoise ratio, the stability of the oscillator frequency and amplitude and the distortions with respect to a desired
waveform are a few of these properties. The basic behavior of electronic oscillators cannot be realized or
modelled by using linear (time-invariant) circuits, because such circuits have to be nondissipative (no ohmic
resistors can be included) if periodic behavior is desired. Therefore, the energy is conserved and their oscillatory
amplitude depends on the initial conditions. Furthermore, such linear (nondissipative) oscillator models are not
structurally stable (see the above characterization), because the periodic behavior is destroyed by arbitrarily
small dissipative elements (e.g. ohmic resistors). Thus mathematical models of electronic oscillators have to
be nonlinear. In 1963 it was emphasized by Hale (7) that our knowledge of nonlinear systems is still far from
complete, and only a few mathematical techniques are available to analyze such models. Although intensive
research has been carried out in this area for more than thirty years, many problems still have to be solved to
obtain a satisfactory theory. Good illustrations of this statement can be found in Guckenheimers discussion of
the van der Pol equation (8).
Oscillator Models. Although a linear LC-circuit without dissipation is not suitable as a complete model
for electronic oscillators, it is useful to start with such a circuit and to introduce the following changes:
It should be emphasized that compensation is a linear technique, whereas amplitude control by using
parameter variation is an inherently nonlinear technique.
These two steps can be described mathematically if we start from the differential equation for an LC
circuit with a rather small resistor (dissipation).
where is proportional to the (positive) resistance. Using a compensation technique, can be cancelled. For
example, this can be done by adding a negative resistor in series (or parallel) with the positive resistor, with
the same magnitude. If Eq. (1) is converted to the state-space form x = Ax by the notation x1 : = x, x2 : = x , it is
easy to see that applying a compensation technique results in a pair of eigenvalues of A on the imaginary axis.
In more general cases the state space has dimension n > 2, since there are more than two reactances. Usually
the matrix A has at least one pair of eigenvalues other than those with negative real parts. In the subsection
The Linear Design Theory of Sinusoidal Oscillators below, several approaches are discussed that can be used
to find a set of parameters where a pair of eigenvalues with zero real part occur. Furthermore, it should be
emphasized that it is not necessary that we start with an LC circuit, since it is for example, possible, to realize
inductors in an active manner by means of resistors, capacitors, and (operational) amplifiers. In contrast to LC
oscillators, these kind of oscillators are called RC oscillators [see e.g. Millman and Grabel (9)]. The first RC
oscillator was presented by Heegner (10) in 1927; see also Sidorowicz (11) further references.
If the resistor, or in other words , is controlled by the state variables (x, x ), we get the following nonlinear
differential equation:
OSCILLATOR DESIGN
Special choices of the function = (x, x ) lead to particular nonlinear oscillator models. In the next subsection
this problem is discussed by means of the theorem of Poincare, Andronov and Hopf.
It is mentioned above that the van der Pol (vdP) equation was the first model of an oscillator circuit. The
normalized version of this equation has the following form (with normalized 2 0 = 1):
Note that this differential equation is of the above-mentioned form. Another differential equation of a similar
type is the (R) Rayleigh equation (with normalized 2 0 = 1)
Unfortunately, the equilibrium solution O : = {x(t) = 0 |t IR} is the only solution that is known in exact terms.
All other solutions, and in particular the periodic solution, have to be calculated with perturbation methods.
Therefore we consider a modified differential equation (with normalized 2 0 = 1),
with the periodic solution xp :={x(t) = cos t t IR}, which can be calculated in a simple manner. Obviously, this
solution is unique up to an additive phase , and the periodic solution does not depend on the parameter .
An advantage of this equation is that it can be interpreted very easily. For this reason xp is represented in the
state space (x1 := x , x2 : = x) as a circle. The state-space representation of Eq. (5) is
Within the circle the (nonlinear) coefficient of the second term in Eq. (5) is negative, and outside the circle the
coefficient is positive. If this coefficient is constant, both differential equations correspond to the descriptive
equation of an LC circuit with linear damping through an ohmic resistor. If we assume the (nonlinear) coefficient
in Eq. (5) to be constant for a moment, the first case corresponds to an LC circuit with a negative resistor, and
the second case to a circuit with a positive resistor. From this heuristic point of view it is easy to interpret the
global behavior of Eq. (5). Although its solutions cannot be calculated analytically if the initial conditions are
prescribed within or outside the circle xp , the qualitative behavior of the differential equation follows from the
analogy with an LC circuit with damping. We find that the amplitude of every solution that starts within the
circle increases and approaches xp as t . On the other hand, the amplitude of every solution that starts
outside the circle decreases and approaches xp as t . From a physical point of view the two-dimensional
state space of the differential equation (5) is decomposed by the circle xp into two areas that have different
meanings:
The negative-damping area (inside the circle), where energy is supplied to the system
The positive-damping areas (outside the circle) where energy is dissipated by the system.
The periodic solution xp can be interpreted as a dynamical equilibrium between the negative and the
positive damping area. Stable periodic solutions of this kind are called limit cycles [see e.g. Jordan and Smith
OSCILLATOR DESIGN
Fig. 2. Damping areas: vdP van der Pol equation; R Rayleigh Equation.
(12)]. In contrast to limit cycles, a stable equilibrium O is embedded in a positive-damping area. Both types of
solutions are called steady-state solutions. In Fig. 1 the state space and the steady-state solutions of Eq. (5)
are shown together with the damping area.
The physical situation of this rather special differential equation is the typical case in two-dimensional
state-space systems. In Fig. 2 we show the damping areas of the van der Pol equation and of the Rayleigh
equation, which extend infinitely in the x2 and x1 directions, respectively. It is clear why sinusoidal solutions
are impossible, since the damping areas are not symmetric with respect to the unstable zero solution point.
The parameter can be interpreted as a measure of deviation from the sinusoidal case. If << 1, we
have a sinusoidal oscillator that is discussed in the next sections. For large >> 1 we obtain a relaxation
oscillator that is considered in this subsection. The latter case is much more complicated from a mathematical
point of view, because circuits of this kind have to be described by differentialalgebraic equations or analyzed
OSCILLATOR DESIGN
by singular perturbation methods [see e.g. Mathis (5)]. However, the design of square-wave oscillators can be
simplified if the transistors are modelled as switches. Such models are piecewise linear. In the case of sinusoidal
oscillators an overall model is available.
The MandelstamPapalexiAndronov Oscillator Model. Although the simple oscillator equations
in the last section are very suitable for illustrating the physical reason for periodic steady-state solutions, a
more extended model should be considered that includes additional parameters. From a systematic point of
view a family of differential equations is considered that is parametrized by means of the mentioned parameter,
and the following questions are studied:
These questions are crucial for the design of electronic oscillator circuits. Therefore these problems
were studied around 1930 by Mandelstam, Papalexi, and Andronov using ideas from Poincares theory of
celestrial mechanics. As a result they proved a theorem including a criterion for the occurrence of a limit
cycle in differential equations depending on a certain parameter. In the mathematical literature this theorem
is known as the Hopf bifurcation theorem because Hopf, rediscovered it in 1944 while studying problems in
hydromechanics [see Arnold (13)], p. 271) for further information about the reception of this theorem]. The
MandelstamPapalexiAndronov oscillator model contains a parameter that is suitable for generating a limit
cycle if a critical value is passed. In oscillator design this parameter corresponds to a circuit parameter. (e.g.
the load resistor). Before formulating the PoincareAndronovHopf theorem, we will demonstrate the birth of
a limit cycle. For this purpose a modification of Eq. (5) is used, since it can be solved exactly. This equation is
formulated in the state-space representation [see e.g. Nicolis and Prigogine (14)]
where the parameter is included in another way. To solve this differential equation we transform it into the
magnitudephaseangle representation
Obviously the system of two differential equations is decoupled, and in this case solutions of both equations
are known. We have
In Fig. 3 the steady-state behavior of Eqs. (8), (9) is illustrated for < 0 and > 0, and we find that in the
latter case we have the desired limit cycle. The above-mentioned critical parameter value is zero.
OSCILLATOR DESIGN
It can be shown that this case already describes a very general situation. If we consider n-dimensional
systems of differential equations that describe more complicated electronic oscillators, the so-called center
manifold theorem can be used to reduce the dimension of the system to two. [For details of this theorem we
refer to the monograph of Arrowsmith and Place. (15)]. Then the former case is obtained, but in this introductory
article we cannot discuss further details, and therefore the reader is referred, (for example) to Hassard et al.
(16).
In the following, the PoincareAndronovHopf theorem is formulated.
Theorem (PoincareAndronovHopf). Let
be a system of differential equations where f (0, ) = 0 for all in a neighborhood of 0. The Jacobian Dx f (0,0) of
f in (0, 0) has the eigenvalues 1,2 = j with = 0 and n 2 other eigenvalues k with k < 0. Furthermore
d d {1 ()}| = 0 > 0, and the equilibrium point 0 is a stable spiral in = 0. Under these assumptions
sufficiently small positive numbers 1 and 2 exist such that for all ( 1 , 0) the equilibrium point 0 is a
stable spiral and for all (0, 2 ) the equilibrium point 0 is an unstable spiral. In the last case the unstable
spiral is surrounded by a stable limit cycle whose amplitude increases with .
Instead of a proof [see e.g. Hassard et al. (16) or Mathis (5)], this theorem is illustrated by the van der Pol
equation.
Example. The van der Pol equation (3) can be formulated by a standard
y := x into a
transformation
system of differential equations of first order. Using the normalization u : = x and v : = y, the following
differential equations result:
OSCILLATOR DESIGN
and therefore 1,2 = j (for = 0) and d d 1 () | = 0 = 12 > 0. It can be shown that if = 0, the equilibrium
point (u, v) = (0, 0) is a stable spiral. It results from the PoincareAndronovHopf theorem that a stable limit
cycle is generated for > 0 that encloses an unstable spiral.
This oscillator model and the theorem were formulated for the first time by Andronov and his coworkers
in 1934, studying electronic oscillator circuits, but it was 1979 before Mees and Chua published theoretical
considerations about oscillator design using this theorem [see Mees (17)]. On the other hand, a necessary
condition of this theoremBarkhausens oscillatory conditionwas a known long time ago and became the
basis of a linear design theory for oscillators.
Design Aspects
The Linear Design Theory of Sinusoidal Oscillators. It is known from the PoincareAndronov
Hopf theorem that one pair of eigenvalues has to cross the imaginary axis, whereas the other eigenvalues have
to remain within the left half complex plane. Obviously, it is a necessary condition that oscillator circuits have
a pair of eigenvalues on the imaginary axis for a certain value of some circuit parameter. It is mentioned in
the subsection Oscillator Models above that this condition can be interpreted as the compensation step of
oscillator design, which can be performed in a linear manner using a linear negative resistor. This necessary
assumption of the PoincareAndronovHopf theorem has been known since the first oscillator paper of Vallauri
(1) in 1917, and during the following few years several variants of his results were published. One of the most
popular criteria was the Barkhausen oscillatory condition [see e.g. Millman and Grabel (9)]. All these variants
can be classified by using the following topological structures of oscillator circuits:
and applying corresponding methods of network analysis. It has been known for a long time that these
two models are equivalent from a network-theoretical point of view.
Many oscillator circuits contain tubes or transistors. In the case of tuned-circuit oscillators it is more
efficient to describe such a circuit as an active 3-pole with a passive impedance embedding (see Fig. 4). This
was done for the first time in 1920 by Hazeltine (18). He showed that in Fig 4 the impedances Z1 , Z2 , and Z3
have to be capacitive, capacitive and inductive, respectively. The reader will find systematic conderations about
this subject in the books of Spence (19) and Cassignol (20). Since this rather restricted model for oscillator
circuits can be reformulated in the form of the negative-impedanceadmittance model or the positive-feedback
model, we discuss the use of the latter models in more detail. For this purpose we consider a rather simple
oscillator circuit in order to avoid tedious calculations; further examples can be found in textbooks of electronics
[e.g. Millman and Grabel (9)].
As the first step the network elements of an actual oscillator circuit have to be associated with the defining
blocks of the above-mentioned models. In general this step includes some arbitrariness. The second step uses
the conditions that a pair of eigenvalues with vanishing real parts have to occur, formulated for the special
topology of the models. As a result we obtain (necessary) conditions for the occurrence of oscillations with
respect to the oscillator frequency and the gain of the active elements parametrized by means of the network
parameters of an actual oscillator circuit. These conditions represent the linear part of the design of oscillator
circuits.
Now we compile the corresponding conditions for the above-mentioned oscillator models (see Parzen (6),
Chap. 1):
OSCILLATOR DESIGN
Negative-impedance model The real and imaginary parts R and X, respectively, of the model in Fig. 5 have
to satisfy the conditions
Negative-admittance model The real and the imaginary parts G and B, respectively, of the model in Fig. 5
have to satisfy the conditions
Positive-Feedback model The open-loop gain consisting of the transfer functions A(s) and (s), respectively,
of the active block and the passive block (see Fig. 6) has to satisfy the condition
In the literature these conditions are called the Barkhausen criterion [see e.g. Millman and Grabel (9)].
Instead of the decomposition of the complex equation into the real and the imaginary part, a representation
with magnitude and phase angle is preferred.
OSCILLATOR DESIGN
Of course, a network analysis in a straightforward manner leads to equivalent conditions for the occurrence of oscillations. For this purpose we consider the ac network model of an oscillator circuit that contains
no independent sources and derive its network equations in the frequency domain. As a result we obtain a
homogeneous system of linear equations
with the oscillation frequency j as the parameter. Note that an oscillator circuit contains only constant
independent sources. Therefore these sources are omitted in the small-signal model. The matrix coefficients
contain the network parameters. It is known from linear algebra that nontrivial solutions are obtained if the
condition
is satisfied. The equivalence of this expression to the other criteria can be shown.
There is another method that is equivalent to a circuit analysis under certain conditions. In this case a
transfer function is defined with respect to a (sinusoidal) input source and two terminal as the output port.
This approach can be applied in a successful manner only if
The input current or voltage source does not change the oscillator circuit substantially, that is, we recover
the initial circuit if the input source vanishes
The circuit is controllable and observable with respect to the chosen input and output ports
The first condition is satisfied if we use pliers entry and an independent voltage source or soldering-iron
entry and an independent current source [see e.g. Desoer and Kuh (21)]. For the second condition a careful
analysis of the circuit is needed before the two-ports are chosen.
Example: Tunnel Diode Oscillator [Mees (17)]. The nonlinear network equations of the circuit in Fig. 7
can be formulated as (if R 0)
10
OSCILLATOR DESIGN
the ac network model (linearized network equations) can be derived without distinction between large and small
signal currents and voltages. Let g be the derivative of g with respect to its argument; then the transformation
of this equation into the frequency domain leads to the following condition:
is satisfied. In this case the oscillator frequency is given by 2 0 = 1/(LC). We find from the tunnel-diode characteristic that this is possible if the operating point of the diode is located at its maximum or minimum,
where the derivative g (U 0 ) vanishes. If the ac network model of this tunnel-diode circuit is interpreted as the
negative-conductance model, we find the oscillatory conditions
Obviously these conditions are equivalent to the previous one. A negative-resistance model is not suitable
in this example. If the negative-conductance model is assumed, a transfer function is determined if an extra
(index E) independent sinusoidal voltage source U E is located as an input quantity in series with the linearized
tunnel diode resistor and the capacitor voltage U C is used as output quantity; both U E and U C are represented
in the frequency domain. The corresponding transfer function can be derived:
The zeros of the denomiator are the eigenvalues of this circuit. Under the same condition [g (U 0 ) = 0] on the
voltage U 0 , we obtain a pair of imaginary eigenvalues and the oscillatory frequency) given by 2 = 1/LC. Finally
we consider the approach where the positive-feedback model is applied. For this purpose we reformulate the
negative-conductance model so that the conductances Y g = g (U 0 and Y L (j) = 1/ZL (j) become identical,
that is the sum of the admittances Y g and Y L has to be zero. By means of ZL (j) an interpretation as a product
OSCILLATOR DESIGN
11
This is Barkhausens condition if Y g and ZL (j) are interpreted as transfer functions of a feedback model. Since
this reformulation is derived by means of equivalent calculation steps, the same conditions for the occurrence
of oscillations are obtained. Probably it is rather a problem of taste and/or experience which approach is used
to derive the oscillatory conditions. For example, Parzen (6) discusses the design of tuned-circuit oscillators
with transistors, and therefore he uses the above mentioned active three-pole representation with passive
embedding. Based on this model, the author applies the negative-resistanceconductance model to calculate
the oscillatory conditions. Mauro (27), prefers the positive-feedback model and derives similar conditions for
tuned-circuit oscillators as well as RC oscillators. In general both approaches can be used successfully, and
therefore the choice makes no difference from a theoretical point of view.
The Nonlinear Design Aspects of Sinusoidal Oscillators. Although many aspects of the nonlinear
theory of oscillator circuits are known, it is not trivial to make use of them to construct a systematic design
concept for these circuits. The theoretical results are at least suitable for a classification of oscillator circuits
and for the construction of simulation tools. We will discuss these subjects in this and the following sections.
Just as in other cases of circuit design, an oscillator circuit is determined if its network topology as well as its
network parameters is known. A design process starts with some specifications of the desired oscillator circuit,
and then we try to find an oscillator topology together with a certain set of network parameters in order to
fit these specifications. For this purpose the following approach can be used. Further details can be found for
example, in the monograph of Parzen (6).
(1) Basic Specifications The form of the oscillator behavior (sinusoidal, rectangle, triangle, etc.), frequency of
the oscillator, the amplitude, and so on, are taken into consideration.
(2) Choice of the Circuit Devices The application of the oscillator circuit, the working temperature, and so on,
are taken into consideration.
(3) Choice of the Type of Resonator The frequency stability, the amplitude stability, the variability of the
frequency, and the economic expense are taken into consideration.
(4) Choice of the Kind of Limiting that Maintains the Oscillator Amplitude A self-limiter, external limiting, or
automatic-level-control limiting can be chosen.
(5) First Draft of the Oscillator Circuit The above aspects are taken into consideration.
(6) Determination of Circuit Parameters The actual circuit devices and its circuit parameters have to be chosen.
(7) Optimization Circuit simulations and/or an experimental realization are necessary. If the circuit does not
meet the specifications, then some steps have to be repeated.
12
OSCILLATOR DESIGN
This design summary shows that each design process of an oscillator circuit presents peculiar problems.
However, we will make some general remarks based on the theoretical considerations above. Although the
frequency of an sinusoidal oscillator can be determined by a linear analysis (see the Barkhausen condition
in the previous subsections, in view of the PoincareAndronovHopf theorem nonlinearities are essential for
the functionality of oscillators (see the subsection Oscillator Models above). We already mentioned in that
subsection that a nonlinearity is necessary for limiting the amplitude. This can be provided in one of three
ways:
(1) Self-Limiting The inherent linearity of an active device (tube, transistor, operational amplifier, etc.) is used
to build up a nonlinear differential equation with a stable limit cycle. In this case the amplitude is fixed
implicitly by the type of nonlinear characteristic. The only requirement is to calculate the amplitude with
a suitable model of the nonlinear device.
(2) External Limiting This is a variant of the first case, since the resonant circuit works in a linear mode and
the limiting is introduced by an additional device (Zener diode, symmetrical clippers, thermistors, etc.).
(3) Automatic-Level-Control Limiting The natural approach to limiting is amplitude controlthat is, measuring the amplitude, comparing it with a desired amplitude value, and adjusting (if necessary) a circuit
parameter that controls the damping of the circuit through a suitable control strategy. Even if the resonant
circuit is approximately linear, the entire circuit, including the control part, is nonlinear because there
is a coupling between at least one state variable and a circuit parameter. A suitable discussion for the
construction of such control devices can be found in the monograph of Parzen (6) and the dissertation of
Meyer-Ebrecht (23).
The first way of limiting of the oscillator amplitude leads to a rather simple construction of the oscillator
circuit, but in this way the damping element is influenced by the large signal gain factor. Unfortunately, this
gain factor varies with the instantaneous amplitude of the oscillator and results in spectral distortions. This
is an essential disadvantage in the case of sinusoidal oscillators. If such
an oscillator with low distortion is
desired, the nonlinear damping should depend on an indefinite integral x(t) dt of the amplitude x(t) instead of
the instantaneous amplitude. In mathematical terms this statement can be formulated as follows if we restrict
our discussion to an oscillator circuit of van der Pol type. Then the descriptive equation is of the form
instead of
Although the structure of an oscillator circuit and its amplitude stabilization are essential, analysis
methods are necessary in order to calculate at least the amplitude and the frequency as a function of certain
circuit parameters for a suitable design of a sinusoidal oscillator. Since analytical solutions of the corresponding
network equations of an oscillator are not available, perturbation methods have to be applied for this purpose.
Several approaches are available:
Perturbation methods
Averaging or harmonic balance, methods
OSCILLATOR DESIGN
13
Describing-function method
Volterra series method
Most of the different variants of perturbation methods start with some Fourier polynomial and, based on
this first step derive a set of associated differential equations. Therefore these methods can be interpreted as
time-domain methods, which are considered and illustrated in the monograph of Nayfeh (24). The first-order
perturbation results are of special interest in practical oscillator design. Also the averaging (harmonic balance)
methods can be interpreted as time-domain methods. A very efficient variant of an averaging method that
can be implemented in a computer algebra program uses Lie series [see Kirchgraber and Stiefel (25)]. It was
applied for studying electronic oscillators by Keidies and Mathis (26).
Another time-domain method can be interpreted as an extension of the convolution description of linear
time-invariant inputoutput systems, which is implemented in Volterra series methods. In this case a series
of integrals is used as a first step and the coefficients are convolution kernels of higher order. Illustrations of
this method are included in the paper of Chua and Tang (27).
An efficient iterative procedure for calculating the steady-state output waveform of almost sinusoidal
nonlinear oscillators using the feedback formulation is presented by Buonomo and Di Bello (28). In their paper
this method is compared with the alternative methods of Mathis and Keidies as well as Chua and Tang. Just
like the other methods, the interative approach can be implemented by means of a computer algebra system.
Since frequency-domain methods are very successful in the case of linear time-invariant circuits and
systems, many electrical engineers are greatly interested in extensions of these approaches to nonlinear
circuits and systems. The describing-function method is very popular because it can be interpreted as an
extension of the transfer-function method, which is a standard method in the analysis of linear time-invariant
networks. We have to assume that only the first-harmonic part of the response of a nonlinear block to a
sinusoidal input function is of interest, because the other parts will be filtered out. If the functionality of
the sinusoidal oscillator is interpreted in terms of the feedback structure in Fig. 6, this filter is realized
within the feedback loop. Although the nonlinear block produces an entire spectrum of output frequencies as
a response to a sinusoidal input function, only the first-harmonic part is essential for the functionality of a
sinusoidal oscillator. Therefore the describing-function method is illustrated by means of the feedback model
of a sinusoidal oscillator, although extensions of the negative-impedance and admittance models, respectively,
are possible [see e.g. Cassignol (20)].
We restrict our discussion to the case where only the A block in Fig. 6 contains nonlinear elements and
the input signal is x(t) = cos t. Then a first-harmonic part can be extracted from the output signal
where we assume that no constant part is included in the output signal. Clearly the amplitudes y1 , y2 , and the
phases 1 , 2 , . . . depend on and . The describing function is defined by
In many cases N( ) is independent of . Then () can be plotted as a single polar curve in the complex
plane, graduated in , and likewise the locus of 1/N() can be plotted, graduated in . The intersection
14
OSCILLATOR DESIGN
of these curves corresponds to a limit cycle, whose stability properties can be studied. More details of this
approach are included in the monograph of Mees (17), where its problems are also discussed.
Design of Two-Port Oscillators. In the following we consider the two-port oscillator formed by a
frequency-dependent linear feedback two-port and a nonlinear active two-port as depicted in Fig. 8. The output
signal of the linear two-port is amplified in the nonlinear active two-port and then fed back to the input of the
linear two-port. A necessary condition for the occurrence of a stationary harmonic oscillation is that the phase
and the amplitude of the signal, after passing both two-ports, are unchanged. Due to the frequency-dependent
linear feedback two-port, the phase condition is only fulfilled for one frequency. Due to the nonlinearity of the
active two-port, the amplitude condition is only fulfilled for one value of the amplitude of the signal.
In our example we consider the simple model of an active two-port formed by a voltage-controlled current
source. The voltagecurrent characteristic of the voltage-controlled current source is assumed to be nonlinear.
If the active two-port contains additional linear elements, these elements may be moved to the linear two-port.
In our example the linear frequency-dependent two-port consists of a transformer with primary inductance
L1 , secondary inductance L2 , and mutual inductance M; a capacitor C; and a conductor G. The primary and
secondary coils of the transformer are in antiphase, and therefore M < 0. The secondary inductance L2 and
the capacitor C together form a parallel resonant circuit. This inductor-coupled resonant circuit is the most
compact model we can establish for the linear feedback circuit.
In more complex cases we can replace the reactive part of the feedback two-port by the canonical Foster
representation (29). In the neighborhood of the resonant frequency the essential part of the canonical Foster
realization is given by a transformer-coupled resonant circuit, as assumed in our model. In the case of small
losses it is also possible to include the losses in this model (30). The conductor G accounts for the losses in the
passive and the active two-ports. At the resonant frequency of the parallel resonant circuit,
the phase change in the linear two-port is 180 . This compensates for the 180 phase change occurring in the
active circuit, and the phase condition for oscillation is fulfilled.
The nonlinear dependence of the output current i2a (t) of the linear two-port on its input voltage i1a (t) is
given by
The active two-port is considered to be frequency-independent. It is assumed that all reactive elements of the
active element have been moved to the linear two-port. This can be done easily if the reactive elements are
linear, and if it is possible to concentrate all reactive elements in a equivalent circuit. The relation between
OSCILLATOR DESIGN
15
the spectra of the input current I1l () and the output voltage U 2l () of the linear feedback network is given by
where A21 is the matrix element of the chain two-port representation. According to Fig. 8 we obtain u1a = u2l
and i1l = i2a . Furthermore, we consider i1l (t) I1l () and u2l (t) U 2l (), where ()(t) ()() denotes in a symbolic
manner a pair of Fourier-transformed functions in time and frequency domain.
We assume that in the oscillator circuit, Fig. 8, oscillations are excited by an initial perturbation. After
some period of growth of amplitude due to the nonlinearity of the active element, the oscillator will saturate in
a stationary state oscillating at a frequency 0 . In the case of a weak nonlinearity the oscillation exhibits only
low harmonics. The linear feedback network acts as a bandpass filter and attenuates the harmonics. In the
case of a sufficiently high Q factor of the resonant circuit and a weakly nonlinear active element, the transient
of the oscillator from excitation to the stationary state exceeds the period of oscillation by orders of magnitude.
We also can assume that the time constants governing the decay of the perturbation of the stationary state of
the oscillator exceed the period of oscillation by orders of magnitude. Under these assumptions we can make
for u2l the first step
where V(t) and (t) denote the amplitude and the phase of the oscillator signal. Due to the nonlinearity of the
active two-port, the output amplitude I at the fundamental frequency 0 depends nonlinearly on the input
amplitude V. With 0 t = we obtain from Eq. (36) the fundamental frequency component of the output current,
This relation holds also for slowly time-varying amplitudes, and we obtain
With a21 (t) A21 () we obtain from Eq. (37) the relation between the input current i1l (t and the output voltage
u2l (t of the linear feedback circuit in the time domain:
16
OSCILLATOR DESIGN
Using a21 (t) A21 () and ta21 (t) j A 21 () with A 21 () = dA21 ()/d, we obtain
yields
where the prime () denotes the derivative with respect to evaluated at = 0 . For the stationary state it
follows that
OSCILLATOR DESIGN
17
We now investigate the influence of small perturbations V 1 of the stationary amplitude V 0 . With the first
step
we obtain for small-amplitude deviations V 1 from the stationary state the linear differential equation
The stationary state of oscillation is stable if any perturbation V 1 is decaying. This holds for
In this case the stability condition (58) can be written in the following form:
For the Meissner oscillator with transformer feedback circuit according to Fig. 8 the parameters G0 and B0 are
given by
The condition (54) yields the frequency of oscillation 0 in the stationary state according to Eq. (35). Due to
Eq. (53), the stationary amplitude V 0 can be determined from
18
OSCILLATOR DESIGN
From
and Eq. (60) it follows that the stationary state of the two-port oscillator considered is stable for
The transient to the steady state (or limit cycle) cannot be obtained in a simple manner.
A limit cycle has to be assumed.
The results are independent of certain parameters of the active devices.
However, under these assumptions simple design formulas can be derived, since only linear (timeinvariant) networks have to be analyzed. We illustrate this approach in the case of a symmetrical multivibrator
that is working in saturated mode. More complicated situations (e.g. if transistors are not working in saturated
mode) will be found for example in Gray and Meyer (32).
Example: Symmetrical Multivibrator. We consider the multivibrator that is shown in Fig. 9. Let us assume
that at the initial instant t = 0, the left transistor T 1 conducts and the right transistor T 2 is cut off. If the voltage
across the left capacitor is near to zero while that across the right capacitor reaches the voltage U 0 , a switching
event occurs. During the commutation where T 1 switches to the cut-off state while T 2 changes to the conducting
state, the left Capacitor charges and the right capacitor discharges. The situation for t > 0 can be analyzed by
means of a simple analysis of the network in Fig. 10. The following differential equation results:
A switching event takes place if uC (t) that corresponds to the baseemitter voltage of T 2 exeeds the cutoff
voltage (which is simplified to zero in our case). From the above solution we have
OSCILLATOR DESIGN
19
and therefore the period of the square wave is T 1.38 Rb C. It is an easy matter to include cutoff and saturation
quantities for the transistors [e.g. Cassignol (20)]. In the same way, results for other relaxation oscillators can
be derived that include operational amplifiers or digital gates [see e.g. Horenstein (31) or Kurz and Mathis
(33)]. Furthermore, saw tooth-wave oscillators can be analyzed if piecewise linear models of the active devices
are used. The reader is referred to the literature for further details [e.g. Davidse (34)].
20
OSCILLATOR DESIGN
The nonlinear modeling of the unperturbed oscillator may be done in the time domain by numerical
integration (35,36,37) in the frequency domain using harmonic balance or Volterra series methods (38,39,
40,41,42) or by using combined timefrequency-domain methods (43,44,45,46). Microwave oscillators may be
subdivided into a linear embedding circuit and one or more nonlinear subcircuits. By this subdivision, the
computational effort may be reduced considerably. The easiest approach is to subdivide the active element
of the oscillator into a linear embedding network and a single nonlinear controlled source. In this way, the
modeling may be improved over the linear approach, as shown for example in Refs. 47,48. This method is
restricted to a single dominant nonlinearity in the oscillator circuit.
More accurate circuit modeling requires the inclusion of numerous nonlinear circuit elements in the
simulation. Approximating the distributed elements within a broad but finite frequency interval by a lumpedelement equivalent circuit facilitates the description of the unperturbed network by a set of nonlinear and
autonomous first-order differential equations in the normal form
The components of the vector x are the state variables of the system. Time-domain integration of the network
equations describing the equivalent lumped-element circuits usually requires an enormous computational
effort, since the system of differential equations is usually high-dimensional and also exhibits high stiffness.
One method for reducing the computational effort is to combine time-domain and frequency-domain calculations
(43). The periodic steady-state solution can be found in the time domain by solving the periodic boundary-value
problem (35). The solution obtained in the time domain is exact and in this respect superior to that from
harmonic balance. Using the multiple shooting algorithm of Bulirsch, the convergence of the time-domain
boundary-value problem may be improved (49,50).
Schwab et al. have applied the time-domain boundary-value method to the self-consistent determination
of the steady-state solution of oscillators (37). The time-domain method has the advantage that it is not
necessarily restricted to a certain number of harmonics of the signals.
The most common method for frequency-domain analysis of oscillators is the harmonic balance method.
Using that method, a nonlinear system of equations
has to be solved. In this equation X is the system state vector summarizing the amplitudes of n signals at
the fundamental frequency 0 and at K harmonics (38,39,40,41,42). The advantage of the harmonic balance
method is that distributed circuits can also be considered in the analysis.
In the combined timefrequency-domain method the oscillator circuit is subdivided into a linear circuit
and a nonlinear circuit (43,44). The linear part of the circuit is described in the frequency domain, whereas
a state-variable description in time domain is applied to the nonlinear part. This allows one to combine the
advantages of frequency-domain and time-domain methods. In the linear part of the circuit, distributed circuit
elements can also be considered.
Time-Domain Method. The computation of the steady-state solution of the oscillator by solving the
initial-value problem (86) for t has the disadvantage of large numerical effort. For most practical cases
interest is restricted to the periodic steady-state solution x(t) = x(t + T 0 ) for the nonlinear oscillator waveform.
The period of oscillation T 0 is not known. In order to determine it we include T 0 as an additional variable with
the state variables x and introduce the normalized time variable = t/T 0 . We have now to solve the two-point
OSCILLATOR DESIGN
21
where the last condition fixes the phase of the limit cycle. Let us denote the solution of the initial-value problem
(71) at +1 with the initial conditions s at by e(s , , +1 ). The solution of the boundary-value problem is
equivalent to the determination of the zeros of the vector-valued function
This algorithm is called the single-shooting method, and in general it has only a small domain of convergence.
A better way to solve the boundary-value problem is to use the multiple-shooting algorithm (43,49,50).
This algorithm is more stable and has a wider domain of convergence than the single-shooting one. By this
method the region between the boundaries is divided into several subregions,
These starting points are varied until a continuous solution fulfilling the boundary condition is found, which
can easily be seen to be the zero of the vector-valued function
where the last two rows represent the boundary conditions and the others the continuity conditions.
22
OSCILLATOR DESIGN
Because of the special structure of Eq. (77), the zeros can be computed in a very efficient way (49). To
achieve starting values for T 0 and x, the set of nonlinear differential equations (86) is linearized at the unstable
stationary point x0 , with the Jacobian
where 1 ,. . .,n the n eigenvalues and e1 ,. . .,en the corresponding eigenvectors of the Jacobian J. Here v is
the eigenvalue with v > 0. The stiff-stable Gear algorithm (36) has proven to be an effective method for
numerical integration.
Frequency-Domain Method. Using the harmonic balance technique, the steady state of the unperturbed oscillator may be computed in the frequency domain. The n state variables of the oscillator are summarized in the state vector X:
Since all state variables are periodic in the limit cycle, the time-domain state variables xi can be expanded into
Fourier series with the Fourier coefficients X i,l . The frequency range considered is limited to K harmonics:
The Fourier coefficients of the state variables X 0 are determined by the solution of a nonlinear system of
equations
This system, with dimension n(2k + 1) and n(2k + 1) unknowns, exhibits an infinite one-dimensionl manifold
of solutions, since the phase of a free-running oscillator is arbitrary. The solution can be made unique by
specifying the phase of one Fourier coefficient. The frequency of oscillation is an unknown variable and is also
determined by solution of the system equations.
TimeFrequency-Domain Method. Time-domain methods are efficient for the analysis of circuits
exhibiting strong nonlinearities. However, it is not possible to include linear distributed circuits in the timedomain analysis. Especially in microwave oscillator design, the linear embedding circuits usually contain
distributed circuits. The method described in the following is based on the subdivision of the oscillator network
into the following two subsets:
OSCILLATOR DESIGN
23
Therefore the network can be represented by a circuit model as shown in Fig. 11.
The linear embedding network may be described effectively in the frequency domain. The linear and
nonlinear parts of the oscillator network are connected via a number M of ports. The port voltages and currents
are described by the vector l(t) = [vT (t), iT (t)]T . Nonlinear resistors and nonlinear conductances are replaced by
voltage and current sources and described, in common with all other sources within the nonlinear subnetwork,
by the vector l(t) = [v0 (t), i0 (t)]T . In a subsequent step the linear and the nonlinear subnetworks are separated
from each other, and the port voltages and currents represented by the vector l(t) are also replaced by voltage
and current sources, as shown in Fig. 12.
Based on the time-domain description (36), the nonlinear subnetwork is characterized by
where x are the independent state variables, and w is a function of the state variables and their time derivatives,
w = w(w, x ). The matrices A, B, C, and D, representing also nonlinear capacitors and inductors, depend on
x and x . Due to the dependence of the matrices A, B, C, D and the vector w on x , the system of differential
equations (83) is implicit. This system can be made explicit and put into the normal form by imposing the
condition that the matrices A, B, D and vector w depend only on x and not on x . This condition is fulfilled if:
24
OSCILLATOR DESIGN
In the nonlinear subnetwork no current source is connected to a node that is connected only to current
sources and to inductors.
In the nonlinear subnetwork no voltage source is within a mesh containing only voltage sources and
capacitors.
Under these conditions the matrix C vanishes. If we also require that w depend only on the system state
variables x and not on their time derivatives, we obtain from Eq. (83)
By appropriate separation into a nonlinear and a linear subnetwork, large time constants (originating for
example from feedback loops or bias networks) may be eliminated. As a result, the differences between the
time constants are smaller, and the stiffness of the system is reduced considerably. If we treat the whole
network totally in the time domain, the linear subnetwork does not exist, and therefore the term D(x)l(t) in
Eq. (84) vanishes and we obtain
The nonlinear oscillator subnetwork is described by Eqs. (84) and (85). In addition to the periodic boundary
condition x(t) = x(t + T 0 ), the voltages and currents of c(t) and c (t) must coincide. c (t) may be expressed by
where V(t) is the impulse response of the linear subnetwork. As in the previous section we normalize the time
variable with respect to T 0 and obtain
Since the oscillator signals are assumed to be periodic, it is possible to represent the port variables l(t) by
periodic Fourier series,
OSCILLATOR DESIGN
25
where V is the hybrid matrix of the linear multiport, at the th harmonic, which can be computed with
standard linear network analysis methods. The Fourier series expansion representing the port variables is
truncated after the kth element. In this case, due to the sampling theorem, it is necessary and sufficient that
the condition c(t) = c (t) be fulfilled for 2K + 1 discrete time values within the interval T 0 ). We obtain
The solution of Eq. (91) and the periodic boundary condition may be expressed, as in the subsection TimeDomain Method as the solution of a boundary-value problem. The state equations of the nonlinear subnetwork
are therefore supplemented by (2K + 1)M additional state equations
Notice that the boundary conditions are no longer only given at = 0 and = 1, but at 2K + 2 points = (1)/(2K
+ 2), = 1, . . ., 2K + 2. Because of the special structure of the boundary-value problem the multiple-shooting
algorithm can be adapted in a numerically efficient way (43).
Noise in Oscillators
Problems in Microwave Oscillator Noise Analysis. Noise analysis of microwave oscillators is usually based on the assumption that the unperturbed state of the oscillator is almost sinusoidal. This allows the
application of a describing-function method for the characterization of the nonlinear devices in the oscillator
(52),
(51). Based upon this method, the noise behavior of microwave oscillators has been analyzed by Spalti
Edson (53) and Kurokawa (54,55). These methods have been applied and extended to special cases (56,57,58).
The above methods provide a good qualitative and to some extent also a quantitative description of the
oscillator noise behavior. However, their applicability is restricted to simplified oscillator models, since their
accuracy depends on the validity of the approximation of the dynamic behavior of the nonlinear elements by a
describing function (55). Another severe limitation is that the upconversion of low-frequency noise such as 1/f
noise cannot be treated by these methods.
26
OSCILLATOR DESIGN
Kartner
has developed a time-domain method for noise analysis of oscillators, based on the solution of
the Langevin equations (59, 60). Adding the noise terms to the normal-form equations (69) yields
The vector describes white noise sources, and y1 ,. . ., yM represent f noise sources. Colored noise sources may
be derived from white noise sources by inserting linear systems transforming the white noise sources to colored
noise. For considering f noise sources infinite-dimensional systems are required. However, as shown in Ref.
60, these infinite-dimensional systems may be treated with analytical formulas, so that f noise sources may
be treated with low computational effort. Using the perturbation method, the correlation spectra of the phase
and amplitude noise due to white noise sources as well as due to f noise sources can be calculated. The
method has been applied to bipolar transistor oscillators (59,60), to planar integrated microwave oscillators
(61,62), and to varactor tunable oscillators (63).
Frequency-domain noise analysis can be performed on the basis of the harmonic balance method (64,65,
66). Starting from the harmonic balance equations (70), we obtain a nonlinear system of equations
In this equation X T is the system state vector summarizing the signal spectra of n signals at a frequency
close to the fundamental frequency 0 and at k harmonics. The subscript T denotes that the signals are timewindowed (67,68). The vector N T summarizes the r noise-source spectra at a frequency and at harmonics.
The numerical solution of this equation is based on correlation-matrix techniques.
Combining time- and frequency-domain techniques is also possible in noise analysis (69). The phase noise
is computed in the time domain. The linear subcircuits are described by noise multiports. This method again
exhibits the advantages of the timefrequency-domain method.
In Ref. 66 the results of measurements on designed and fabricated integrated oscillators are compared
with numerical simulations based on the methods discussed above. Furthermore that paper considers a method
to minimize oscillator phase noise by numerical optimization. Based on the computation of the oscillator steadystate and spectral behavior in the time domain, single-sideband phase noise is minimized using a method for
optimal-control problems, a direct collocation algorithm (69,70)
Another essential requirement is the simulation of the startup behavior of oscillators. If the resonator
is weakly damped, it is well known that many oscillations occur on the way to the steady state. Although
some analytical results are available [see e.g. Rusznyak (71). where a simplified model of a crystal oscillator is
used, the corresponding simulation problem is very complicated [see Schmidt-Kreusel (72)]. Recently SchmidtKreusel published an efficient solution for this problem, which is based on the idea that the transient trajectory
of a weakly damped oscillator consists of nearly closed trajectories in the state space. If only a few parts of
this transient are approximated by periodic solutions, the envelope of the transient behavior can be calculated
in a fast manner. This approach is described in detail by Mathis (73). Recently, an alternative approach was
published by Brachtendorf and Laur (74) that uses a certain kind of partial differential equations for calculating
the envelope.
Description of Noisy Circuits. In linear noisy circuits we usually have to deal with stationary Gaussian noise signals. Such signals may be characterized completely by their correlation spectra (75). For a signal
OSCILLATOR DESIGN
27
s(t) unlimited in time, the average power within the time interval of length 2T centered around t is given by
If for large time intervals 2T the average power approaches a limit, which is independent of t, the signal s(t) is
called stationary. The average power P of a stationary signal can be exactly defined by
Which is called a correlation function. For i = j, the function Cii () is the autocorrelation function of the signal
si (t) and for i = j we have the cross-correlation function Cij () of the signals si (t) and sj (t). With the time-windowed
function sT (t) of the signal s(t) defined by
We denote the Fourier transform of the time-windowed function siT (t) by SiT (f ):
As mentioned before, the symbol represents the correspondence between a pair of Fourier transforms. From
Eq. (102), we obtain
28
OSCILLATOR DESIGN
Cii (f ) with i = j is the autocorrelation spectrum of the signal si (t) and Cii (f ) with i = j is the cross-correlation
spectrum of the signals si (t) and sj (t). With the exception of a dimensional factor, Cii (f ) is a spectral power density
or a power spectrum. Since the autocorrelation function is a real and even function of , the autocorrelation
spectrum is a real and even function of frequency.
The cross-correlation function is complex. Changing the sign of the frequency or interchanging the indices
i and j yields its complex conjugate.
The factor 2 results from considering both the positive- and negative-frequency parts. In general for random
signals no amplitude spectra exist, whereas power spectra may be calculated even for random signals.
For a stationary noise signal sni (t) the Fourier integral does not exist. However, a correlation function
can be defined, in which the brackets indicate the statistical mean over signals measured on an ensemble of
identical circuits. If the signals sni (t) and snj (t) have zero mean, in general, the mean of the product sni (t)snj (t )
approaches 0 with arbitrary order for , so that the integral (108) and also its Fourier transform exist.
Since the Fourier integral of a time-windowed function exists in general, the correlation spectrum may also be
defined by
In this case, T has to be carried out after the ensemble averaging. The autocorrelation and cross-correlation
spectra Cij (f ) of the noise sources of a linear network are given by
OSCILLATOR DESIGN
29
where SiT (t), SjT (t) are the spectra of the time-windowed signals of the noise sources. The correlation spectra
Cij (f ) can be combined in the correlation matrix
The correlation matrix C(f ) can be represented as the product of the column vector
in matrix notation by
We now formally use the complex amplitudes SiT (f ) in the same way as the amplitudes of deterministic signals.
SiT (f ) is the spectrum of a time-windowed noise signal. We can measure the noise signal within some finite
interval of time, and we may calculate the spectrum of this sample. This specific sample of a noise signal
has to be considered as a deterministic signal, since we have exact knowledge of its time dependence. The
transition from deterministic signals to random signals is carried out in our description by performing the
ensemble average. After doing so, in the case of random signals, the decomposition of the correlation matrix
into a product of a column vector and a row vector will be impossible. For example in the case of a signal vector
describing independent random noise sources, the nondiagonal elements will be averaged out to zero and the
correlation matrix will be diagonal.
In general, the network equations have the following form in matrix notation:
The coefficient matrix M(f ) combines the complex amplitude vectors ST (f ) and S T (f ). Multiplying Eq. (115) on
the right by its Hermitiean conjugate, we obtain
30
OSCILLATOR DESIGN
Evaluating the ensemble average on both sides and subsequently carrying out the transition T we obtain
This establishes a general rule for deriving equations for the correlation matrices from linear equations
for the signal amplitudes. A linear noisy two-port may be characterized by two equivalent noise sources. These
sources may be located at the input or at the output. If both sources are located at the same port, one must be
a voltage source in series with the port and the other must be a current source in parallel with the port. If one
equivalent noise source is assigned to every port, in general we may choose an equivalent current source or an
equivalent voltage source at each port.
Noise in Two-Port Oscillators. We analyze the noise behavior of the simple two-port oscillator shown
in Fig. 13. The left two-port is the linear frequency-determining feedback two-port. In our example the feedback
network of the Meissner oscillator was chosen. The right two-port is the nonlinear amplifying two-port. In our
example, all internal noise sources of the linear two-port as well as the nonlinear two-port are summarized in
the noise current source IT r (f ). This equivalent noise source is obtained in the following way: In the first step,
describe the noise properties of the linear feedback two-port by an equivalent output noise located at its output,
and the noise properties of the active two-port by equivalent noise sources located at its input. To extract the
noise parameters of the active two-port, we consider it to be linear. After connecting the output of the feedback
two-port to the input of the active two-port, we can contract the four equivalent noise sources into one noise
source IT r (f ).
For the oscillator circuit depicted in Fig. 13 the following equations are valid:
OSCILLATOR DESIGN
31
The variables I1lT (f ), V 2lT (f ), V 1aT (f ) and I2aT (f ) are the noise current and voltage amplitudes at the ports
of the two-ports. To investigate of the oscillator noise behavior we have to consider the nonlinear saturation
properties of the active two-port. A21 (f ) and A22 (f ) are circuit parameters of the feedback two-port in chain
representation. In our simple model we describe the active element by a nonlinear voltage-controlled current
source. With the real amplitude V of the oscillator signal at the input of the nonlinear two-port we describe
the relation between input and output noise signals by the amplitude-dependent transconductance S(V). From
Eqs. (118) to (121) we obtain
The autocorrelation spectra CI (f ) and CV (f ) of the noise current source Ir T (f ) and the voltage current
source V r T (f ) are given by
For the Meissner oscillator the circuit parameters of the linear feedback two-port are given by
32
OSCILLATOR DESIGN
where M is the mutual inductance of the transformer and L2 is the inductance of the secondary coil. Substituting
into Eq. (125), we obtain
With
we obtain
The frequency deviation f from the carrier is given by f = f f 0 . For f << f 0 we can approximate
To characterize the oscillator noise we introduce the noise measure M r . The noise measure is the factor by
which the power spectral density of a noise source exceeds the thermal noise. The autocorrelation spectrum of
the equivalent noise source of a conductance G exhibiting thermal noise at a temperature T is given by 2kTG.
From this definition it follows that
From Eqs. (130), (131), (135), and (136) we obtain the power spectral density of the oscillator,
OSCILLATOR DESIGN
33
From Eqs. (132) and (136) we obtain the average total power
We define the spectral width of the oscillator as the ratio of the average power P 0 to the power spectral density
W (f 0 ) at the center frequency f 0 ,
and obtain
In the oscillator without noise, S equals G0 , whereas in the noisy case we have G0 S > 0. The oscillator
amplitude is determined by the nonlinear gain characteristics of the active element. It is only slightly influenced
by the noise source. The ratio G0 /(G0 S) is determined by the ratio of the saturation power P 0 to the injected
noise power. Using Eq. (138), we can express G0 S the ratio of the power spectral density of the equivalent
noise source to the saturation power of the oscillator and obtain
The spectral width of the oscillator is directly proportional to the noise measure M r , and inversely
proportional to the reciprosal saturation power and to the square of the quality factor Q of the resonant circuit.
Low-noise design of oscillators requires a low-noise active element, a high quality factor of the active circuit,
and a high saturation power of the oscillator. Since the amplitude of the oscillator is stabilized by the nonlinear
saturation behavior of the oscillator, an oscillator exhibits primarily amplitude noise.
Noise Analysis in the Frequency Domain. In the following a frequency-domain perturbation method
for simulating the noise behavior of free-running microwave oscillators is presented (66). The method is based
on a piecewise harmonic balance technique. The single-sideband phase noise of the oscillator is derived from
the system equations. The method is limited neither to certain circuit topologies nor to certain types of noise
sources.
Fluctuations of the State Variables. In the frequency-domain method, noise sources may be considered by extending the nonlinear system of equations (82). Introducing the noise source vector NT (), which
summarizes the time-windowed spectra of the noise sources, the system equations now exhibit the following
form:
The index T denotes the time-windowed signal spectra as defined in Eq. (102). The vector NT Cr(2k+1) summarizes the amplitudes at the fundamental frequency 0 and at the harmonics up to K0 of a number r of noise
sources of arbitrary spectrum. In Eq. (142) all harmonics up to kth order and their fluctuations are considered.
34
OSCILLATOR DESIGN
This allows us to compute the complete correlation spectrum at the frequency deviation m = 0 . All noise
processes, including the upconversion of low-frequency noise, are considered. Since the noise contribution is
small compared with the deterministic part of the oscillator signal, the noise contribution may be considered
as a first-order perturbation. From Eq. (142) we obtain
The matrix G(X 0 T , ) describes the coupling of the noise sources NT with the system. It is assumed that noise
sources effect only a small perturbation of the limit cycle of the oscillator:
Therefore the system of nonlinear equations (143) may be linearized in the neighborhood of the limit cycle, and
we obtain
with the Jacobian matrix J(X 0 T , ) Cn(2k+1)n(2k+1) of the unperturbed system equations given by
This equation describes the perturbation of the oscillator by the noise sources. It includes the mixing of the
injected noise signals NT with the unperturbed state variables XT 0 . From the solution of the linearized system
of equations (146) the correlation spectra of the state variables may be computed.
A problem arises from the fact that the Jacobian matrix J(X 0 T , 0 ) is singular for the limit cycle of the
unperturbed system (35,76) The linearized perturbed system equations cannot be solved by inversion or by
LR decomposition. The smallest eigenvalue of the Jacobian is 1 = 0. A perturbation XT corresponding to
the eigenvalue 0 of the Jacobian induces a perturbed solution X 0 T + XT, which is again a solution of the
system equations (142). The eigenvector corresponding to the eigenvalue 1 = 0 is tangent to the limit cycle.
The fluctuations in direction of this eigenvector are the phase fluctuations. The subspace spanned by the other
eigenvectors of the Jacobian is the space of the amplitude fluctuations. This subdivision of the eigenvector
space of the Jacobian allows a clear and well-defined distinction between phase and amplitude fluctuations.
Solution of the System Equations Including Noise. The Jacobian is singular at the steady state, and
for a small frequency deviation f m of the carrier frequency the deviations of the matrix elements are small and
the condition number of the Jacobian remains high (76). The condition number cond, defined by
OSCILLATOR DESIGN
35
provides a measure for the numerical error in the solution of a linear system of equations (77, 50). Here J is
the matrix norm of the Jacobian J. The condition number of a matrix may be approximated by the ratio of its
largest to its smallest eigenvalue (77). The largest eigenvalue is much larger than the frequency of oscillation
f 0 , because it is related to the fastest process of the system. The smallest eigenvalue is of the order of the
frequency deviation f m , as we will show later in Eq. (166). Therefore the condition number cond of the Jacobian
is much larger than the ratio of the carrier frequency to the frequency deviation of interest (78):
This means that the steady state of an oscillator has to be determined to a much higher precision than the
reciprol of the condition number to achieve a relative error smaller than 1 (50). Considering a 10 GHz oscillator
and a frequency deviation of say, f m = 10 kHz, the condition number is much larger than 106 . To overcome the
numerical problems the Jacobian is linearized at the carrier frequency with respect to the frequency:
An eigenvalue decomposition (77) of the Jacobian with left- and right-side eigenvectors is used. Thus the
complete correlation spectra can be calculated in a numerically stable way. First we want to analyze the
unperturbed Jacobian J (X 0 T , 0 ). The left- and right-side eigenvectors of the Jacobian are denoted by V j and
Wi , and the eigenvalues by V j and W j respectively. We have
The eigenvalues of the Jacobian are equal for a set of left- and right-side eigenvectors:
The left- and right-side eigenvectors satisfy the orthogonality relations (76)
36
OSCILLATOR DESIGN
According to these equations, the eigenvector V1 is orthogonal to all right-side eigenvectors Wi with the
exception of W1 . The eigenvectors corresponding to the eigenvalue 1 = 0 are denoted by V1 and W1 . These
eigenvectors will be investigated in the following in detail.
The eigenvector W1 is determined by the steady-state solution (64,79):
where K IRn(2k+1)n(2k+1) is a matrix that has only nonvanishing diagonal elements consisting of the numbers
of the harmonics:
Figure 14 illustrates the meaning of the eigenvectors i (t) and i (t) in the time domain. The vector 1 (t) V1 (f )
is the tangent vector to the steady-state limit cycle x0 (t), and 1 W1 (f ) is the normal vector defining a plane
N that is mapped onto itself by the unperturbed flux of the linearized set of differential equations (Poincare
map); see Ref. 60. The left-side eigenvector V1 is determined via
which is a linear homogeneous system of equations and can be solved with a standard LU decomposition. The
length of the vector V1 has to be normalized to satisfy, Eq. (155):
The eigenvectors Wi are a complete basis for the state space, and due to Eq. (155) a multiplication of V 1 with a
vector within the state space is a projection onto the complementary space of the plane N. This means that the
projection operator W1 V 1 applied to any vector z = n i = 1 ai Wi results in a vector with a tangential component
a1 with respect to the limit cycle. So if this projection operator W1 V 1 is applied to the noise sources in the state
space G(X 0 T,)NT the contributions of the noise sources that cause a phase shift of the unperturbed steady
state are separated. This will be shown in the following. For a small frequency deviation f m the deviations of
the elements of the Jacobian are small. Therefore the deviations of the eigenvalues and eigenvectors of the
Jacobian are small too (77):
OSCILLATOR DESIGN
37
The eigenvalues and eigenvectors of the perturbed Jacobian J (X 0 T , 0 ) are denoted by a prime. It is sufficient
to consider the deviations of the eigenvalues and eigenvectors up to the first order in m :
The eigenvalue 1 is of special interest, since it is identical with the deviation 1 from the lowest eigenvalue
1 = 0 of the unperturbed system. Using Eq. (156), we obtain
The smallest eigenvalue of the perturbed Jacobian 1 = 1 is therefore of the same order of magnitude as
the small frequency deviation m . The inverse J 1 (X 0 T , 0 ) of the Jacobian is represented by an eigenvalue
decomposition with the eigenvalues and left- and right-side eigenvectors of the Jacobian J (X 0 T , 0 ):
This inversion will not be performed, due to the ill-conditioning of the Jacobian. We have derived this equation
only to calculate the correlation spectrum of the state-variable fluctuations. Later on we take into account the
special eigenvalue 1 that causes the ill-conditioning of the matrix and the problems associated with numerical
inversion.
The state-variable fluctuations are given by
Correlation Spectrum of the Oscillator Noise. The correlation spectra of the state variables CX (f ) and
the noise sources CN (f ) are given by
38
OSCILLATOR DESIGN
where the brackets denote the ensemble average. The correlation spectra of the state variables are derived
using Eqs. (169), (171) and the equation (168) of the state-variable fluctuations:
The approximations Eqs. of (163), (164), and (165) for the eigenvalues and eigenvectors of the perturbed
Jacobian are used to derive the correlation spectra of the state-variable fluctuations. The term with the major
contribution to the correlation spectrum is the term with i = j = 1, due to the small eigenvalue 1 = 1 given
in Eqs. (27). This term represents, as already described, the phase noise of oscillators. As the perturbations
of the eigenvectors W1 and V1 are of the order of m and therefore small compared with the unperturbed
eigenvectors, they are negligible, and we have
Due to the special situation of the eigenvalue 1 and the eigenvectors W1 and V1 , the terms with i = 1
and j = 1 or i = 1 and j = 1 in Eq. (171) represent the amplitude-phase correlation spectra. Finally, the terms
OSCILLATOR DESIGN
39
with i = 1 and j = 1 in Eq. (171) represent amplitude noise. These noise contributions are small compared with
the phase noise, due to their larger eigenvalues, and are not taken into account in the following.
Single-Sideband Phase Noise. The single-sideband phase noise L(f m ) is the ratio of the noise power in
a sideband of bandwidth 1 Hz at a frequency deviation f m = f f 0 from the carrier frequency to the total signal
power PS . The value of L(f m ) is the same for all state variables, and therefore we can choose any state variable
xi to calculate the single-sideband phase noise:
In order to obtain the single-sideband phase noise at the fundamental frequency, the matrix element corresponding to the ith state variable is chosen, which represents the noise power at the fundamental frequency.
We have to select the element |X 0 i,1 |2 of the matrix KX 0 X 0 X and obtain for the noise power PNi (f m ) in a 1 Hz
bandwidth
Here Rn is a normalization resistance. The signal power of the fundamental frequency is represented by
With the definition of the single-sideband phase noise in Eq. (174) we derive an equation for L(f m ) using the
approximations of the noise power (175) and the signal power (176):
which can be obtained very easily with a standard LU decomposition of the Jacobian. The derivative of
the Jacobian with respect to the frequency, J (U 0 , 2F 0 ), can be calculated numerically, as we will show
in our example. The denominator of the second factor is constant for different frequency deviations and
needs to be calculated only once. The numerator consists of the correlation spectrum of the noise sources
multiplied by the vector V 1 on the left side and by V1 on the right side. As we already described, this
multiplication is a projection of all noise sources of the state space onto the tangent vector to the steady state.
That means the vector V1 selects the contributions of the noise sources that are tangential to the steady state
and therefore induce phase noise. The noise sources (1/f noise and white noise and their modulation are taken
into account in the correlation matrix CGN . The correlation spectrum of a 1/f -noise source decreases at 10 dB
per frequency decade, and therefore L(F m ) decreases at 20 + 10 dB per decade. The single-sideband phase noise
decreases at 20 dB per decade due to white noise sources, because the correlation spectra of white noise sources
are independent of frequency. This method results in a numerically stable calculation of the phase noise of
40
OSCILLATOR DESIGN
free-running oscillators, where all effects of the noise sources converted with harmonic signals are taken into
account.
Synchronization of Oscillators
In the previous sections electronic oscillators without excitation are considered but even in the early days
of oscillators an undesired entrainment phenomena in forced oscillators was described by Moller (80) and
others [see van der Pol (81) around 1920. Although van der Pol mentioned forced oscillations in his 1920
paper he only considered circuits with positive (differential) resistances. In 1922 Appleton (82) discussed
automatic synchronization of forced triode oscillators (only another expression for entrainment), and in the
following years this subject was studied in more detail [see van der Pols review paper (83)], but a sound
mathematical basis for entrainment phenomena was not presented until the paper of Andronov and Vitt (84)]
in 1930, where again mathematical ideas of Poincare were used. A modern presentation can be found for
example in the monograph of Jordan and Smith (12). In 1945 Tucker emphasized (85), The synchronization
(or entrainment) of oscillators was originally investigated because of difficulties experienced with early radio
transmitters of pull-in to adjacent-station frequencies. Since then, however, the properties of oscillators under
the influence of injected tones have been utilized in several ways, and he mentioned ideas from his Ph.D. thesis
about applications to carrier telephone systems, and Kirschsteins (86) miscellaneous applications in radio and
other applications in communication engineering. Today many of these early applications of entrainment and
synchronization of forced oscillators are discussed in the context of so-called phase-locked loops [see e.g. Stensby
(87) for further details and references]. Although it seems that PLL circuits and forced electronic oscillators
differ in their circuit structure, a mathematical analysis shows similar phenomena in both circuits.
In this section some aspects of entrainment will be illustrated using the forced van der Pol equation (with
normalized 2 0 = 1)
where > 0. Following Jordan and Smith (12), where van der Pols idea is used, we look for responses approximately of the form
where r2 = a2 + b2 . The periodic solutions with the frequency of the input function cos t [r.h.s. of
Eq. (179)] correspond to the equilibrium points (a = 0, b = 0) of these equations. Using the abbreviations
= (2 1)/ (detuning) and = /, we obtain from the equilibrium equations the following condition for
OSCILLATOR DESIGN
41
response solutions
Analysis of this polynomial equation shows that there are one or three real roots (since r > 2), depending
on the parameter values and . A graphical representation of r2 in dependence on || called the response
diagram can be found for example, in Jordan and Smith (12). Based on these equilibrium points, a stability
analysis has to be performed. As a conclusion it can be found that for certain values of the parameters and ,
around the frequency 2 0 = 1 ( = 0) of the free oscillator (i.e. zero input function) there is a finite region (lock-in
band) of detunings where a stable harmonic solution (with frequency ) exists. This region of frequencies
corresponds to the region of entrainment or synchronization. Outside this region of frequencies there are no
stable harmonic solutions with the input frequency , and in the ab plane limit cycles appear. In Fig. 15
a variant of the response diagram is shown using the coordinates r and . A first curve subdivides the r
plane into stable and unstable areas, whereas the upper semicircle corresponds to the stable solutions of the
polynomial equation (183). The dashed lines bound the entrainment or synchronization region. These results
were first published by Andronov and Vitt (84).
Note, that the forced vdp equation is nonlinear, and in contrast to linear differential equations with
constant coefficients, where general solutions are consist of a superposition of free and forced oscillations, this
distinction makes no sense, although it seems obvious if the frequencies 0 and are widely separated.
By means of the above mathematical concept some basic aspects of entrainment synchronization phenomena can be discussed, but there are other effects (e.g. higher harmonics, subharmonics) where more involved
techniques have to be applied. The reader is referred to the monograph of Jordan and Smith (12) for further
details. For the analysis of PLL circuits with feedback structure the monograph of Stensby (87) is very helpful.
Finally we should mention that there is a close relationship between synchronization and chaotic behavior.
This subject is treated in an interesting paper of Tsang et al. (88) As a conclusion of their discussion it can be
emphasized that each circuit with synchronization properties is a candidate for a chaotic system.
42
OSCILLATOR DESIGN
BIBLIOGRAPHY
1. G. Vallauri Uber
die Wirkungsweise der in der drahtlosen Telegraphie benutzten Vakuumrohren mit drei Elektroden
(Audion), Jahrb. Drahtlosen Telegr., 12 349, 1917.
2. A. A. Andronov A. A. Vitts S. E. Khaikin Theory of Oscillators (reprint), New York: Dover, 1996.
3. N. M. Krylov N. N. Bogoliubov Einfuhrung
OSCILLATOR DESIGN
43
44
OSCILLATOR DESIGN
52. A. Spalti
Der Einflu des thermischen Widerstandsrauschens und des Schroteffektes auf die Stormodulation von
Oszillatoren, Bull. Schweiz. Elektr. Vereins, 39: 419427, 1948.
53. W. A. Edson Noise in oscillators, Proc. IRE, 48: 14541466, 1960.
54. K. Kurokawa Noise in synchronized oscillators, IEEE Trans. Microw. Theory Tech., MTT-16: 234240, 1968.
55. K. Kurokawa Injection locking of microwave solid-state oscillators, Proc. IEEE, 61: 13861408, 1973.
56. K. F. Schunemann
K. Behm Nonlinear noise theory for synchronized oscillators, IEEE Trans. Microw. Theory Tech.,
MTT-27: 452458, 1979.
57. L. O. Chua Y.-S. Tang Nonlinear oscillation via Volterra series, IEEE Trans. Circuits Syst., CAS-29: 150168, 1982.
59. F. X. Kartner
Determination of the correlation spectrum of oscillators with low noise, IEEE Trans. Microw. Theory
Tech., MTT-37: 90101, 1989.
60. F. X. Kartner
Analysis of white and f noise in oscillators, Int. J. Circuit Theory Appl. 18: 485519, 1990.
61. V. Gungerich
et al. Phase noise reduction of microwave oscillators by optimization of the dynamic behaviour, MTT-S
International Microwave Symp. 1994, San Diego, 1994, pp. 953956.
62. G. R. Olbrich et al. Calculation of HEMT oscillator phase noise using large signal analysis in time domain, IEEE-MTT
Symp. Digest, San Diego, Vol. 2, pp. 965968. 1994.
63. V. Gungerich
et al. Reduced phase noise of a varactor tunable oscillator: Numerical calculations and experimental
results. IEEE MTT-S International Microwave Symp. 1993 Atlanta, 1993, pp. 561564.
69. W. Anzill Berechnung und Optimierung des Phasenrauschens von Oszillatoren, Dis sertation, Technische Universitat
Munchen,
1995.
70. W. Anzill et al. Phase noise minimization of microwave oscillators by optimal control, IEEE MTT-S International
Microwave Symp., 1995, (Orlando, FL, pp. 15651568.
71. A. Rusznyak Start-up time of CMOS oscillators, IEEE Trans. Circuits Syst. CAS-34: 259268, 1987.
78. F. X. Kartner
Noise in oscillating systems, Proc. IEEE MTT/AP Workshop on Integrated Nonlinear Microwave and
Millimeter Wave Circuits (INMIC92), Duisburg, Germany, 1992, pp. 6175.
OSCILLATOR DESIGN
45
85. D. G. Tucker Forced oscillations in oscillator circuits, and the synchronization of oscillators. J. IEE, 92: 226234, 1945.
86. F. Kirschstein Die Mitnahme selbsterregter Schwingungen und ihre technische Verwertung, Elektr. Nachr. 20: 2938,
1943.
87. J. L. Stensby Phase-Locked Loops-Theory and Applications, Boca Raton, FL: CRC Press, 1997.
88. Y. S. Tsang A. I. Mees L. O. Chua Synchronization and chaos, IEEE Trans. Circuits Syst., CAS-30: 620626, 1983.
89. M. E. Frerking Crystal Oscillator Design and Temperature Compensation, Van Nostrand Reinhold, New York: 1978.
90. G. D. Vendelin Microwave Circuit Design Using Linear and Nonlinear Techniques, New York: Wiley, 1990.
WOLFGANG MATHIS
PETER RUSSER
Otto von Guericke University of Magdeburg
158
PHASE-LOCKED LOOPS
PHASE-LOCKED LOOPS
Although the first description of the phase-locked loop (PLL)
was published by Appleton (1) in 1923, the PLL did not attract widespread attention till much later. Today PLLs of various types are used extensively in electrical engineering, from
telecommunication systems to measurement equipment.
Depending on the loop components, especially on the operation of the phase detector, analog, digital, sampling, and hybrid PLLs are distinguished. The behavior of these circuits is
described by differential, difference, and mixed integro-difference equations.
Even though applications of PLLs require a variety of circuit configurations, and the mathematical models mentioned
above require different kinds of mathematical treatment,
their behavior can be approximated and studied by means of
a simple feedback structure. Unfortunately, the behavior of
this structure is complicated to analyze, because it is nonlinear, may contain edge-triggered digital circuits, and is driven
by random noise. In many cases, analytical results are not
available in closed form; they are often buried in complicated
mathematics that cannot be assimilated easily by the circuit
designer. On the other hand, the design of PLLs for many
applications can be performed successfully, based on a simple
linearized model and by means of a few rules of thumb. In
this article one shall differentiate clearly between the essential elements and secondary effects of loop behavior, the key
assumptions and approximations involved in the analysis will
be highlighted, and the conditions under which the approximations are valid will be collected. The main goals are to provide a survey of PLL theory and applications and to summarize the most important design rules and equations.
This article is organized as follows. The next section is devoted to the theory of the analog phase-locked loop (APLL).
First the baseband model for the APLL is developed, then the
linear theory of the APLL (tracking, modulation, and noise)
is discussed. Finally, the most important nonlinear effects
(acquisition, cycle slips, hang-up) are considered. The subsequent section discusses the most common applications of
PLLs.
The key element of the loop that determines the practical
performance of a PLL is the phase detector. To obtain the
best circuit performance, different phase detectors have been
developed for various applications. The operation of the most
widely used phase detectors is then discussed.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
PHASE-LOCKED LOOPS
159
Demodulated Demodulated
PM output
FM output
Input
signal
Phase
detector
Loop
filter
+ Acquisition
voltage or
FM input
VCO
Recovered
carrier
signal
Figure 1. APLL block diagram showing inputs and outputs for various telecommunication applications.
160
PHASE-LOCKED LOOPS
2A sin
(1)
(2)
(3)
(4)
ni(t)
s(t, ) +
x(t)
PD
vd(t)
F( p)
vf (t)
+ v (t)
e
+
r(t, )
VCO
vc(t)
where gI(t) and gQ(t) are the low-frequency in-phase and quadrature components of the narrowband signal g(t).
Let ni(t) be modeled by a narrowband Gaussian random
process of zero mean and symmetrical power spectral density.
Then the sample function of the narrowband noise can be expressed from Eqs. (3) and (4) in canonical form (6,15) as
(6)
1
nQ = [n i cos(0t) ni sin(0t)]
2
(7)
and
= 2Vo cos
r(t, )
(8)
(9)
In Eq. (8) and Eq. (9) Vo and o(t) denote the rms amplitude
and phase of the VCO output.
The PD multiplies the input signal x(t) s(t, ) ni(t) and
) and produces both difference- and sumVCO output r(t,
frequency terms. The PD always contains a low-pass filter
that eliminates the sum-frequency component. By means of
simple trigonometric identities is obtained for the PD output
vd = AVo sin e + Vo (nI cos o + nQ sin o )
= Kd [A sin e + N(t, o )]
(10)
(11)
(12)
(13)
PHASE-LOCKED LOOPS
KF (p)
Kv
[A sin e + N(t, o )] +
v e + 2
p
p
(14)
KF (p)
Kv
[A sin e + N(t, o )]
v e 2
p
p
(15)
(16)
where d(t) describes the Doppler effect appearing in the channel, M(t) is the digital or analog phase/frequency modulation,
and 1(t) denotes the jitter, that is, phase noise of the transmitter oscillator.
The loop equation given by Eq. (15) has two important advantages. Due to the introduction of a phase error, the highfrequency terms have been dropped and all signals involved
in the loop equations have become low-frequency signals, that
is, slowly varying functions. This means that, for example, in
a computer simulation a low sampling frequency can be used,
that is, a short simulation time is required. A further advantage of the phase error description is that it simplifies the
problem to be studied. In many cases, such as the acquisition
problem, Eq. (15) becomes an autonomous differential equation that is relatively easy to study.
Note that, in an implemented APLL, the phase error does
not exist as an explicit variable; it has been introduced only
to derive a simple mathematical model for the APLL. However, if e(t) is known, then all signals appearing in an implemented APLL can be expressed easily by the equations developed above.
The baseband model of the APLL can be developed from
Eq. (15) as shown in Fig. 3. The sinusoidal nonlinearity in Eq.
(15) is due to the particular type of PD and the sinusoidal
VCO and input waveforms. However, other kinds of PD and
signals can be also applied. Fortunately, the unified baseband
model shown in Fig. 3 remains valid for each loop configurang
i +
Ag( )
+
Kd
vd
F(p)
vf
+
+
+
+
Kv
p
vc
2
Figure 3. Unified baseband model of APLL.
ve
161
where the envelope Nn(t) and phase n(t) processes are defined
by
Nn (t) =
n2I (t) + n2Q (t)
and
n (t) = tan1
nQ (t)
nI (t)
(18)
Note that the envelope Nn(t) differs from the complex envelope defined by Eq. (3). If the power spectral density of ni(t) is
symmetrical about 0, then a very simple relationship exists
between the autocorrelation functions of ni(t) and Nn(t)
Rn ( ) = 2r( ) cos(0 )
(19)
nI (t)nI (t + ) = nQ (t)nQ (t + ) = r( )
nI (t)nQ (t + ) = nQ (t)nI (t + ) = 0
(20)
162
PHASE-LOCKED LOOPS
SI ( f ) = SQ ( f )
SN ( f f 0 ) + SN ( f + f 0 ), B f B
=
0,
elsewhere
(21)
the phase error remains in the neighborhood of its quiescent value, that is, one may write g(e) g(ss)
dg(e)/dess e, where ss is the quiescent value of e(t)
and e(t) denotes its perturbation.
In this section the linear baseband model for the APLL
will be developed, and then the transfer functions for different APLL applications will be determined. After evaluating
the stability properties, the tracking (transient and modulation) behavior and the noise performance of the APLL are
studied, based on the linear baseband model.
Linear Baseband Model. Recall that the behavior of the
APLL can be described by the following nonlinear stochastic
differential equation:
e = i
KF (p)
Kv
[Ag(e ) + ng ]
v e 2
p
p
(22)
i Kv ve0
KF (0)A
(23)
KF (p)
ng
Kv
Ae +
v e 2
p
Kg
p
(24)
where, in order to have a compact notation, e(t) is not distinguished from its perturbation e(t), and the new value of loop
gain is K KgKdKv. Note that Kg is measured in rad1 and the
new value of loop gain K is given in (vs)1. The output phase
can be expressed as
o =
KF (p)
ng
Kv
Ae +
v e + 2
+
p
Kg
p
(25)
PHASE-LOCKED LOOPS
ng
i +
+
Kd
vd
F(s)
vf
G(s) =
+
ve
+
+
Kv
vc
+
2
AKF (s)
s + AKF (s)
(28)
163
s
s + AKF (s)
(29)
AKF (s)
s
(30)
H(s) =
1
1+
s
AK
(31)
s
AK
1 H(s) =
s
1+
AK
(32)
can be expressed. The transfer function H(s) has a well-defined 3-dB bandwidth, which we call the closed-loop bandwidth and label 3dB. Note that the closed-loop bandwidth
is equal to AK; and
varies with the amplitude A of the input signal.
The disadvantage of a first-order APLL is that only one
free design parameter is available. The loop gain K determines all parameters of the APLL. For example, the quiescent
phase error ss given by Eq. (23) and the closed-loop bandwidth appearing in Eq. (31) cannot be selected independently
of each other; a small ss results in a large closed-loop bandwidth. It is not possible to implement simultaneously a small
tracking error and a small closed-loop bandwidth. This problem can be overcome by introducing more free parameters,
that is, a first-order loop filter.
Case II: Second-Order, Type-Two Loop. The circuit diagram
of the most frequently used active loop filter is shown in Fig.
5. Due to the finite DC gain, the transfer function of the loop
filter
F (s) =
1 + s2
s1
(33)
cannot be implemented, but is approximated closely by an operational amplifier. The time constants in Eq. (33) are: 1
R1C and 2 (R1 R2)C. A loop implemented with an active
loop filter is often referred to as an ideal second-order APLL.
After substituting Eq. (33) into Eq. (28) and (29) the
closed-loop transfer function
H(s) =
s2
2 n s + 2n
+ 2 n s + 2n
(34)
164
PHASE-LOCKED LOOPS
Type
Key Parameters
H(s)
First
One
BL AK/4
4 BL
s 4 BL
Second
One
2n AK/ 1
1
1 s1
2 n 1/ 1
2n AK/ 1
BL AK/4
1 s2
1 s1
2 n
AK
1
and
2 n
2
(35)
(36)
2 n s 2n
s2 2 n s 2n
1
4
AK2
1
1
2BL n
4
(37)
5
(a)
Magnitude in dB
s2
+ 2 n s + 2n
2 n
s2
n
2AK
2n
s 2n
AK
s2 2 n s 2n
2 n
2n AK/ 1
Active filter
1 s2
s1
1 H(s) =
1 AK2
1
2BL n 1
Two
2n
s 2 n s 2n
2
(d)
(c)
5
10
(b)
+
15
R1
R2
Figure 5. Circuit diagram of the most widely used active loop filter.
101
100
Normalized frequency, / n
101
PHASE-LOCKED LOOPS
165
(a)
(b)
Magnitude in dB
0
(c)
10
(d)
20
30
10
100
101
Normalized frequency, / n
Figure 7. Error response of an ideal second-order APLL for various
values of damping factor: (a) 0.3; (b) 0.707; (c) 1; and (d)
2.
1 + s2
1 + s1
(38)
2
s 2 n n + n2
AK
H(s) =
s2 + 2 n s + n2
(39)
with
n =
AK
1
and
n
=
2
1
2 +
AK
(40)
j
Radius =
1
1
2 1
R1
2
R2
C
Figure 8. Circuit diagram of the passive leadlag loop filter.
166
PHASE-LOCKED LOOPS
all the important closed-loop parameters appear as distinctive points on the Bode plot; and
the loop stability can be also determined.
Linear Tracking. In many applications the phase of the incoming signal must be tracked with a small phase error. To
evaluate the tracking properties of APLL, one determines the
phase error response to different input phases i(t). Three
cases will be considered:
F (s)
s
(41)
Magnitude in dB
(b)
1
=
1
t2
u(t)
i (t) = i + i t + i
2
1
=
2
B = 2 n
log
Phase in degrees
= n
0
(43)
20 dB/decade
log
(b)
e =
135
(a)
(44)
where u(t) is the unit step function and the first, second, and
third terms denotes a phase step, frequency step and frequency ramp, respectively. The steady-state values of the
phase error for different loop filter configurations are given in
Table 2.
A heuristic derivation of the steady-state phase response
to a frequency step helps one better to understand the operation of the loop. In steady-state, the input and VCO frequencies are equal. The control voltage needed to retune the VCO
by i is i /Kv. The dc gain of the loop filter is F(0), that is,
the steady-state value of the PD output is i /KvF(0). The
phase error required to produce this output voltage is
90
180
s0
40 dB/decade
(42)
(a)
s
(s)
s + AKF (s) i
i
i
=
AKd K v F (0)
AKF (0)
(45)
PHASE-LOCKED LOOPS
167
Table 2. Steady-State Values of the Phase Error Response to a Few Commonly Encountered Excitations
Loop
Order
Type
Loop Filter
F(s)
Phase Step
Frequency Step
Frequency Ramp
First
One
AK
Second
One
1 s2
s1
2n
Two
1 s2
1 s1
AK
(46)
should not forget that if the APLL is used to process anglemodulated signals, then a smaller phase error results in less
distortion.
Inspecting Figs. 7 and 12, it can be seen that the tracking
error becomes very large at n in the second-order loop for
small damping factors. Recall that the linear approximation
can be used only if the phase error remains small enough,
even at n.
It has been assumed above that the input angle-modulation is produced by a single sinusoidal signal. In general, the
modulating signal is a random process and all that may be
known are its mean and covariance function. In this case the
aim is to determine the power spectral density and variance
of the phase error process caused by the input PM and FM.
Let the input phase modulation process i,PM(t) be a widesense stationary process with zero mean and power spectral
density Si,PM(). As shown in (3), the power spectral density of
the phase error process in steady-state can be expressed as
Se,PM () = |1 H()|2 Si,PM ()
(51)
(47)
1
2
Se,PM () d
(52)
sin( m ) d =
0
cos( mt)
m
(49)
cos( mt +
)
m
or
i (t) =
/ n
(48)
/(AK)
|1 H( m )|e j
[1 H(s)]|s= j m
(c)
(a)
0
5
(b)
10
15
(50)
where 1 H(m) and are given by Eq. (48). The error response is shown in Fig. 12 for the first-order and ideal secondorder loops. The high-frequency asymptote is the same for
both loops, but the responses are completely different below
the closed-loop bandwidth. The second-order APLL ensures a
much smaller tracking error than the first-order loop. One
101
100
m/AK or m/ n
101
168
PHASE-LOCKED LOOPS
The power spectral densities of the phase error Se,N() and the
output phase So,N() processes become
Si,PM () = K 2PM Sm ()
(53)
i (t) = KF M
m(t) d
(54)
where KFM denotes the modulator gain. Even if the modulating process m(t) is stationary its integral will not necessarily
be, so that the relationship between the power spectral densities of the input frequency modulation process and the phase
error process cannot be given. However, Viterbi has shown in
(3) that the power spectrum of the phase error process can be
expressed as
Se,FM () = K 2F M
|1 H()|2
Sm ()
2
(55)
where Sm() is the power spectrum of the input of FM modulator. The variance of the phase error process is
e2, FM =
1
2
Se, FM () d
(56)
2
2
e,N
= o,N
=
N0 1
2A2 2
N0
2A2
| H()|2 d
(58)
(59)
Let the noise bandwidth BL of the loop be defined as the bandwidth of an ideal low-pass filter, whose output variance is
2
e,N
when it is driven with Gaussian white noise of power
spectral density N0 /2A2
BL =
1
2
| H()|2 d
(60)
N0 BL
A2
(61)
The noise bandwidths for the most important loop filter configurations are given in Table 1.
Noise bandwidth for the ideal second-order loop is plotted
against damping in (10) (see Fig. 3.3 on p. 32). The minimum
BL is achieved for 0.5, but the noise bandwidth does not
exceed the minimum by more than 25% for any damping between 0.25 and 1.0.
Since the input additive noise and angle-modulaton are independent processes, the total variance of the phase error is
the sum of Eqs. (52) and (59). Taking into account Eqs. (51)
and (60) we get for PM
2
e2 = e2,PM + e,N
=
1
2
|1 H()|2 Si,PM () d +
N0 BL
A2
(62)
The linear approximation can be used only if the total variance of e(t) is small enough. For the ideal second-order loop,
e2 has to be less than 0.2 (10). If, in addition to the input
noise and angle-modulation, other random processes such as
VCO noise or frequency modulation of the VCO output signal
are present then the effects of these processes must also be
accommodated in e2 by applying the technique described
above.
Since there is no signal in the baseband model of the
APLL, an unambiguous definition of the signal-to-noise ratio
(SNR) in the loop cannot be given. The variance of the phase
error is used by Viterbi (3) and Lindsey (6) to define the SNR
in the APLL
SNRL =
A2
N0 BL
(63)
The linear theory of the APLL has been very well developed
and is very easy to understand. In the majority of circuit de-
PHASE-LOCKED LOOPS
0
i K v ve0
=
AKF (0)
AKF (0)
(64)
(65)
The physical meaning of the hold-in range is that the PD output voltage is bounded and so the maximum VCO frequency
detuning is also bounded.
Equation (65) states that the hold-in range can be made
arbitrarily large by using very high loop gain K. Of course,
this is not entirely correct because some other loop component
will then saturate before the phase detector. In the ideal
second-order loops, saturation of the loop amplifier generally
limits the hold-in range. Note that the hold-in range is a
static parameter, that is, its value does not depend on the order of APLL.
Consider next the nonlinear tracking properties, that is,
the dynamics of a first-order APLL in the absence of noise.
Assume that the APLL is operating in steady-state when the
input frequency is suddenly changed so that
i (t) = i t + i0
(66)
169
(67)
0
sin e
AK
AK
0
AK
ss
ss
PHASE-LOCKED LOOPS
e
AK
Saddle
0
Focus
Saddle
ss
ss
2
Focus
3
Saddle
sin e = 0
e
dt 2
1
dt
1
(68)
(69)
(70)
e (t)
3
e in rad
170
Pull-in
Lock-in
PHASE-LOCKED LOOPS
200
180
160
140
120
100
80
60
40
20
0
(e)
(d)
Loop Order
(c)
Second
(a)
Loop Filter
F(s)
Acquisition Range
(rad/s)
Acquisition Time
(s)
4BL
2
BL
1 s 2
1 s 1
2AK n
20
2 3n
1 s2
s 1
20
2 3n
First
(b)
171
AKt
Figure 16. Acquisition behavior of first-order APLL. The initial values of phase error i0 are (a) 45; (b) 135; (c) 170; (d) 178.86 and
(e) 179.886.
cycle slips can be seen easily if one plots the modulo-2 process for e(t), shown in Fig. 15 by the lower curve. The jumps
of 2 in e(t) indicate the occurrence of a cycle slip. Note that
there are no cycle slips during the lock-in process.
Acquisition is inherently a highly nonlinear phenomenon.
It is started from given initial conditions and no external excitation is applied, apart from the initial phase and frequency
error. This means that acquisition can be described by an autonomous nonlinear differential equation and it can be studied by the phase-plane portrait introduced in the previous
section.
If the loop acquires lock by itself, the process is called selfacquisition. If it is assisted by extra circuits, it is called aided
acquisition. Since self-acquisition is relatively slow and unreliable, acquisition-aids are often used. For a good survey of
different aided acquisition techniques, see (10).
The acquisition behavior of a first-order APLL is described
by Eq. (15) and can be studied by means of the phase-plane
portrait shown in Fig. 13. Under steady-state conditions,
de /dt 0. It is clear from Eq. (15) and Fig. 13 that de /dt
becomes zero at any of the following values of phase error:
0
+ 2n,
n = 0, 1, 2, . . .
ss = sin1
AK
(71)
0
+ (2n 1),
n = 0, 1, 2, . . .
ss = sin1
AK
provided 0 AK. Referring to Fig. 13 one sees that the
equilibrium points denoted ss are stable, ss are unstable. If
the phase error is equal to ss then any perturbation in either
direction, caused by noise, for example, will cause e to move
until it reaches the next stable equilibrium. If 0 AK, no
stable equilibrium exists and the loop never reaches the
phase-locked condition, but e(t) moves along the sinusoidal
trajectory.
Figure 13 shows that an infinitely large number of equilibrium points exists. Since every cycle of the trajectory has a
stable equilibrium, e cannot change by more than one cycle
before phase-locking. Thus the pull-in and lock-in ranges are
equal, so cycle slipping never occurs during acquisition in the
first-order loop.
The phase transients of the first-order APLL during acquisition are shown in Fig. 16 for different values of the initial
phase error i0. Note that for small i0, the loop operation re-
(72)
1 + s2
1
=
+ 2
s1
s1
1
(73)
(Beat-note)
Dc path
vp
1
( )dt
2
vI
VCO
vc
Ac path
Input
signal
PD
vd
0 = 0 + K vv I
+
+
172
PHASE-LOCKED LOOPS
Input
signal
VCO
without FM
with FM
VCO
p( e, t ss, t0)
( e ss)
e
2(n 2)
2(n 1)
2n
ss
2(n + 1)
2(n + 2)
2(n + 1)
2(n + 2)
(a)
p( e, t ss, t0)
e
2(n 2)
2(n 1)
2n
(b)
p( e, t ss, t0)
PHASE-LOCKED LOOPS
1.5
(c)
1
(b)
0.5
0
3
2(n 1)
2n
2(n + 1)
p( e, t ss, t0)
e
2(n 2)
2(n 1)
2n
2(n + 1)
2(n + 2)
(d)
Figure 19. Qualitative behavior of the phase error pdf with time.
Initially, the APLL is (a) in equilibrium position ss; then (b) the pdf
expands due to diffusion. Later a cycle slip appears and the phase
error migrates (c) to the adjacent stable equilibrium on the right side.
Then after a long period (d) the pdf appears as a multimodal function
about the stable equilibrium positions.
0
ss
exp( cos )
,
2I0 ()
<
(74)
2(n + 2)
(c)
(a)
e
2(n 2)
173
2 I0 ()
2BL
(75)
These values agree perfectly with the measured data (24). Observe that is inversely proportional to the noise bandwidth
of the APLL, that is, for efficient carrier recovery a narrowband loop must be used.
Equation (75) can approximate the mean time between cycle slips in higher-order APLLs if the parameter is modified
appropriately (6). A better theory, giving more accurate results, has been published in (25); for measured data, see (24).
PLL APPLICATIONS
The baseband model of the analog phase-locked loop and the
linear and nonlinear APLL theories were discussed in the
174
PHASE-LOCKED LOOPS
(76)
Noise
ni(t)
Input
signal
s(t, )
x(t)
AGC
PD
F(s)
VCO
Filtered
signal
s(t, )
PHASE-LOCKED LOOPS
Signal
to be
amplified
s(t, )
Amplified
signal
PD
F(s)
VCO
s(t, )
Reference
signal
fR
+
1
M
PD
phase noise, to avoid the generation of spurious output signals, and to minimize the unwanted output FM caused by the
periodic output of the phase detector. These requirements can
be satisfied with special PD configurations, such as phasefrequency detector with a charge pump circuit or sample-andhold phase detector. The operation of these PDs will be discussed later. Many system aspects must be considered during
the development of frequency synthesizers. A detailed discussion of these questions can be found in (3639).
In addition to frequency synthesis, PLLs can be also used
as FM or PM modulators. The corresponding transfer functions for FM and PM are
N
V (s)
AK PM
K=
Kd K v
N
F(s)
VCO
fo = N fR + fS
M
1
N
Offset frequency
fS
(78)
Modulated
output
+
+
(77)
where Kv and N/AK are the gains of the FM and PM modulators, respectively. The closed-loop error [1 H(s)] and transfer H(s) functions are given by Eqs. (29) and (28), respectively.
The only difference is that the frequency synthesizer has a
frequency divider in the feedback path. Therefore, the loop
gain becomes
vFM(t)
FM modulation
input
vPM(t)
PM modulation
input
175
176
PHASE-LOCKED LOOPS
Demodulated
PM output
i(t)
Input
signal
A(t)s(t, )
Demodulated
FM output
PD
F(s)
=
r(t, )
d i
dt
(82)
VCO
2Vo cos( i t + i0 )
A0Vo [1 + m(t)]
Demodulated
AM output
(83)
m(t)
Figure 24. Coherent PM, FM and AM demodulation by APLL.
(79)
where i(s) denotes the input PM and AKd is the gain of the
PM demodulator. The demodulated PM signal is multiplied
by the closed-loop error function which has a high-pass characteristic. Distortion can be avoided if the closed-loop bandwidth is less than the lowest modulation frequency. The other
source of distortion is the PD nonlinearity. This type of distortion does not appear if the total variance of the phase error
given by Eq. (62) remains small enough.
FM Demodulator. Assume that a frequency modulated input signal is applied to a PLL. If the phase-locked condition
is maintained, then the VCO frequency follows the incoming
frequency. Since the VCO frequency is proportional to the
VCO control voltage, the FM modulation may be recovered
from the VCO control voltage. By means of the transfer function concept, one may write
1
V c (s) = H(s)
s (s)
Kv i
(80)
(81)
(84)
PHASE-LOCKED LOOPS
VCO output is
Frequency
doubler
m2(t) cos(2it + 2 i)
Input
signal
()2
2 cos(i t + o )
F(s)
m(t) sin( it + i)
2i
VCO
1 2
m (t) sin[2(i o )] sin(2e )
2
Recovered carrier
i
Figure 25. Suppressed carrier recovery by squaring loop.
(86)
Equation (86) shows that, after the frequency doubler, a conventional narrowband PLL can be used to recover the second
harmonic of the carrier. Finally, the double-frequency output
of the PLL is frequency divided by two, in order to recover the
original carrier signal.
Costas Loop. In the squaring loop the nonlinear operation
is performed in the RF band. The Costas loop offers an alternative solution, where the BPSK modulation is removed in
the baseband.
The block diagram of Costas loop is shown in Fig. 26. The
circuit contains in-phase (I-arm) and quadrature (Q-arm)
channels and an analog multiplier, that is, a phase detector
that precedes the loop filter. The I- and Q-arms consist of an
analog multiplier and a low-pass filter.
To understand the operation of Costas loop, assume that
the phase-locked condition has been achieved and that the
(88)
(85)
(87)
1
2
177
(89)
Inverse Modulator. Two slightly different versions of an inverse modulator or remodulator can be found in the literature
(10). The terms inverse modulator and remodulator are
used interchangeably and indiscriminantly. As an example,
the operation of an inverse modulator is discussed here.
The block diagram of an inverse modulator contains demodulator and modulator circuits, as shown in Fig. 27. Assume that the PLL involved has achieved the phase-locked
condition and that the VCO output is
2 cos( i t + o )
(90)
(91)
(92)
m(t) sin( i o)
Q - arm
2 cos( it + o)
Input
signal
VCO
m(t) sin( it + i)
F(s)
m2(t)
sin 2( i o)
2
2
2 sin( it + o)
I - arm
m(t) cos( i o)
Demodulated
bit stream
178
PHASE-LOCKED LOOPS
Demodulator
Input
signal
To bit
detector
m(t) sin( it + i)
2 sin( it + o)
2 cos( it + o)
Modulator
VCO
F(s)
1 2
m (t
2
Delay
td
td) sin 2( i + o)
Phase
detector
(93)
Early gate
1
T ( )dt
T
abs( )
CH
Bit stream
Timing
n an p(t T)
an = 1
F(s)
vd
T
1 +
2 ( )dt
Figure 28. Block diagram of the earlylate gate clock recovery circuit.
VCO
abs( )
CH
Late gate
Recovered
clock signal
PHASE-LOCKED LOOPS
=0
Perfect timing
vd = 0
No transition
vd = 0
Late
vd > 0
Early
vd < 0
gates are performed during the T/2 s, just before and after,
respectively, the estimated location of data transition. Gate
intervals adjoin each other, but do not overlap.
Waveforms helping to understand the operation of clock
recovery circuit are shown in Fig. 29. If the timing error is
zero, then the data transition falls just on the boundary between the operation of the early and late gates. In this case,
the estimated and incoming data transitions coincide with
each other, and the output of the two integrators, stored in
the hold capacitors CH, are equal. As a result, the error voltage vd(t) becomes zero.
Because the error voltage is produced from the absolute
values of the integrator outputs, it is also zero if the data
transition is missing.
If a transition of input data does not coincide with the estimated time instant of a transition, then a timing error denoted by in Fig. 29 appears. In this case, the data transition
falls not on the boundary of operation of the early and late
gates, but occurs within the operation interval of one or other
gates as shown in Fig. 29. Since the input signal changes its
polarity during the gate operation, the associated integration
reaches a smaller magnitude than for the other gate, where a
transition does not occur. Comparing the magnitudes of the
two integrators gives the error voltage vd(t), which can be
used after low-pass filtering to control the VCO frequency.
PHASE DETECTORS
The loop component that has the greatest influence on the
performance of a PLL is the phase detector. There are many
types of phase detectors, each having its own special benefits.
Some can be used at very high frequencies; others may operate in a noisy environment. Different types of phase detectors
are used in various applications in order to obtain the best
performance. In this section, we consider the most important
characteristics of a PD and discuss commonly used PD configurations.
It has been shown that the gain of the phase detector Kd
[or AKd, in the sinusoidal APLL, see Eq. (10)] has a direct
influence on every PLL parameter from the quiescent value
of the phase error to the noise bandwidth. In the majority of
applications, these parameters have to be kept constant, even
if the amplitude of the incoming signal varies. However, the
product AKd appears in the loop equations for certain phase
detectors, in which case all of the loop parameters depend on
the input amplitude. In these cases, an AGC or limiting cir-
179
180
PHASE-LOCKED LOOPS
vd(t)
2
Figure 30. The average output voltage of the exclusive-OR (solid
line) and edge-triggered RS flip-flop (dashed line) if they are used as
phase detectors. The linear phase regions are marked.
(95)
If both inputs have a 50% duty cycle, then the phase detector has a triangular characteristic, as shown in Fig. 30, where
the average value of the gate output is plotted against the
phase error. The harmonic content of output and the PD characteristic for other duty cycles are given in (39).
The exclusive-OR gate must be driven by standard digital
signals, which are not usually available in communications
receivers. The high level of periodic output prohibits their use
in high-quality frequency synthesis. They are used in digital
environments and narrowband loops, particularly when the
unwanted output sidebands can be tolerated. They are often
used in frequency synthesis, not as the phase detector but as
a lock indicator.
High-Speed Sampler. The high-speed sampler is commonly
used in frequency synthesis to lock a VCO to an integer multiple of a reference frequency. The high-speed sampler is basically a single-balanced mixer, driven by a narrow pulse on
the local input (39). The sampling signal, that is, the stream
of narrow pulses, is generated by a step-recovery diode from
the reference frequency. For the sampling signal the mixer is
balanced. During a pulse, the two diodes of the sampling gate
conduct and charge the output capacitors.
The phase detection capability of the high-speed sampler
can be understood easily in the frequency domain. Harmonics
of the reference frequency are generated by the step-recovery
diode and the appropriate harmonic of the reference signal is
multiplied by the VCO signal. The difference-frequency component is used as the PD output. The only difference between
the high-speed sampler and a harmonic mixer is that there
are two hold capacitors in the sampler that enhance the level
of the low-frequency PD output.
The main disadvantage of every phase detector, based on
sampling, is that any periodic disturbances or noise about any
harmonics of the reference, that is, the sampling frequency,
are translated to the low-frequency region. All of these signals
appear at the PD output as unwanted signals. It must be emphasized that the sampling process also folds a broadband
noise floor over many times, aliasing the noise from the vicinity of many harmonics of the sampling frequency to the lowfrequency region. This is why sampling-type PDs can be used
for reference signals with very high spectral purity; otherwise, a bandpass filter must preceed the PD, in order to reject
the unwanted signals.
Sequential Phase Detectors
Edge-Triggered Flip-Flop. The edge-triggered RS flip-flop
can be used as a sequential phase detector (39). The input
PHASE-LOCKED LOOPS
Reference
signal
fR
TR
vR(t)
181
TR
vd(t)
Xk + 1
Xk 1
vR(t)
vd(t)
Xk
t
tS
Tk + 1
tk
tk + 1
less than the sampling time tS. Note that the sampled signal
is kept constant during the finite sampling time tS. This must
be done in order to avoid the appearance of a periodic signal
at the PD output in steady-state.
Figure 33 shows the waveforms of sample-and-hold phase
detector in steady-state. Since the sampled signal (solid line)
is kept constant during the finite sampling time, the PD output (dashed line) becomes a pure dc voltage.
More details on the sample-and-hold phase detector and
its design are given in (38) and (39).
Phase-Frequency Detector with Charge Pump. Most of the
phase detectors discussed so far have two disadvantages:
1. Since they are not sensitive to the frequency error, their
pull-in time can be extremely long.
2. Apart from the sample-and-hold phase detector, they
have a periodic steady-state output.
The phase-frequency detector provides a signal that is sensitive to the frequency error during acquisition and operates
as a phase detector under phase-locked condition. As shown
in Fig. 34, it contains a logic circuit (the phase-frequency detector) and a charge pump circuit, implemented by controlled
current sources IU and ID. The output of the phase detector is
a current id(t).
The edge-triggered phase-frequency detector is driven by
the reference and frequency-divided VCO signals s(t) and
vo(t), respectively. The logic circuit has two outputs; if one of
these is active, then the other output is disabled. If the di-
vR(t)
vd(t)
TR
TR
TR
t
Generation of
sampled signal
RS
tS
+
vR(t)
tS
CH
+
vd(t)
tk
Figure 31. Simplified circuit diagram of sample-and-hold phase detector.
tk
tk + 1
tk + 2
182
PHASE-LOCKED LOOPS
IU
s(t)
R
R2
U
id(t)
Logic
circuit
F
+
vf (t)
ID
vo(t)
Phase-frequency
detector
Charge-pump
s(t)
TR
vo(t)
t
id(t)
TR
t
IU
ID
Figure 35. Input signals of the phase-frequency detector and output
current of the charge pump circuit.
PHASE-LOCKED LOOPS
183
PD
Loop Filter
VCO
Loop Equation
Analog multiplier
Ideal sampler
Sample-and-hold
Phase-frequency detector with charge pump
Analog
Digital
Analog
Analog
Analog
Digital
Analog
Analog
Pure differential
Pure difference
Integro-difference
Integro-difference
The hybrid PLL comprises the SPLL and charge pump PLL.
and analyze; however, its operation is indicative of the general behavior of any DPLL.
The block diagram of ZC-DPLL proposed by Natali (49) is
shown in Fig. 36. In the following equations, the time variable
t is again suppressed for conciseness, where it does not cause
misunderstanding.
Let the incoming signal be
s(t) =
2A sin(R t + i )
(96)
where R is the center frequency, that is, the carrier frequency of s(t), and i(t) denotes the input phase modulation.
The incoming signal is corrupted by bandpass filtered
Gaussian white noise ni(t). The signal s(t) ni(t) is sampled
by an ideal sampler (i.e., RS tS 0) at the sampling time
instants tk, k 0, 1, 2, . . ., the samples are held by CH and
converted to a digital signal xk by an analog-to-digital converter (ADC). The incoming signal, sampling time instants
and output of the ADC are plotted in Fig. 37 for the noisefree case.
In order to express the phase error, the sampling time instants determined by the digital clock have to be mapped to
the phase of an equivalent sinusoidal signal. Let tk be assigned by the positive zero-crossings of the equivalent sinusoidal signal characterized by its phase Rt o(t). Then the
positive zero-crossings
R tk + o (tk ) = R tk + ok = 2k
(97)
(100)
k = 1, 2, 3, . . .
(101)
and the output of the digital filter is used to control the next
period of a digital clock, according to the algorithm
(102)
(103)
2k ok
R
(98)
(104)
s(t)
TR
(99)
x0
x1
x2
t
ni(t)
+
TR
xk
+
ADC
s(t)
yk
D(z)
Digital
clock
CH
fR
tk
f0 =
fR
M
e0 = i0
e1
T1
t0 = 0
e2
T2
t1
t2
184
PHASE-LOCKED LOOPS
nik
ik
ek
2 Asin( )
+
D(z)
yk
1
R z
1 z1
to xk. In the time interval (tk tS, tk1) the sampling switch is
open, that is, vd(t) xk.
Here only the course and milestones of SPLL analysis are
discussed. The equations will be given only for the simplest
case, when F(s) A0. The details of SPLL theory and many
design equations can be found in (53).
The development of a baseband model for the SPLL can be
divided into three main steps:
1. First, the synchronization of vR(t) with the noisy reference signal has to be modeled.
z1
D(z)( 2A sin ek + nik )
1
1z
(105)
(106)
(107)
N
f
M R
(108)
It has been shown in (53) that if cycle slip does not occur,
then one may write
n = Mk
(109)
(110)
ni(t)
s(t)
fR
Figure 39. Block diagram of SPLL. A frequency divider with a division ratio of N
has been placed in the feedback path.
Generation of
sampled signal
vR(t) Rs
tS
vd(t)
F(s)
CH
tk
1
N
vc(t)
VCO
fo =
N
f
M R
PHASE-LOCKED LOOPS
N ok
The determination of tk1 requires the solution of the integrodifference equation given by Eq. (114).
Equation (114) cannot be solved in closed form, it must be
separated into a pure difference and a pure differential equation. Kolumban has shown that this separation can be performed in three cases (53):
(111)
1
Rk = R0 + R kMTR R0 +
ni kMTR R0
R
R
2VR
(112)
Let Tk1 tk1 tk denote the time between two adjacent
sampling time instants. Then following the method shown
earlier for the ZC-DPLL, one may express the phase error as
ek = Rk R
z1
(MTR Tk+1 )
1 z1
(113)
t k+1
tk
[0 + Kv vc (t)] dt = 0 Tk+1 + K v
t k+1
t k +t s
Discrete-time domain
t k +t s
Tk+1 =
(114)
vc (t) dt 2N
RS
vd(t)
A0
(115)
0
Kv
Continuous-time domain
Zero-order
hold circuit
vc (t) dt
tk
185
vc(t)
Kv
s
o(t)
CH
MTR
rk +
ek
g( )
xk
Tk + 1()
N
M
Tk + 1
N R z1
M 1 z1
ok
186
PHASE-LOCKED LOOPS
IU
fR
U
Phasefrequency
detector
F
D
R2
id(t)
vc(t)
VCO
fo = NfR
ID
1
N
Figure 41. Block diagram of a charge pump phase-locked loop.
CLOSING REMARKS
The goal of this article was to survey the theory and most
important applications of phase-locked loops. The main emphasis was put on the APLL theory, because it is the simplest
to understand and it is the basis of every other PLL analysis.
While the APLL theory has been very well established, the
theory of the digital and hybrid PLLs is subject to continuous
development. For example, the effect of quantization that appears in DPLLs was neglected in this article. Gardner has
shown that the quantizing nonlinearity leads to a limit cycle
under the phase-locked condition (57).
A new model which can describe the transient behavior of
the charge pump PLL even if it is not locked has been developed in (58).
Clock generation and distribution is a very important problem in high performance microelectronics. This question is
discussed in (59,60).
The clock recovery circuit is one of the key elements of digital data communication equipment. It is hard to find the optimum trade-off between acquisition, tracking, and noise properties. A systematic design and optimization procedure has
been proposed in (61).
Last but not least it must be emphasized that another article in this encyclopedia has been devoted to the latest results
on applications of PLLs.
PHASE-LOCKED LOOPS
BIBLIOGRAPHY
1. E. V. Appleton, Automatic synchronization of triode oscillators,
part III. Proc. Cambridge Phil. Soc., 21: 231, 19221923.
2. A. V. Balakrishnan, Advances in Communication Systems, New
York: Academic Press, 1965.
3. A. J. Viterbi, Principles of Coherent Communication, New York:
McGraw-Hill, 1966.
4. J. J. Stiffler, Theory of Synchronous Communication, Englewood
Cliffs, NJ: Prentice-Hall, 1971.
5. J. Klapper and J. T. Frankle, Phase-Locked and Frequency-Feedback Systems, New York: Academic Press, 1972.
6. W. C. Lindsey, Synchronization Systems in Communications, Englewood Cliffs, NJ: Prentice-Hall, 1972.
7. W. C. Lindsey and M. K. Simon, Telecommunication Systems Engineering, Englewood Cliffs, NJ: Prentice-Hall, 1973.
8. A. Blanchard, Phase-Locked Loops, New York: Wiley, 1976.
9. W. C. Lindsey and M. K. Simon (eds.), Phase-Locked Loops and
Their Applications, New York: IEEE Press, 1978.
10. F. M. Gardner, Phaselock Techniques, New York: Wiley, 1979.
11. W. C. Lindsey and C. M. Chie (eds.), Phase-Locked Loops, New
York: IEEE Press, 1986.
12. F. M. Gardner and W. C. Lindsey, Special issue on synchronization, part I, IEEE Trans. Commun., COM-28: 11051388, 1980.
13. W. C. Lindsey and C. M. Chie, et al., Special issue on synchronization, IEEE Trans. Comm., COM-30: 22212411, 1982.
14. S. C. Gupta, Phase-locked loops, Proc. of the IEEE, 63: 291306,
1975.
15. S. Haykin, Communication Systems, 3rd ed., New York: Wiley,
1994.
16. D. Richman, Color carrier reference phase synchronization accuracy in NTSC color television, Proc. IRE, 42: 106133, 1954.
17. F. M. Gardner, Hangup in phase-locked loops, IEEE Trans.
Comm., COM-25: 12101214, 1977.
18. G. Hedin et al., Theory of false lock in Costas loops, IEEE Trans.
Comm., COM-26: 112, 1978.
19. S. T. Kleinberg and H. Chang, Sideband false-lock performance
of squaring, fourth-power, and quadriphase Costas loops for NRZ
signals, IEEE Trans. Comm., COM-28: 13351342, 1980.
20. I. Frigyes, Z. Szabo, and P. Vanyai, Digital Microwave Transmission, Amsterdam: Elsevier Science, 1989.
21. V. I. Tikhonov, The effect of noise on phase-locked oscillator operation, Automation Remote Control, 20: 11601168, 1959.
22. V. I. Tikhonov, Phase-lock automatic frequency control, Automation Remote Control, 21: 209214, 1960.
23. A. J. Viterbi, Phase-locked loop dynamics in the presence of noise
by FokkerPlanck techniques, Proc. IEEE, 51: 17371753, 1975.
24. G. Aschied and H. Meyr, Cycle slips in phase-locked loops: a tutorial survey, IEEE Trans. Comm., COM-30: 22282241, 1982.
25. D. Ryter and H. Meyr, Theory of phase tracking system of arbitrary order: Statistic of cycle slips and probability distribution of
the state vector, IEEE Trans. Inform. Theory, IT-24: 17, 1978.
26. F. M. Gardner, Charge-pump phase-lock loops, IEEE Trans.
Comm., COM-28: 18491858, 1980.
27. G. Kolumban and B. Vizvari, Nonlinear dynamics and chaotic
behavior of the analog phase-locked loop, Proc. NDES, 1995, pp.
99102.
28. G. Kolumban and B. Vizvari, Nonlinear dynamics and chaotic
behavior of the sampling phase-locked loop, IEEE Trans. Circuits
Syst., CAS-41: 333337, 1994.
29. G. M. Bernstien and M. A. Lieberman, Secure random number
generation using chaotic circuits, IEEE Trans. Circuits Syst.,
CAS-37: 11571164, 1990.
187
30. B. Vizvari and G. Kolumban, Quality evaluation of random numbers generated by chaotic sampling phase-locked loops, IEEE
Trans. Circuits Syst., CAS-45: 216224, 1998.
31. G. Kolumban, M. P. Kennedy, and L. O. Chua, The role of synchronization in digital communications using chaospart I: Fundamentals of digital communications, IEEE Trans. Circuits Syst.,
CAS-44: 927936, 1997.
32. H. J. Blinchikoof and G. R. Vaughan, All-pole phase-locked
tracking filters, IEEE Trans. Commun., COM-30: 23122318,
1982.
33. P. H. Lewis and W. E. Weingarten, A comparison of second-,
third-, and fourth-order phase-locked loops, IEEE Trans. Aerosp.
Electron. Syst., AES-3: 720727, 1967.
34. J. A. Develet, The influence of time delay on second-order phase
lock loop acquisition range, Proc. Int. Telem. Conf., London, 1963,
pp. 432437.
35. J. P. McGeehan and J. P. H. Sladen, Elimination of false-locking
in long loop phase-locked receivers, IEEE Trans. Commun.,
COM-30: 23912397, 1982.
36. U. L. Rohde, Digital PLL Frequency Synthesizers, Theory and Design, Englewood Cliffs, NJ: Prentice-Hall, 1983.
37. V. F. Kroupa, Frequency Synthesizers, Theory, Design and Applications, New York: Wiley, 1973.
38. V. Manassewitsch, Frequency Synthesizers, Theory and Design,
New York: Wiley, 1980.
39. W. F. Egan, Frequency Synthesis by Phase Lock, New York: Wiley, 1981.
40. G. Kolumban, Frequency domain analysis of sampling phaselocked loops, Proc. IEEE-ISCAS88, Helsinki-Espoo, June 1988,
pp. 611614.
41. M. Moeneclaey, Linear phase-locked loop theory for cyclostationary input disturbances, IEEE Trans. Commun., COM-30: 2253
2259, 1982.
42. C. L. Weber and W. K. Alem, Demod-remod coherent tracking
receiver for QPSK and SQPSK, IEEE Trans. Commun., COM-28:
19451954, 1980.
43. W. R. Braun and W. C. Lindsey, Carrier synchronization techniques for unbalanced QPSK signals, parts III, IEEE Trans.
Commun., COM-26: 13251341, 1978.
44. R. D. McCallister and M. K. Simon, Cross-spectrum symbol synchronization, Proc. ICC81, 1981, pp. 34.3.134.3.6.
45. J. K. Holmes, Tracking performance of the filter and square bit
synchronizer, IEEE Trans. Commun., COM-28: 11541158, 1980.
46. H. L. Van Trees, Detection, Estimation and Modulation Theory,
Part I, New York: Wiley, 1968.
47. M. K. Simon, Nonlinear analysis of an absolute value type of
early-late-gate bit synchronizer, IEEE Trans. Commun., COM18: 589596, 1970.
48. W. C. Lindsey and C. M. Chie, A survey of digital phase-locked
loops, Proc. IEEE, 69: 410431, 1981.
49. F. D. Natali, Accurate digital detection of angle modulated signals, Proc. EASCON Rec., 1968, pp. 407412.
50. A. V. Oppenheim and R. W. Shafer, Digital Signal Processing,
Englewood Cliffs, NJ: Prentice-Hall, 1975.
51. H. C. Osborne, Stability analysis of an Nth power digital phaselocked looppart I: First-order DPLL, IEEE Trans. Commun.,
COM-28: 13431354, 1980.
52. H. C. Osborne, Stability analysis of an Nth power digital phaselocked looppart II: Second- and third-order DPLLs, IEEE
Trans. Commun., COM-28: 13551364, 1980.
53. G. Kolumban, Design of sampling phase-locked loops: model and
analysis, C.Sc. Thesis, Budapest: Hungarian Academy Sci., 1989.
54. G. Kolumban, A fast frequency synthesizer for microwave radio.
In Proc. EuMC, pp. 180185, 1986.
188
PHASE METERS
GEZA KOLUMBAN
Technical University of Budapest
166
OPERATIONAL AMPLIFIERS
OPERATIONAL AMPLIFIERS
The operational amplifier, or op amp, is a particularly useful
building block for electronic circuits. Although composed of
numerous other devices, an op amp is usually treated as a
single circuit element, commonly called the ideal op amp. By
considering the op amp as an ideal circuit element, it is possible to analyze and design many useful circuits quickly. Once
an op-amp circuit has been designed, the practical limitations
of real op amps should be considered. In most cases, the limitations of practical op amps can be compensated for. When
designing an op amp, the particular application and the type
of devices used to implement the op amp should be closely
considered.
OPERATIONAL AMPLIFIERS
v i
v+i
167
nal in Fig. 1. In addition, the op amps gain A fixes the relationship between vx and vo:
vo
vx =
(a)
vo
A
(2)
v i
R2 /R1
vo
=
vi
1 + (1 + R2 /R1 )/A
vo
v+i
+
+
(3)
Avd
A
R
vo
= 2
vi
R1
(b)
Figure 1. The ideal op amp: (a) symbol for an ideal op amp; (b)
equivalent circuit.
(4)
(1)
va
Ra
ia = va / Ra
R2
i=
vx vo
vb
R2
R2
ib = vb / Rb
R1
vi
vx
i=
vi vx
R1
vc
vo
Rb
ia + i b + ic
Rc
ic = vc / Rc
vo
168
OPERATIONAL AMPLIFIERS
Cf
R1
vi
vo
vi
vo
flow into it. Therefore, the sum of the currents flows through
R2 to the output yielding an output voltage of
vo = R2
1
1
1
va +
v +
vc
Ra
Rb b Rc
vi
R1
(6)
(7)
R1
vi
R
vo
=1+ 2
vi
R1
(8)
Once again the circuits gain is set by the external components, independent of the ideal op amp.
Unlike the inverting configuration, the noninverting configuration does not draw current from the signal source. For
the inverting configuration, the current drawn from vi is determined by R1. In the noninverting configuration, the signal
is applied directly to the op amps input terminal, which ideally has an infinite input impedance. Consequently the noninverting configuration does not load the signal source.
In cases where the signal voltage is large enough but the
signal source has a high output impedance, the noninverting
configuration can be used as a unity-gain buffer. By making
R2 0 and R1 infinite as shown in Fig. 6, the output tracks the
input due to the virtual short across the op amps input terminals. The virtual short forces the output to track the input
(i.e., vo vi), but no current is drawn from the signal source.
Instead, the op amp provides the load current. Due to its high
input impedance, the op amp is particularly attractive for
buffering applications.
APPLICATION ISSUES
Real op amps differ from the ideal op-amp model. These differences, commonly referred to as op-amp nonidealities, limit
the range of signals for which an op-amp circuit can be used.
In most applications the op amps nonidealities do not cause
significant problems. In cases where the op amps nonidealities do cause problems, circuit design precautions often can
be used to reduce the problems to acceptable levels. Alternatively, higher-performance op amps, which are typically more
expensive, can be used. It is important to be aware of the
op amps dominant nonidealities, and their effect on op-amp
circuits and techniques to compensate for their effects.
Finite Dc Gain
R2
vx
(5)
The virtual ground prevents the input signals from interacting with each other. Consequently, the circuits output is a
weighted sum of the three inputs.
By replacing the resistors with other components, the inverting configuration can be used to perform other operations
on the input signal (1,2). For example, if R2 in Fig. 2 is replaced with a feedback capacitor Cf , as shown in Fig. 4, an
integrator can be made. The input current due to the virtual
ground at the op amps inverting terminal is
i=
vo
OPERATIONAL AMPLIFIERS
169
vo
V+
L+
Vos
vd
(9)
A0
1
(10)
When AO is very large, Eqs. (9) and (10) reduce to Eq. (4) for
the inverting configuration and to Eq. (8) for the noninverting
configuration, respectively. When AO becomes comparable to
1 R2 /R1 a noticeable reduction in the closed-loop gain occurs. To ensure a well-controlled closed-loop gain, the minimum open-loop gain specified by the manufacturer must be
significantly larger than the desired closed-loop gain. As a result, the op amps finite dc gain limits the maximum gain that
can be obtained accurately in an op-amp circuit.
Saturation
Although op amps can be used to provide large gains, in practical op amps the maximum output voltage is limited. When
called upon to deliver output signals close to and beyond the
op amps supply voltage, the op amps output signal is limited
to a value determined by the op amps supplies and becomes
independent of the input signal. When the op amps output
has reached its maximum level, the op amp is said to be saturated.
Like any electronic amplifier, an op amp requires a power
supply. Most stand-alone op amps require a positive, V, and
a negative, V, power supply but no connection to ground.
Typically, V and V are of the same magnitude but of opposite polarity and range from 5 V to 18 V for general-purpose op amps. To meet the needs of specialized applications,
special-purpose op amps such as single-supply op amps, for
use with a single supply, low-voltage op amps, for supplies
below 5 V, and high-voltage op amps, for use with supplies
beyond 18 V, are commonly available.
Irrespective of the supply voltage, the op amps maximum
output voltage L and minimum output voltage L cannot exceed the supply. For most op amps, the output saturates
within 1 V to 3 V of the supply as illustrated in Fig. 7. In the
case of low-voltage and single-supply op amps, the output
swing often extends to the supply levels, yielding a rail-to-rail
output swing. Output signals beyond this range are clipped,
leading to distortion. To ensure that the output is not clipped
at L or L, the op-amp circuits input signal must be kept
suitably small.
nique known as compensation, controls the op amps frequency response such that the gain rolls off at a constant 20
dB/decade from AO to below 0 dB. The op amps frequency
response can be expressed as
A() =
AO
1 + j/b
(11)
where b 2f b and f b is the frequency of the op amps dominant pole. Unfortunately, f b is dependent on AO. Hence, this
formulation of the op amps frequency response is rarely used.
Unity-Gain Bandwidth
A more predictable and useful point is the frequency at which
the op amps gain falls to unity. This point is called the op
amps unity-gain bandwidth and is denoted by f t, as shown in
Fig. 8. Typical values of f t range from 1 MHz, for high-gain
op amps, to over 100 MHz, for high-speed, low-gain op amps.
Due to the op amps one dominant pole, the op amps bandwidth f b can be expressed as
f b = f t /AO
(12)
Since AO can be fairly large, the op amps bandwidth is typically fairly low. Based on the relationship in Eq. (12), the op
amps frequency response is commonly expressed as
A() =
AO
1 + j(AO /t )
(13)
A0
Slope = 20 dB/decade
20 log vo /vd
1 + R2 /R1
vo
=
vi
1 + (1 + R2 /R1 )/AO
Frequency Characteristic
The frequency characteristic of a compensated op amp is
shown in Fig. 8 (13). Due to the op amps internal capacitances, the op amps gain decreases with frequency. For most
general-purpose op amps, the manufacturer, through a tech-
0 dB
fb
ft
Frequency (log scale)
170
OPERATIONAL AMPLIFIERS
where t 2f t.
To determine the high-frequency response of an op-amp
circuit such as the inverting configuration or the noninverting
configuration, the op amps high-frequency gain, as given by
Eq. (13), can be approximated by
A() t / j
R2
R1
vo
(14)
Vos
(a)
vo
() =
vi
R2 /R1
j
1+
t /(1 + R2 /R1 )
(15)
Cf
Rf
vo
() =
vi
1 + R2 /R1
j
1+
t /(1 + R2 /R1 )
(16)
Ri
vi
vo
+
is obtained for the noninverting configuration. For both circuits, the closed-loop bandwidth is given by
clb =
t
1 + R2 /R1
(b)
(17)
where 1 R2 /R1 is commonly referred to as the feedback factor and is denoted by B. Based on Eqs. (15) and (16) it can be
seen that the bandwidth of an op-amp circuit is the op amps
unity-gain bandwidth divided by the feedback factor. Greater
feedback factors imply lower gains. Hence, the lower the desired gain, the wider the circuits bandwidth.
Slew Rate
Due to internal circuitry limitations, the output voltage of an
op amp cannot change instantaneously. The maximum rate
at which the op amps output voltage can change is called the
slew rate, SR:
dvo
SR =
(18)
dt max
Slew rate is expressed using the units V/s. The primary effect of a finite slew rate is that the output and input of an opamp circuit are not linearly related while the op amp is slewing. Consequently, slewing causes distortion.
The maximum frequency at which an op amp can be used
without slew-rate distortion depends on the size of the output
signal. For a sine wave output with a peak amplitude of Va,
the output voltage will be vo Va sin(2ft) and its maximum
rate of change is 2f Va. To avoid slew-rate distortion, 2f Va
must be less than SR. Slew-rate distortion can be avoided either by keeping the signal frequency small or by keeping the
signal amplitude small. For a full-power signal, that is, a signal with a peak-to-peak amplitude of L L, the maximum
frequency for which an undistorted output can be obtained is
given by
f max
SR
=
(L+ L )
Vos
(19)
Figure 9. (a) The effects of the offset voltage on the inverting and
noninverting configurations. (b) Reducing the effects of an offset voltage on the inverting integrator.
(20)
OPERATIONAL AMPLIFIERS
R2
R1
v1
vo
R1
v2
R2
For the purpose of circuit analysis, an alternate interpretation of the CMRR is the ratio of the change in Vos due to a
change in vc.
Vos
1
=
CMRR
vc
vo =
CMRR = A/Ac
(22)
At dc the CMRR is usually very large but decreases with increasing frequency. The dc CMRR is usually expressed in
decibels and ranges from 60 dB to 120 dB for most op amps.
(23)
(21)
Like the differential gain, the common-mode gain is a function of frequency. Typically, Ac is relatively small over a specified range, known as the op amps input common-mode range.
For input signals beyond the common mode range, Ac rises
rapidly and the op amp no longer functions properly. In most
cases an op amps input common-mode range does not extend
to either the positive or negative supply voltage. For singlesupply op amps, the input common-mode range typically does
extend to and often slightly beyond one supply. For very-lowvoltage op amps, the input common-mode range may extend
to both supply levels, yielding what is referred to as a rail-torail input range.
Within the common-mode range, Ac is usually specified in
terms of the common-mode rejection ratio, CMRR:
171
R2
(v v1 )
R1 2
(24)
The differential configuration amplifies the difference between the two input signals and rejects their common-mode
component. The effect of the op amps common-mode gain can
be determined as follows: Since the CMRR and the presence
of a common-mode signal vc at the op amps input effectively
give rise to a signal dependent Vos [see Eq. (23)], the resulting
Vos can be expressed as
Vos = vc /CMRR
(25)
R2
R
(v v1 ) + 2
R1 2
R1
v
2
CMRR
(26)
172
OPERATIONAL AMPLIFIERS
It can be seen that the output now depends on both the difference in the applied signals and on the value of v2. Since v2
contains both a differential and common-mode component,
the differential configurations performance will be degraded
if the op amps CMRR is too low.
Summary. The applications of op amps are limited by their
nonideal behavior. The op amps offset voltage and CMRR
limit the accuracy of op-amp circuits. The op amps finite gain
and saturation levels limit the maximum useful gain of an opamp circuit. The op amps frequency response and finite slew
rate limit the maximum frequencies for which the op amp
can be used. In most cases, special-purpose op amps or circuit
techniques can be used to reduce the degradation caused by
the op amps nonidealities.
IMPLEMENTATION ISSUES
An op amp can be implemented in many ways. Typically, an
op amp is a multistage design composed of a differential input
stage, one or more high-gain middle stages, and, if required,
a low-impedance output stage. While many different technologies can be used to implement op amps, currently they are
most commonly implemented using either bipolar devices
(3,6) or complementary metal oxide semiconductor (CMOS)
devices (3,4,7). A simplified schematic of a typical bipolar implementation of a three-stage op amp is illustrated in Fig. 11.
The input stage is a differential pair formed by the
matched devices Q1a and Q1b. This configuration inherently rejects common-mode signals while producing an output current
proportional to the differential input voltage, i gm1 vi. The
ratio of Q1as and Q1bs output current to the input voltage is
called the transconductance gm1. At this point, the voltage
gain is usually relatively small.
In the high-gain stages, the relatively small voltage swing
at the input stages output is amplified to yield a very high
voltage gain. For example, the output current of the first
stage in Fig. 11 is effectively multiplied by the current gain
Input
stage
V+
High-gain Output
stage
stage
V+
V+
Ibias
v i
Q1a
Q1b
Ibias
v+i
Q2a
vo
Q3
Q2b
Ibias
V
Avi
Cp
vo
RL
CL
(27)
Q4
Cc
Ro
OPERATIONAL AMPLIFIERS
V+
The input devices transconductance determines the input stages voltage-to-current gain and SR (6).
The bias current of the differential pair typically limits
the op amps slew rate.
V+
Ibias
v+i
v i
M1a
M1b
173
V+
M4a
M4b
M3a
M3b
vo
Vbias
M2a
M2b
Ibias
Ibias
V
x0 +
x0
OPERATIONAL AMPLIFIERS
fp1
0 dB
Phase
fp3
Gain (dB)
A0
fp2
1/B
Frequency
(log scale)
Phase
margin
180
Figure 15. A Bode plot for analyzing the phase margin of an opamp circuit.
fp1
fp0
Gain (dB)
Frequency
(log scale)
Phase
174
180
Original amplifier
First method
(adding a pole)
Second method
(shifting a pole)
Third method
(splitting a pole)
Figure 16. Compensating an op-amp circuit for a phase margin of
45 at unity gain.
amp has been designed for driving capacitive loads. The circuits dominant pole is that formed by the cascodes output
resistance and the load capacitance. To compensate this circuit, the load capacitance should be increased and no additional circuitry is required. This last example illustrates one
of the advantages that can be achieved by using an op amp
specifically designed for the application at hand.
BIBLIOGRAPHY
1. S. Franco, Design with Operational Amplifiers and Analog Integrated Circuits, 2nd ed., New York: McGraw-Hill, 1998.
2. A. S. Sedra and K. C. Smith, Microelectronic Circuits, 3rd ed., New
York: Oxford University Press, 1997.
3. P. R. Gray and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 3rd ed., New York: Wiley, 1993.
4. P. R. Gray and R. G. Meyer, MOS operational amplifier designA
tutorial overview. IEEE J. Solid State Circuits, SC-17: 969983,
1982.
5. C. C. Enz and G. C. Temes, Circuit techniques for reducing the
effects of op-amp imperfections: Autozeroing, correlated double
sampling, and chopper stabilization, Proc. IEEE, 84, 15841614,
1996.
6. J. E. Solomon, The monolithic op amp: A tutorial study, IEEE J.
Solid State Circuits, SC-9: 314332, 1974.
7. R. Gregorian and G. C. Temes, Analog MOS Integrated Circuits for
Signal Processing, New York: Wiley, 1986.
8. J. K. Roberge, Operational Amplifiers: Theory and Practice, New
York: Wiley, 1975.
DAVID G. NAIRN
Analog Devices, Inc.
175
472
BJTs
MOSTs
I
CW
Ak
CW
ck
I
CCW
Ak
ck
Idk
=
(W/L)k
CCW
Idk
(W/L)k
(1)
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
473
BJT
MOST
Vce
_
E _
S _
D
Id
Ic
Vgs
Vbe +
B
Ib
Continuous
Square-law characteristic b of MOSTs in the forward saturation region
under strong inversion.c
Vbe
Ut
Ib
Vce
VA
Id n(Vp Vs)2 1
Vd Vp
; Vs V p V d
VA
1
Id 2n Vp (Vd Vs) (Vd Vs); Vs , Vd Vp
2
Ic
Exponential characteristic of a MOST operating in the forward saturation region under weak inversion.d
Id ID0 exp
Vp V s
Ut
V d Vp
; Vs , V d V p
VA
Small-signal transconductance of a MOST in saturation and strong inversion as a linear function of the gate voltage.
Small-signal transconductance of a BJT in the forward active region
as a linear function of the collector current.
gm
Ic
Vbe
Quiescent Point
Ic
Ut
gm 2
Id
n 2(V V )
p
Ut kT/q is the thermal voltage (26 mV at 300 K); IS is the collector saturation current (proportional to the emitterbase junction area); VA is the Early voltage
(typically from 50 V to 200 V); and is the forward basecollector current gain (typical values are between 50 to 200).
b
MOST voltages are referred to the bulk (local substrate) terminal, B.
c
(W/L)Cox/2 is the transconductance parameter (usually from 10 A/V2 to 50 A/V2 for W L); n is a slope factor usually smaller than 2, which tends to
1 for large values of Vg; Vp (Vg VT0)/n is the pinch-off voltage; VT0 is the threshold voltage; and VA is the equivalent Early voltage (proportional to the
transistor length).
d
ID0 is the specific current of the transistor (typically from 20 nA to 200 nA for W L), and it is proportional to the transconductance parameter .
a
474
Ii
+
Vi
Ii
+
Vo
+
Vi
Vo UtIn
Vi
Vi
Vo RIsexp
RIs
(a)
Ut
(b)
Ii
+
+
Vo
IB
RB
Vi
+
VB
RE
Vo Ut
R2
R1
R1 + R2
In
R2
Vi
RIB
+
+
(c)
IB
+
VB
Io
RB
+
VB
+
R2
R1
RE
Vo RIB exp
Vi
R2
Ut
R1 + R2
+
+
Vi
(d)
Figure 1. Logarithmic (a) and exponential (b) amplifiers based on a single npn BJT. Logarithmic
(c) and exponential (d) amplifiers using matched pairs of npn BJTs to cancel out the dependence
with the collector saturation current.
475
When a MOST is operated as a squaring device, its drain current contains other undesired terms together with the difference-squared component; and reciprocally, when a MOST is
configured as a square-rooting device, its gatesource voltage
includes an offset term.
Figure 2(ac) shows some squaring circuit blocks together
with their transfer characteristics assuming no body effect
(MOSTs source terminals are connected to their local substrates). Figure 2(a) uses a single MOST and a voltage buffer
to maintain the gatesource voltage independent of the current flowing through the device; this buffer is needed because
the source terminal is a low-impedance node. The buffer can
be implemented using voltage followers (16), shunt feedback
Io
Io
V1
Mn
V2
Mp
V1
eq =
p/np ]2
V2
Io = n (V1 V2 VTo)2
Io = eq (V1 V2 VTeq)2
(a)
(b)
Io
1 = 2
V1
M1
M2
V2
Io IQ +
n1 n2 n
(A1)
(V + Vd2 ) +
(Vd1 Vd2 )2
4ARs d1
8nA
A = 1 + 8 n Rs [VQ VT0]
Rs
Io =
n(1 A)
VQ VT0
+
Rs
4 R2s
V1 = VQ + Vd1/2
V2 = VQ + Vd2/2
(c)
Iin
Vo
M1
n2 2
n1 1 + n2 2
+
M2
VR
Vo
eq =
(d)
1 2
1 + 2
n2 2
eq
Iin
476
IQ
IQ
I
d1
I
d3
I
d2
I
d4
(2)
where Idi and i represent the drain current and transconductance factor of transistor Mi, respectively. If the currents Id1
and Id3 are each forced to be equal to a bias current IQ; the
difference of Id4 and Id2 is defined by the input signal, Iin; and
the current Id2 Id4 is taken as the output of the circuit, Eq.
(2) becomes
Io = IQ +
I 2in
4IQ
(3)
IQ
IQ
IQ
Io
M1
M2
M3
M4
M3
Io
M2
Iin
M1
M4
Iin
Iin1
M5
(a)
Iin1
1 :
(b)
Iin2
Iin2
Iin1
Iin1
Io
M2
M1
M3
M4
Io
M2
M1
M5
Iin2
M3
M6
Iin2
1
(c)
M4
Iin2
Iin1
1 :
1
(d)
Figure 3. MOST translinear current-mode circuits: (a,b) squarers; (c,d) geometric mean operators.
M5
can be eliminated by inserting another replica of the bias current [dashed sources in Figs. 3(a,b)] at the output node. Valid
operation range is guaranteed when all transistors operate in
the saturation regime, which leads to Iin 2IQ.
Schematics similar to those in Fig. 3(a,b) enable to realize
the square-rooting operator (or more exactly, the geometric
mean operator). These are shown in Fig. 3(c,d). The synthesis
strategy is to take Id1 and Id3 as the input signals (Iin1 and
Iin2, respectively); Id4 and Id2 are made equal, and the current
Id2 Id4 is again considered as the output of the circuit. Assuming 1 3 and 2 4 2, the following characteristic is obtained:
(4)
477
y = u+ (x) =
x, x 0
0, otherwise
y = u (x) =
x, x 0
0, otherwise
(7)
gm ( x E Eos )
y
Ro
Co
x
IB
I
Slope
m
Slope Ro1
Es
x
(0,0)
Es+
IB
(a)
gm ( x E )
Co
Ro
Co
Ro
+
v2
+
v3
Co
Ro
g mv n
g mv 2
(b)
x+
y+
Reset
Set
Set
Reset
Co
Co
Ro
Ro
(c)
Reset
Set
x
+
Reset
(d)
Figure 4. Voltage-mode comparators: (a) based on one-stage voltage amplifiers; (b) using
multistage amplifiers; (c) via regenerative amplification; (d) with offset cancellation.
478
479
Is
x
Cs
+
+
Vm
Vm
Is
Slope G*s
Slope Gs
E
E+
(0, 0)
Vm
(a)
Mn
Mp
In
In
E
Ip
Ip
Mn
Mp
(b)
(c)
The most important limiting factor of using current mirrors for rectification is the delay time required to discharge
the input capacitance in the transition from cut-off to conduction. To improve this, class AB configurations can be used
(25). The reason is that in class AB circuits there is always a
low-impedance path for the input current to flow and thus the
voltage at the input node remains limited. Figure 8(a) shows
an example of a class AB current rectifier. The output currents Io1 and Io2, assuming matched devices and saturated operation, can be described by
Io1 = m
(4IB + Iin )2
16IB
and Io2 = m
(4IB Iin )2
16IB
(8)
480
y
x
u+()
u-()
Iin
Iin
Io = u
+
Vin
Io = u
(Iin)
(Iin)
Iin
Iin
Io = u - (Iin)
+
Vin
Io = u - (Iin)
(a)
Iin
Io = u
+ (I in )
Vin
+
Iin
Io = u
(Iin)
+ Vin
(b)
configuration which avoids this lack of accuracy at low currents is shown in Fig. 8(b), and it is based on the rectification
properties of the nonlinear feedback current comparator of
Fig. 5(b). Any positive input current increases the input voltage, turning the bottom device, Mp, ON. Because both devices
share the input voltage, the top device, Mn, becomes OFF.
Similarly, the input voltage decreases for negative input currents, so that Mn becomes ON and Mp, OFF. In sum, positive
input currents are drawn to the bottom device, whereas negative currents are drawn to the top device, thus achieving
nearly perfect rectification. Furthermore, the circuit is insensitive to transistor mismatch; hence, it can be realized
through minimum size devices. Proper routing and scaling of
the drain currents passing through transistors Mn and Mp obtain the concave and convex basic characteristics, as shown
at the bottom of Fig. 8 (2426).
Controlled Switching
Switches, often operated by comparators, are used locally in
nonlinear circuitry to establish new conditions when a threshold on a given variable has been crossed (27). For instance,
they may change a gain, reverse a polarity, or initiate a new
mode of operation, thus producing an overall nonlinear response. Signal processing multipliers, covered in the section
entitled Multiplication, represent an application example of
the controlled switching operation for nonlinear synthesis.
Figure 9 shows three common analog switches used in
practice (23). Figure 9(a) is the most simple realization and
(c)
1
2(VG VT0 nVs )
(9)
and when the device turns blocked, this resistance (Roff ) becomes virtually infinite. In order to extend the input swing of
the single MOST switch and further reduce the on resistance
in Eq. (9), the complementary structure of Fig. 9(b) is used
instead. It is formed by an NMOS and a PMOS device, whose
gates are controlled with complementary logical levels so that
the two devices turn on and off simultaneously. In pure bipolar technologies, where MOSTs are not available, controlled
switching is performed by diode bridges, illustrated in Fig.
9(c).
DERIVED CONTINUOUS NONLINEAR OPERATIONS
Here we include (a) sigmoid transfer characteristics, (b) belllike transfer characteristics, (c) multiplication, (d) division, (e)
vector magnitude calculation, (f) normalization, and (g) maximum and minimum.
Sigmoid Characteristic
IC implementation of arbitrary nonlinear functions is possible
using universal representation techniques as, for instance,
481
Io
IB1
IB2
IB2
Io
Vin
IB1
Vo
Iin
Slope m
mS
(a)
Io
mS
IB1
Iin
Vo
Vin
Iin
Io
Io1
Slope m
Io = IB2 mu(Iin IB1)
IB1
IB2
IB2
(b)
Figure 7. Rectifying characteristics of current mirrors using (a) n-channel and (b) p-channel
MOSTs with bias-shifting as basic transconductors.
482
IB
S
mS
Io2
Mn
Iin
mS
Iin
Mp
Io1
Io2
Mn
In
+
Vs
Io1
Mp
Ip
mS
mS
IB
(a)
(b)
Io1
Io2
Slope m
Slope m
Figure 8. Class AB current mirrors with
positive and negative rectifying outputs:
(a) using a second-generation current conveyor; (b) using a feedback current comparator.
Iin
Iin
Vc
Vin
Vo
I1
Vc
Vo
Vin
(a)
Vc
Vin
Vo
I2
Vc
C
Vc
Figure 9. Basic switches: (a) single MOST;
(b) complementary MOS; (c) diode bridge.
(b)
(c)
E+
E+
ter coupled pairs and is always comprised in [0, 2IB] regardless of the devices replacing the rectangular blocks. The width
and center of the bell are given, respectively, by
slope =
0,0
0,0
2 = V2 V1
(a)
(b)
Figure 10. Typical sigmoidal shapes: (a) hard limiter; (b) soft
limiter.
S=k
ViA
r 2I
=
S=
kIB
2Ut
=
2nI
k2
(11)
4Ut
k
(12)
Vin
2 IB 2Vin2 V
in
n
n2
nIB
Vin
nIB
IBsgnVin
ViB
Io IB tanh
IB
(10)
Io
IoB
V2 + V1
2
Io = IoA IoB
Vin = ViA ViB
IoA
483
Vin
2Ut
( )
484
(x)
Slope = /2
1.0
0.5
(x)
1.0
0.5
0.0
0.0
(x) =
( )
x
1+
( x )2
2 2
(x) = exp
2b
(a)
)
Figure 12. Basic interpolation functions:
(a) polynomial; (b) Gaussian.
(b)
of applications in signal processing systems, including communication and instrumentation systems, wave generation,
modulation, dynamic gain setting, power measurement, and
computational circuits, as well as in artificial neural networks. There are two basic strategies to realize multiplication circuitry,
using signal processing, and
exploiting some nonlinear mechanism of the primitive
components.
In the following sections, we intend to provide a brief overview of both approaches.
Io
Io+
I1
I1+
I2
I2+
V 1
Signal Processing Multipliers. The most popular signal processing multiplier is the so-called pulse-modulation multiplier. It relies on the principle that the area under a rectangular pulse equals to the product of its amplitude and duration.
Thus, if the amplitude of a pulsed signal is made proportional
to one multiplicand, and the duty cycle proportional to the
other multiplicand, the area under the pulse-train becomes
proportional to the multiplication operation. Figure 15(a)
shows a voltage domain implementation of this concept based
on averaging. This is performed by a low-pass filter whose
input is a pulse-train Vt with amplitude proportional to Vx
and duty cycle proportional to Vy. This latter proportionality
is achieved through nonlinear sampling, by comparing Vy
with a time reference triangular (or saw-tooth) signal with
period T and amplitude V. If the variation rate of Vy is much
less than the averaging frequency f 1/T, then the duty cycle
of the control signal Vc and, therefore, of the pulse train Vt,
results in /T Vy /V. As a consequence, if the low-pass filter
has unity gain, the average output voltage of the filter reads
as
Vz =
VxVy
V
(13)
k
IB
IB
Vin
IB
I1 = I1+ I1
V 1
aIB
IB
I2 = I2+ I2
I1
I2
IB
0,0
IB
0,0
V 2
Vin
V A
ViA
V B
ViB
Vin
IB
IB
IoA
Io
IoB
Io = I1 + I2
Io
2IB
2IB
bIB
Io+
bIB
IB
Io
0,0
V 1
V 2
Vin
V 1 0,0
V 2
Vin
V = V A V B
485
Vc
Vy
Vc
T
Vx
3T
Vy
Vz
Vt
2T
2T
3T
(a)
Vy
Vc
+ V
t
Vx
Vy
T
=
1+
2
V
Vz
+
(b)
Note that the Vx multiplicand can be either positive or negative, but voltage Vy is limited to positive values, since the duty
cycle /T cannot be negative. Thus, the circuit in Fig. 15(a)
realizes two-quadrant multiplication. To extend its operation
to four-quadrant multiplication, a bipolar triangular waveform oscillating between V and V must be used (this
makes a zero Vy input corresponds to a 50% duty cycle), and
signal Vx must be sampled in balanced form, as shown in Fig.
15(b). Further details on the performance of pulse-modulation
multipliers are discussed in classical texts on analog computation (5). Also in Ref. 6, several configurations using
switched capacitor (SC) techniques are reported. In particular, some designs that avoid the use of external waveform references by applying feedback around the voltage comparator
are presented.
Figure 16(a) shows an alternative signal processing multiplier based on the transient evolution of linear circuits. For
reasons that will become apparent soon, this technique is referred to as temporal shaping. Again, voltage-mode operation
is assumed. The circuit in Fig. 16(a) uses two linear blocks
with normalized unit step response given by h1(t) and h2(t).
The last is driven by level Vx to obtain
Vt (t) = Vx h2 (t), 0 t <
V
y
Vx
Sample and
hold
Vz
h1(t)
Sample and
hold
Vz2
(a)
Vx
Vx
Vx
h2(t)
S2
Vxn
hn(t)
Vt2
Vtn
Sn
Vxn =
(15)
Vt
h2(t)
h1(t)
(14)
Vy
Sample and
hold
Vzn
Vx; n odd
Vx ; n even
(b)
486
Thus, assuming both linear blocks are identical and the time
function invertible, one obtains
VxVy
Vz =
V
(17)
(16)
in the steady state situation. The simplest implementation of Fig. 16(a) uses integrators [i.e., hj(t) t] as linear
blocks (31). Another possibility uses exponential ramp generators with a normalized unit step response given by hj(t)
exp(t/Tj).
Interestingly enough, the principle can be extended to the
generation of powers of an input signal by higher-order shaping in time domain. This is illustrated in Fig. 16(b). In this
Vt j (t) =
j odd
|Vx |h j (t);
(18)
j even
I1
Vx h j (t);
I3
Q1
Q3
I2
Io
Q2
Q4
+
(a)
Ix
Iy
Ix
kR
(1-k)R
+
R
Q1
Q1
(k-1) R
Q2
Q3
Q3
for k > 1
Iy
Q2
Iy
Ix
+
R
-kR
Q1
Q2
Q3
for k < 0
(c)
Figure 17. (a) Core block of a logantilog multiplier; (b), (c) circuits to elevate to a power.
where
|V |
y
(19)
V j
x
; j = 2, . . ., n
(20)
0
where Qz is the charge delivered. Thus, if the amplitude of
the exponential pulse is made proportional to one multiplicand, Vx, and the decaying time constant is modulated by the
other multiplicand, Vy, the integral charge is proportional to
Vx Vy. An important feature of the multiplier in Ref. 33 is its
low device complexity and large modularity, which renders
its usage very well suited for the implementation of neural
network paradigms.
Other signal processing multipliers are based on the operation of the generalized integrator, which is an electronic circuit capable of integration with respect to a dependent variable. In the most general case, its functionality is described
by the integral
z(t) = z0 +
x(t )
x0
y(t)
d[x(t)]
(t)
(22)
dz =
N
i=1
zi dvi
dzi =
N
j=1
zi j dv j
dzi j =
487
N
zi =
f
vi
zi j =
2 f
vi v j
zi jk =
3 f
vi v j vk
...
(24)
(25)
exp[ln(xy)] = xy
I
1
Is
+ ln
I
2
Is
= ln
I
3
+ ln
Is
I
o
Is
(26)
x
+
+
y
1/4
zi jk dvk
...
k=1
(23)
x
Figure 18. Conceptual block diagram of the quarter-square multiplier.
488
Io2
Io1
V1A
V1B
V1A
V1B
V2A
V2B
V2B
V2A
V1A,B = VC + V x /2
V2A,B = VC + V y /2
Io1
Squarer
Io2
Io = Io1 Io2
2
2
n [ (Vx Vy) /2 + 2VT ]
4 V xV y
n
Fig.2(a)
2
2
n [ (Vx + Vy) /2 + 2VT ]
Fig.2(b)
4 eqVxVy
Fig.2(c)
V V
nA x y
Fig. 17(a) can be understood as the connection of three logarithmic amplifiers like that in Fig. 1(a) (those comprising
transistors Q1, Q2, and Q3), and one exponential amplifier like
that in Fig. 1(b) (comprising transistor Q4). Extension of this
circuit structure to generate arbitrary powers is discussed in
Ref. 15. Figure 17(b) uses similar techniques by introducing
scaling factors in the translinear loop (34). If the resistor values are low enough to neglect the voltage drop caused by the
base current of transistor Q2 in the three configurations, and
all the transistors are identical, the functionality of the circuits results in
Iy
=
I
I k
x
(27)
Square-Law Multipliers. A second class of multiplying structures is based on the quadratic large-signal law governing
MOSTs in strong inversion and saturation. Most of the multipliers exploiting this quadratic law use the quarter-square
technique, which is derived from the following relationship:
z=
1
[(x + y)2 (x y)2 ] = xy
4
(28)
Io1
Io2
I1
I2
I1+
I2+
+
Gm1
V1B
T1
Tuning
circuitry
V1A
T2
V2A
V2B
Gm2
V1A
V1B
(29)
Io1
Io2
I1+
I2+
V1A
M1B
M1C
M1D
(30)
T2
T1
V2A
M2A
V2B
M2B
(a)
(31)
Io1
Io2
I1+
I2
V1B
M1A
or
I1
V1A
where km is a scaling factor; and g( ) is a real positive-definite, positive-valued invertible function whose argument is
the tuning variable Tj. Thus, for multiplication purposes, the
tuning circuitry must provide either of the two following output signal pairs:
489
(32)
V1A
I1
Q1A
I2
V1B
Q1B
T1
Q1C
I2+
Q1D
V1A
T2
V2A
V2B
Q2A
Q2B
IB
(b)
Figure 21. (a) MOS separable tuning variable transconductance
multiplier; (b) bipolar nonseparable tuning variable transconductance multiplier.
490
1V
= VC +
2 x
1 V
= VC
2 x
Vd2
V1A
V1B
M1A
Vd1 = Vs1 + Vy
M1B
Io1
Io2
Vs1
Vd2 = Vs2 + Vy
Vs2
(a)
1
V1A = VC +
V
2 x
1 V
V1B = VC
2 x
Vd1
V1A
M1A
Im j = I j+ I j =
n1
(V1A V1B )
2
(V VSS VTo )2
n2 2A
(35)
(36)
Vs1
M1D
Vs2
Io2
(b)
T1 =
M1C
max { Vd1 Vs1, Vd2 Vs2 } < min { V1A VTo, V1B VTo }
Io = Im1 Im2 =
M1B
Io1
2 T
V1A
V1B
1V
Vd1 = Vs1 +
2 y
Vd2 = Vs2 1 Vy
2
can be approximated as
Vd2
1 2
(V V1B )(V2A V2B )
n1 n2 1A
(37)
Figure 21(b) shows a bipolar transconductance multiplier using a nonseparable tuning circuit (indicated by the shaded
areas). This multiplier architecture is commonly known as
Gilbert cell or Gilbert multiplier core (15). Observe that the
tuning mechanism is provided by a third differential pair
V
V1B
2Ut
1A
tanh
V
V2B
2Ut
2A
(38)
+
E
Y
Z = (X/Y)
(a)
R2
R1
Vx
Vy
I2
Vz
I1
Vz = (Vx/Vy) (R2/R1)
(b)
Io
Vx
I1
+
Gm
Vz
Vy
491
Io
(39)
Vz = Gm(Vx/Vy)
(c)
Figure 23. Division operator using a feedback multiplier: (a) concept; (b) with voltage multiplier and opamp; (c) with transconductance multiplier and amplifier.
(N + 1)
Io
Isq
Iq
I1
I2
IN
492
x1(e)
x2(e)
+
+
xN(e)
(a)
Mt1
I1* I2
I1
I2*
IN
IN*
I1* I2
I1
Mt2
I2*
Mt1
IN*
IN
Mt2
Mt N
A
Mb1
Mb2
A
MbN
IB
IB
(b)
(c)
Figure 25. Current-mode normalization circuits: (a) feedback concept; (b) BJT; (c) CMOS.
(40)
493
x1
u -( )
u -( )
x2
xN
u -( )
(a)
I2
I1
Mt1
Mb1
IN
Io
Mt2
MtN
Mb2
Mc
VG
Mo
MbN
IB
1 2
= NIq +
I
4Iq k=1.N k
(41)
Isq
Io
=
2
N+1
(b)
Figure 26. Concept for (a) maximum operator and (b) current-mode
realization.
(42)
r
Ik2
Normalization Operation. The normalization circuit operation can be summarized by the following two expressions:
(43)
k=1,N
k=1.N
xk = F (xx ), xk = xk
|xx | =
xk = E
u +( )
u ( )
k+
x ,if k+ = k = 1
y=
x ,if k+ = k = 1
(44)
(45)
Figure 27. Generic full-wave rectifier. Positive and negative absolute value functions can be implemented as shown in the inset.
494
y
v2
v1
(a)
u +( )
k
+
+
v1
u +( )
+
v1
(b)
u +( )
+
u ( )
x
v1 + v2
v1
v2
(c)
v2
u +( )
u ( )
+
v2 v1
+
x
u ( )
u +( )
+
v1
(d)
Figure 28. (a)(d) PWL soft-sigmoid function realizations.
output. If the open-loop gain is large enough and the loop stable, feedback forces the differential amplifier input to zero,
and consequently x*k (e*) 1, where e* is the steady-state
value of the differential amplifier output. Unfortunately, the
transient response of this normalization scheme is rather
poora negative consequence of feedback.
Figure 25(b,c) show circuit solutions, for the BJT (15) and
CMOS (28) case, respectively, which normalize an input current vector, I, without explicit feedback, and hence yield much
better transient response than the previous proposal. Their
operation is based on the translinear principle. Let us consider the BJT case. Assuming that all transistors are identi-
k+ = k1
495
k1
x
+
k1
k2
k2
k = k2
k+ = k1
(a)
MIN
MAX
+
v1
v2
Figure 29. PWL soft-sigmoid function realizations based on (a) full-wave rectifiers;
(b) max-min operators.
(b)
Ik
kT ln
Is
Ik
Is
= kT ln
Ik
Ik
(46)
Ik
j=1,N
(47)
Ij
VA VB =
which yields
Ik =
t
I
b k
1+
I I
Ik
(VA VB )
(49)
Summing for all k, as in Eq. (45), and after some algebra, the
following expression is obtained for F( ):
Ik
= F (II ) = t Ik
b
(II )
1+
Ik
(II ) =
k=1,N
u
u
u
I
I
N
u
1
u1 +
t
Ik
k=1,N
2
(51)
k=1,N
Note that Eq. (45) is always fulfilled because I* IB; however, Eq. (44) is only verified if the quotient (I)/ Ik in Eq.
(50) is the same for all k. This occurs only if the input currents are already normalized; we have Ik (b /t)IB and
then (I) 0. Otherwise, depending on how Ik differs from
(b /t)IB, the proportionality constant becomes more and
more k-dependent and deviations from Eq. (44) increase. Nevertheless, proper design obtains quasilinear transformation
of Ik into I*k , which can be tolerated in most neurofuzzy systems, where nonlinearities are corrected through adaptation.
(48)
2
b
with,
u [A(xk y)] = 0
(52)
k=1,N
2
(50)
496
xk uo (xk y) = 0
(53)
k=1,N
nI
k,max
replication to drive the half-wave rectifiers, which require additional circuitry if x is in current form. This can be solved by
using the circuit of Fig. 28(c) which uses nested rectifications.
Observe that the circuit achieves the intended functionality
with a minimum number of block elements and, in this sense,
it can be regarded as canonical. The circuit in Fig. 28(d) is
also based on nested rectifications, but it is not canonical.
Anyway, because its symmetry, it leads to very modular implementations particularly using current-mode techniques.
Other signal limiter realizations employ building blocks
other than the basic rectifier circuits defined in Eq. (7). Some
examples are shown in Fig. 29. That in Fig. 29(a) obtains the
PWL soft-sigmoid function by adding the output signals of
two shifted odd-symmetric full-wave rectifiers. According to
Fig. 28(a) and the characteristic decomposition at the right of
Fig. 29(a), the slope of the central piece of the sigmoid is given
by k k1 k2. The circuit of Fig. 29(b) are based on the
maximum and minimum operators described in the previous
section.
Figure 30(b,c) shows two simple block diagrams, based on
the full-wave rectifiers devised in Fig. 27, for the Hermite linear basis functions represented in Fig. 30(a). In both cases,
the desired characteristic is obtained by shifting the full-wave
rectification map along the y axis by an amount equal to the
(54)
y
k2
k1
(a)
k = k1
k+ = k2
u ( )
v
(b)
k = k1
k+ = k2
(c)
u +( )
497
y
k2
v
k1
b1
3 4
k1
u +( )
+
b2
+
+
b3
+
u ( )
3=
b3
k2
4=
b4
k2
k2
b2
k1
+
b4
2=
y
+
k2
b1
k1
u ( )
k1
1=
u +( )
(a)
u +( )
k2
+
+
v
u ( )
+
u ( )
k1
2
(b)
Figure 31. Trapezoidal membership functions.
(55)
498
v
k2
k1
k = k1
k+ = k2
x
h
u +( )
u -( )
v
(a)
y
v
+
x
v/2
y
v/2
(b)
y
v
+
x
+
x
(c)
Figure 32. Alternative trapezoidal membership function implementations.
the flow of the output current can be made positive or negative according if the binary control signal s is in the high or
low state.
Figure 33(a) shows the construction of the positive concave
and convex extension operators and Fig. 33(b), the realization
of the positive full-wave rectification function. In both cases,
negative functions can be easily obtained by driving the current amplifiers with complemented binary signals.
499
s
k
Iin
Io
Iin
Io
k
I
I
Io
Io
Iin
Iin
(a)
s
k1
Io
Iin
k2
Io
s
k1
k2
Iin
I
s
(b)
s
s
k1
Io
s
Iin
Io
Iv
Iv
k2
k1
s
I
k2
Iin
s
(c)
Figure 33. Block diagrams for (a) concave and convex extension operators; (b) full-wave rectification; (c) Hermite linear basis function. Block diagrams for (d) dead-zone nonlinearity; (e) soft
limiter function; (f) discontinuous function; and (g) trapezoidal function. (Figure continues on
next page.)
Figure 33(d) shows a realization for the dead-zone nonlinearity. In this case, binary signals to the current amplifiers
are externally supplied, resulting in a complete control on the
slopes of the characteristic.
Figure 33(e) shows the implementation of a soft limiter
characteristic, which comprises three current comparators
500
c1
Iv1
k1
k2
Io
Iin
Io
I v1
I
k1
k2
Iin
+ I v2
Iv2
c2
(d)
Iv1
Io
kIv1
Iin
I Iv1
Io
k
Iin
c
I + Iv2
kIv2
I
Iv2
(e)
Iv1
s1
s2
s1
Iin
Io
kIv1
Io
w
k
Iin
s2
c
kIv2
I
Iv2
(f)
s
Iv1
k1
s
s
Iin
Iv
Io
Io
k2
Iv
s
s
k1
Iin
k2
I Iv1
Iv2
s
(g)
Figure 33. (Continued)
I + Iv2
501
BIBLIOGRAPHY
26. Z. Wang, Novel pseudo RMS current converter for sinusoidal signals using a CMOS precision current rectifier, IEEE Trans. Instrum. Meas., IM-39: 670671, 1990.
27. B. J. Hosticka et al., Design of nonlinear analog switched-capacitor circuits using building blocks, IEEE Trans. Circuits Syst.,
CAS-31: 354368, 1984.
28. F. Vidal and A. Rodrguez-Vazquez, Using building blocks to design analog neuro-fuzzy controllers, IEEE Micro, 15 (4): 4957,
1995.
7. C. Mead, Analog VLSI and Neural Systems, Reading, MA: Addison-Wesley, 1989.
31. D. Broadarac et al., Novel sampled-data MOS multiplier, Electron. Lett., 18: 229230, 1982.
32. C. Jansson, K. Chen, and C. Svensson, Linear, polynomial and
exponential ramp generators with automatic slope adjustment,
IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., CAS-41:
181185, 1994.
33. L. W. Massengill, A dynamic CMOS multiplier for analog VLSI
based on exponential pulse-decay modulation, IEEE J. SolidState Circ., SC-26: 268276, 1991.
34. X. Arreguit, E. Vittoz, and M. Merz, Precision compressor gain
controller in CMOS technology, IEEE J. Solid-State Circ., SC-22:
442445, 1987.
35. K. Bult and H. Wallinga, A class of analog CMOS circuits based
on the square-law characteristics of an MOS transistor in saturation, IEEE J. Solid-State Circ., SC-22: 357365, 1987.
36. T. Pan and A. A. Abidi, A 50-dB variable gain amplifier using
parasitic bipolar transistors in CMOS, IEEE J. Solid-State Circ.,
SC-24: 951961, 1989.
37. J. N. Babanezhad and G. C. Temes, A 20-V four quadrant CMOS
analog multiplier, IEEE J. Solid-State Circ., SC-20: 11581168,
1985.
38. F. J. Kub et al., Programmable analog vector-matrix multipliers,
IEEE J. Solid-State Circ., SC-25: 207214, 1990.
39. S. Qin and R. L. Geiger, A 5-V CMOS analog multiplier, IEEE J.
Solid-State Circ., SC-22: 11431146, 1987.
502
40. D. C. Soo and R. G. Meyer, A four-quadrant NMOS analog multiplier, IEEE J. Solid-State Circ., SC-17: 11741178, 1982.
41. S. L. Wong, N. Kalyanasundaram, and C. A. T. Salama, Wide
dynamic range four-quadrant CMOS analog multiplier using linearized transconductance stages, IEEE J. Solid-State Circ., SC21: 11201122, 1986.
42. K. Kimura, An MOS four-quadrant analog multiplier based on
the multitail technique using a quadritail cell as a multiplier
core, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., CAS42: 448454, 1995.
43. S.-I. Liu, Low voltage CMOS four-quadrant multiplier, Electron.
Lett., 30: 21252126, 1994.
44. M. Ismail et al., Configurable CMOS multiplier/divider circuits
for analog VLSI, Analog Integrated Circuits and Signal Processing, 5: 219234, 1994.
45. B. Song, CMOS RF circuits for data communication applications,
IEEE J. Solid-State Circ., SC-21: 310317, 1986.
46. Z. Czarnul, Novel MOS resistive circuit for synthesis of fully integrated continuous-time filters, IEEE Trans. Circuits Syst., CAS33: 718721, 1986.
47. M. Ismail, Four-transistor continuous-time MOS transconductor,
Electron. Lett., 23: 10991100, 1987.
48. A. L. Coban and P. E. Allen, Low-voltage, four-quadrant, analogue CMOS multiplier, Electronics Lett., 30: 10441045, 1994.
49. T. Botha, CMOS analogue current-steering multiplier, Electron.
Lett., 28: 525526, 1992.
50. N. I. Khachab and M. Ismail, A nonlinear CMOS analog cell for
VLSI signal and information processing, IEEE J. Solid-State
Circ., SC-26: 16891699, 1991.
51. E. Sanchez-Sinencio et al., Operational transconductance amplifier-based nonlinear function synthesis, IEEE J. Solid-State Circ.,
SC-24: 15761586, 1989.
52. O. Landolt, E. Vittoz, and P. Heim, CMOS Self-biased Euclidean
distance computing circuit with high dynamic range, Electron.
Lett., 28: 352354, 1992.
53. M. Sasaki, Current-mode analog fuzzy hardware with voltage input interface and normalization locked loop, IEICE Trans. Fundamentals, E75-A: 650654, 1992.
54. J. Lazzaro et al., Winner-take-all networks of O(n) complexity, in
D. S. Touretzky (ed.), Advances in Neural Information Processing
Systems, Vol. 1, Los Altos, CA: Morgan Kaufmann, 1989.
MANUEL DELGADO-RESTITUTO
Institute of Microelectronics of
Seville
FERNANDO VIDAL
University of Malaga
ANGEL RODRIGUEZ-VAZQUEZ
Institute of Microelectronics of
Seville
226
Er(P+)
(a)
tracting limit sets or attractors. Electronic circuits are typically dissipative due to resistive heating losses; consequently,
their long-term behavior is usually characterized by motion
on attractors. Here, we consider only dissipative circuits.
Attracting equilibrium point, periodic, and quasi-periodic
solutions of deterministic dynamical systems have the property that trajectories from nearby initial conditions that converge to the same limit set become correlated with time. By
contrast, two trajectories started close together on an attracting chaotic limit set diverge exponentially and soon become uncorrelated; this is called sensitive dependence on initial conditions and gives rise to long-term unpredictability.
Technically a chaotic circuit is one whose steady-state behavior is characterized by one or more positive Lyapunov exponents. Lyapunov exponents characterize the average exponential rate of separation of trajectories of a dynamical
system on the attractor. Negative Lyapunov exponents cause
trajectories to converge with time. If an attractor has a positive Lyapunov exponent, then nearby trajectories on the attractor are separated, on average, along some direction. In
practical terms, this means that trajectories of the circuit are
unstable yet bounded. Instability means that nearby trajectories diverge on average, and boundedness implies that they
remain in some finite volume of the state space.
How can nearby trajectories diverge exponentially and yet
remain within a bounded limit let? This may be achieved by
repeated stretching and folding of the flow, as shown in Fig. 1.
Consider the spiral attractor shown in Fig. 1. A trajectory
spirals away from the equilibrium point P along the plane
Ec(P) until it enters the D0 region, where it is folded back
into D1 and returns to the plane Ec(P) close to P. The recurrent stretching and folding continues ad infinitum, producing
a chaotic steady-state solution (12).
Note that two trajectories passing very close to X0 on
Ec(P) are separated quite dramatically when they cross the
plane U1 and enter D0. By the time they return to D1, they
are no longer close. This illustrates sensitive dependence on
initial conditions.
(b)
Ec(P+)
V1
P+
Er(0)
D1
V2
D0
D-1
U1
Ec(0)
I3
P-
227
U-1
X0
Ec(P-)
Er(P-)
Figure 1. Stretching and folding mechanism of chaos generation in Chuas oscillator. (a) Simulated spiral chaotic attractor showing affine regions (D1 and D1), separating planes (U1 and
U1), equilibrium points (P, 0, and P), and their associated eigenspaces (Er and Ec). (b) Experimentally observed attractor. Vertical axis: V1 (1 V/div); horizontal axis: V2 (200 mV/div). Positivegoing intersections of the trajectory through the plane defined by I3 1.37 mA are shown highlighted.
228
(a)
(b)
(c)
(d)
Figure 2. Experimental manifestations of chaos in the double-scroll attractor from Chuas oscillator (R 1800 , C1 9.4 nF) (a) Two-dimensional projection of the attractor in state space;
vertical axis: V1 (1 V/div); horizontal axis: V2 (200 mV/div). (b) Time-domain waveforms. Upper
trace: V1(t) (2 V/div); lower trace: V2(t) (500 mV/div); horizontal axis: t (2 ms/div). (c) Power
spectrum of V2(t). Vertical axis: power (dB); horizontal axis: frequency (kHz). (d) Time-domain
waveforms showing sensitivity to initial conditions. Vertical axis: V1(t) (2 V/div); horizontal axis:
t (500 s/div).
In this section we consider three important classes of autonomous electronic circuits: Chuas oscillator, Saitos hysteresis oscillator, and the Colpitts oscillator.
Chuas Oscillator
Chuas oscillator (shown in Fig. 3) consists of a linear inductor, two linear resistors, two linear capacitors, and a single
voltage-controlled nonlinear resistor NR, called a Chua diode
(1012). NR is a voltage-controlled piecewise-linear resistor
IR
L
I3
R0
C2
V2
_
V1
C1
VR
NR
IR
Gb
(Gb Ga)E
E
Ga
0
(Ga Gb)E
VR
E
Gb
229
While state-space, time-, and frequency-domain measurements are useful for characterizing steady-state behaviors,
nonlinear dynamics offers several other tools for summarizing
qualitative information concerning bifurcations.
A bifurcation diagram is a plot of the attractors of a system
versus a control parameter. For each value of the control parameter, called the bifurcation parameter, one plots samples
of a state of the system. In the case of a fixed point, all samples are identical and the attractor appears on the bifurcation
diagram as a single point. If a periodic solution is sampled
synchronously, the attractor appears in the bifurcation diagram as a finite set of points. A periodic solution consisting of
dV1
G
1
=
(V V1 )
f (V1 )
dt
C1 2
C1
dV2
G
1
=
(V V2 ) +
I
dt
C2 1
C2 3
1
R
dI3
= V2 0 I3
dt
L
L
where G 1/R and f(VR) GbVR (Ga Gb)(VR E
VR E).
Chuas circuit is a special case of Chuas oscillator where
R0 0 (11,12). In practice, an inductor typically has a nonzero
series parasitic resistance, implying that R0 0. Therefore
we consider only the general case of Chuas oscillator.
The primary motivation for studying Chuas oscillator is
that it can exhibit every dynamical behavior known to be possible in an autonomous three-dimensional continuous-time
dynamical system described by a continuous odd-symmetric
three-region piecewise-linear vector field. In particular, it can
exhibit equilibrium point, periodic, quasi-periodic, and chaotic
steady-state solutions. The oscillator is also useful in studying
bifurcations and routes to chaos. A user-friendly program for
studying chaos in Chuas circuit is available (28).
A bifurcation is a qualitative change in the behavior of a
system (2). One of the most familiar bifurcations in electronic
circuits is the Hopf bifurcation, where a circuit that had been
at an equilibrium point begins to oscillate when a parameter
is increased through some critical value called a bifurcation
point.
A well-defined sequence of bifurcations that takes a system
from dc or periodic behavior to chaos is called a route to chaos.
With appropriate choices of its component values, Chuas oscillator can follow the period-doubling, intermittency, or
quasi-periodic route to chaos.
Example: Period-Doubling Route to Chaos in Chuas Oscillator. The period-doubling route to chaos is characterized by a
cascade of period-doubling bifurcations. Each period-doubling
6
4
2
0
-2
-4
-6
V1
V2
I3
10
10
10
10
10
10
10
10
0
-20
-40
-60
-80
-100
(a)
6
4
2
0
-2
-4
-6
V1
V2
I3
0
-20
-40
-60
-80
-100
(b)
6
4
2
0
-2
-4
-6
V1
V2
I3
0
-20
-40
-60
-80
-100
(c)
6
4
2
0
-2
-4
-6
V1
V2
I3
0
-20
-40
-60
-80
-100
(d)
230
Dynamics of D0
Chua's oscillator
4.6
4.5
4.4
V1(V)
4.3
4.2
4.1
4
3.9
3.8
0.000533
0.000538
G (S)
0.000543
Dynamics of D1 and D1
n points is called a period-n orbit. Since a chaotic solution is
nonperiodic, sampling produces an uncountable set of points.
When producing a bifurcation diagram, the sampling instants are determined by a clock that is derived from the dynamics of the system under consideration. In discrete systems, one simply plots successive values of a state variable.
For nonautonomous continuous-time systems with periodic
forcing, the driving signal provides a natural sampling clock.
Some type of discretization in time is needed for autonomous
continuous-time systems. In this case, the sampling instants
are defined by crossings of a trajectory of the system through
a reference plane in the state space that is called a Poincare
section.
Figure 6 shows a simulated bifurcation diagram for V1 in
Chuas oscillator as the bifurcation parameter G is swept
from 533 to 543 S. V1 is sampled when V2 0. Period-one,
period-two, and period-four orbits for G 530, 537, and 539
S yield one, two, and four points, respectively, on the bifurcation diagram.
Chaos Generation Mechanism in Chuas Oscillator
V1
Er(0)
D0
V2
I3
0
Ec(0)
Chua's oscillator
V1
Er(P
Er(P+)
231
4
+)
3
2
P+
D1
V1(V)
V2
I3
0
1
2
P_
Er(P_)
4
0.8 0.6 0.4 0.2
Er(P_)
Figure 8. Dynamics of the D1 region. By symmetry, the D1 region
has equivalent dynamics.
0
0.2
V2(V)
0.4
0.6
0.8
1
+
2
+
IR
R3
R6
L
10
I3
C2
V2
V1
+
A
_ 1
C1 VR
4
R0
+
A
_ 2
6
R2
R1
R5
R4
NR
Double-Scroll Attractor
The double-scroll attractor, a two-dimensional projection of
which is shown in Fig. 9, is a chaotic attractor in Chuas oscillator. This strange attractor is so called because of the intertwined scroll-like structure of a transverse section through
the attractor at the origin.
A2
C1
C2
R
R1
R2
R3
R4
R5
R6
L, R0
Description
Op amp
(1/2 AD712 or equivalent)
Op amp
(1/2 AD712 or equivalent)
Capacitor
Capacitor
Potentiometer
1/4 W resistor
1/4 W resistor
1/4 W resistor
1/4 W resistor
1/4 W resistor
1/4 W resistor
Inductor
(TOKO type 10RB)
Value
10 nF
100 nF
2 k
3.3 k
22 k
22 k
2.2 k
220
220
18 mH, 12.5
232
IR
CHUAS OSCILLATOR
Gb
Gc
Esat
Esat
Ga
-E
E
E
Gb
VR
Gc
Figure 11. Every physically realizable nonlinear resistor NR is eventually passivethe outermost segments must lie completely within
the first and third quadrants of the VR IR plane for sufficiently large
VR and IR.
L
1 10
0.018
R0
10 0
12.5
R
1 2
1770
C2
1 0
100.0N
C1
2 0
10.0N
* 2-VNIC CHUA DIODE
V+ 111 0
DC 9
V 0
222 DC 9
XA1 2 4 111 222 3 AD712
R1
4 0
3.3K
R2
3 4
22K
R3
2 3
22K
XA2 2 6 111 222 5 AD712
R4
6 0
2.2K
R5
5 6
220
R6
2 5
220
* AD712 SPICE Macro-model
1/91, Rev. A
* Copyright 1991 by Analog Devices, Inc.
* (reproduced with permission)
*
.SUBCKT AD712 13 15 12 16 14
*
VOS 15 8 DC 0
EC 9 0 14 0 1
C1 6 7 .5P
RP 16 12 12K
GB 11 0 3 0 1.67K
RD1 6 16 16K
RD2 7 16 16K
ISS 12 1 DC 100U
CCI 3 11 150P
GCM 0 3 0 1 1.76N
GA 3 0 7 6 2.3M
RE 1 0 2.5MEG
RGM 3 0 1.69K
VC 12 2 DC 2.8
VE 10 16 DC 2.8
RO1 11 14 25
CE 1 0 2P
RO2 0 11 30
RS1 1 4 5.77K
RS2 1 5 5.77K
J1 6 13 4 FET
J3 7 8 5 FET
DC 14 2 DIODE
DE 10 14 DIODE
DP 16 12 DIODE
D1 9 11 DIODE
D2 11 9 DIODE
IOS 15 13 5E-12
.MODEL DIODE D
.MODEL FET PJF(VTO=1 BETA=1M IS=25E-12)
.ENDS
.IC V(1)=0 V(2)=0.1
.TRAN 0.01MS 100MS 50MS
.OPTIONS RELTOL=1.0E-5 ABSTOL=1.0E-5
.PRINT TRAN V(1) V(2)
.END
Figure 12. SPICE deck to simulate the transient response of the
implementation of Chuas oscillator in Fig. 10. The op amps are modeled by the Analog Devices AD712 macromodel. R0 models the series
resistance of the real inductor L.
233
IR
Chua's oscillator
4
Rb
V1(V)
ES
Ra
ES
VR
I
Rb
2
3
4
0.8 0.6 0.4 0.2
0
0.2
V2(V)
0.4
0.6
0.8
Trajectories are thus constrained to lie along the drivingpoint characteristic of the nonlinear resistor NR. On the outer
segments of this characteristic,
I3 = V1 Es
where the intercepts Es are as shown in Fig. 15. In these
regions, the system is governed by two-dimensional dynamics
dV1
1
1
= I2
(V Es )
dt
C
RC 1
dI2
1
R
= V1 I2
dt
L
L
If the trajectory is on the upper segment of the VI characteristic and V1 decreases below E, I3 jumps to the lower segment. The trajectory then remains on the lower segment until V1 exceeds E, when it jumps back to the upper segment.
This behavior becomes apparent when I3 is plotted against
V1, as shown in Fig. 16.
dV1
1
1
= I2 I3
dt
C
C
dI2
1
R
= V1 I2
dt
L
L
dI3
1
1
=
V
g(I3 )
dt
L0 1 L0
Saitos oscillator
0.0008
0.0006
0.0004
0.0002
I3(A)
0
0.0002
L
I2
L0
I3
V1
C1
0.0004
+
VR
IR
NR
0.0006
0.0008
5
V1(V)
Figure 16. Simulation of chaotic trajectory in Saitos oscillator showing how the fast dynamics associated with I3 cause the trajectory to
be confined to the outer portions of the VI characteristic NR and to
produce jumps between these segments.
234
Saitos oscillator
Element
0.0015
Description
A1
0.001
A2
I2(A)
0.0005
0
0.0005
0.001
0.0015
5
V1(V)
Value
Op amp
(1/2 AD712 or equivalent)
Op amp
(1/2 AD712 or equivalent)
Capacitor
Potentiometer
1/4 W resistor
1/4 W resistor
1/4 W resistor
1/4 W resistor
1/4 W resistor
1/4 W resistor
Zener diode
Zener diode
Inductor
(TOKO type 10RB)
C
R1
R2
R3
R4
R5
R6
R7
D1
D2
L
4.7 nF
5 k
1 k
1 k
3.3 k
10 k
10 k
100
2.7 V
2.7 V
100 mH
In Saitos oscillator, stretching is accomplished by the negative resistor R which adds energy to the circuit to separate
trajectories. The hysteresis element switches the trajectory
between two two-dimensional regions to keep it bounded. Figure 17 shows a simulation of Saitos circuit with R 3 k,
L 100 mH, C 4.7 nF, L0 1 nH, Ra 3.3 k, Rb 10
k, and I 250 A.
1
V+
2
+
A_1
V1
V-
R6
4
+
A
_ 2
VR
V-
R7
V+
5
8
3
R2
R1
NR
_
0
R5
R4
1
V1(V)
I2
R3
IR
D1
D2
2
3
4
5
V4(V)
Figure 19. SPICE simulation of Saitos oscillator.
235
SAITOS OSCILLATOR
VCC
L
1 4
100M
C
4 0
4.7N
* NEGATIVE RESISTOR (VNIC)
V+ 111 0
DC 9
V 0
222 DC 9
XA1 3 1 111 222 2 AD712
R1
3 0
3.0K
R2
2 3
1.0K
R3
1 2
1.0K
* HYSTERESIS ELEMENT (INIC)
XA2 6 4 111 222 8 AD712
R4
6 0
3.3K
R5
5 6
10K
R6
4 5
10K
R7
8 5
100
D1
5 7
ZENER2E7
D2
0 7
ZENER2E7
RL
IL
L
3
Ic
4
IB
VCE
C1
VBE
0
C2
REE
VEE
dVCE
= IL IC
dt
V + VBE
dV
C2 BE = EE
IL IB
dt
REE
dI
L L = VCC VCE + VBE IL RL
dt
C1
236
Description
Value
Potentiometer
Inductor
NPN bipolar transistor
Capacitor
Capacitor
1/4 W resistor
50
100 H
2N2222A
47 nF
47 nF
400 k
When the loop gain is slightly greater than unity and the
quality factor of the resonant circuit is high, the transistor in
the oscillator remains in its forward active region of operation, and the voltage waveform VCE is almost sinusoidal. By
making the loop gain greater than unity and reducing the
quality factor, this circuit can exhibit a variety of complex
behaviors, including chaos (16,17).
Chaos Generation Mechanism in the Chaotic Colpitts Oscillator
By selecting a sufficiently large small-signal loop gain, the
oscillation VCE grows rapidly, the transistor switches off, VBE
is driven negative, and then increases slowly until the transistor switches on again. Stretching results from the high
gain of the transistor in its forward active region; folding is
caused by the spiral decay in the cutoff region.
Practical Implementation of the Chaotic Colpitts Oscillator
A list of components for the chaotic Colpitts oscillator shown
in Fig. 21 is given in Table 3. This oscillator exhibits a series
of period-doubling bifurcations as R is varied from 0 to 50 .
Figure 22 shows a simulation of the chaotic Colpitts oscillator
using the SPICE deck in Fig. 23.
Colpitts oscillator
1
0.5
VBE (V)
0
0.5
1
1.5
2
2.5
3
VCE (V)
One of the earliest recorded observations of chaos in an electronic circuit is the driven neon bulb relaxation oscillator
studied by van der Pol and van der Mark in 1927 (4,5). The
circuit, shown in Fig. 24, consists of a high voltage dc source
E attached via a large series resistance R to a neon bulb and
capacitor C1, which are connected in parallel; this forms the
basic relaxation oscillator. Initially the capacitor is discharged and the neon bulb is nonconducting. The dc source
charges C1 with a time constant RC until the voltage across
the neon bulb is sufficient to turn it on. Once lit, the bulb
presents a shunt low-resistance path to the capacitor. The
voltage across the capacitor falls exponentially until the neon
arc is quenched, the bulb is returned to its off state, and the
cycle repeats.
As the capacitance C1 is increased smoothly, the circuit exhibits jumps from one (periodic) mode-locked state to another.
For a critical value of the amplitude of the driving signal, the
pattern of mode-lockings has a self-similar fractal structure
consisting of an infinite number of steps. This is called a
Devils staircase (36).
When the amplitude of the forcing signal is greater than
the critical value, the steps of the staircase overlap. Van der
Pol noted that often an irregular noise is heard in the telephone receiver before the frequency jumps to the next lower
value; this is chaos.
The frequency-locking behavior of the driven neon bulb oscillator circuit is characteristic of forced oscillators that contain two competing frequencies: the natural frequency f 0 of
the undriven oscillator and the driving frequency f s. If the
amplitude of the forcing is small, either quasi-periodicity or
mode-locking occurs. For a sufficiently large amplitude of the
forcing, the system may exhibit chaos.
Figure 25 shows experimentally observed mode locking in
a driven neon bulb oscillator. Magnifications of the staircase
are shown in Fig. 26. For driving signals with amplitudes
greater than that shown, the monotonicity of the staircase is
lost and chaos occurs.
The presence of a single dynamic element (the capacitor)
in Fig. 24 might suggest that this is a first-order system, but
a first-order circuit with periodic forcing cannot exhibit chaos.
The hidden second state is associated with the fast transit
dynamics of the neon bulb. The neon bulb may be modeled as
a nonmonotone current-controlled nonlinear resistor with a
parasitic series inductor (5).
Driven RL-Diode Circuit
One of the simplest nonautonomous chaotic circuits is the series connection of a linear resistor, a linear inductor, and a
237
COLPITTS OSCILLATOR
VCC
VEE
RL
L
Q
C1
C2
REE
1
5
1
2
3
3
4
0
4
4
2
3
4
0
0
5
PWL(0 0 1N 5 5M 5)
DC 5
33
100U
0 Q2N2222A
47N
47N
400
Figure 23. SPICE deck to simulate the transient response of the Colpitts oscillator
shown in Fig. 21.
1
dV1
1
=
f (V1 ) +
I
dt
C1
C1 2
1
dI2
R
A
= V1 I2 + sin(2 f st)
dt
L
L
L
8.00
fs / fd
7.00
6.00
5.00
4.00
3.00
2.00
R
+
+
1.00
C1
V1
VS
IR
2.00
4.00
6.00
8.00
10.00
fs (kHz)
VR
NR
238
3.00
3.00
2.80
2.90
2.60
2.80
2.40
2.70
2.20
2.60
fs / fd
fs / fd
2.00
2.50
1.80
2.40
1.60
2.30
1.40
2.20
1.20
2.10
1.00
2.00
1.00
1.50
2.00
2.50
2.40
2.50
fs (kHz)
2.60
2.70
fs (kHz)
3
1
2
1
0
2
3
0
DIODE
15
10.0M
SIN(0 6 100K)
Figure 28. SPICE deck to simulate the behavior of the RL-diode circuit shown in Fig. 27.
R
+
+
VS
IL
0
2
V3(V)
Although a discrete-time, discrete-state deterministic dynamical system may exhibit long periodic steady-state trajectories,
it cannot exhibit chaos. By contrast, a discrete-time system of
order one or more can exhibit chaos if it has continuous state
variables and is described by a nonlinear map. If the system
4
6
8
VD
ID
10
12
8
0
V1(V)
R
+
+
L
I2
VS
V1
IR
VR
239
Element
NR
A1
R
L
C
R1
R2
R3
R4
D1
D2
Description
Op amp
(1/2 AD712 or equivalent)
Potentiometer
Inductor
Capacitor
1/4 W resistor
1/4 W resistor
1/4 W resistor
1/4 W resistor
Zener diode
Zener diode
Value
1 k
33 mH
68 nF
1 k
2.2 k
1 k
100
4.7 V
4.7 V
I2(A)
0.002
0.002
0.004
0.006
4
0
V1(V)
IR
(Gb Ga)E
Gb
Xk+1 = G(Xk )
can be implemented electronically using switched-capacitor
(SC) circuits. Such circuits may exhibit chaos if the map G is
nonlinear and at least one of the eigenvalues of DxG( ) has
modulus greater than unity in magnitude for some states X.
One of the most widely used deterministic random number generators is the linear congruential generator, which is a
discrete-time dynamical system of the form
Ga
E
VR
E
Gb
(Ga Gb)E
R
1
L
2
IR
+
_
VS
V1
+
A
_ 2
VR
V+
V-
5
_
NR
R3
I2
R2
R1
_
0
R4
4
D1
6
D2
240
0.006
0.004
0.002
I2(A)
VS
1 0
SIN(0 2.0 5K)
R
1 2
660
L
2 3
33.0M
C
3 0
68.0N
* VOLTAGE-CONTROLLED NONLINEAR RESISTOR
V+ 111 0
DC 9
V 0
222 DC 9
R1
5 0
1K
R2
4 5
2.2K
R3
3 4
1K
R4
7 4
100
XA1 3 5 111 222 7 AD712
D1
4 6
ZENER4E7
D2
0 6
ZENER4E7
.MODEL ZENER4E7 D(BV=4.7)
0.002
0.004
0.006
4
V
.TRAN 0.1MS 60MS 10MS
.OPTIONS RELTOL=1.0E-5 ABSTOL=1.0E-5
.PRINT TRAN V(1) V(3)
.END
0
V1(V)
C/2
Xk
Xk
Philosophical Transactions of the Royal Society London A (October 1995) on Chaos in nonlinear electronic circuits and
Chaotic behavior in electronic circuits, respectively.
Low-dimensional chaos is now well understood and is
finding applications in noise (dither) generation, targeting,
and wideband communications. Current research into chaos
in electronic circuits is aimed at developing hyperchaotic circuits (38), robust high-frequency chaos generators, and circuit
techniques for producing or suppressing chaos.
BIBLIOGRAPHY
241
11. R. N. Madan, (ed.), Chuas Circuit: A Paradigm for Chaos, Singapore: World Scientific, 1993.
38. T. Matsumoto, L. O. Chua, and K. Kobayashi, Hyperchaos: Laboratory experiment and numerical confirmation, IEEE Trans. Circuits Syst., 33: 11431147, 1986.
13. R. W. Newcomb and N. El-Leithy, A binary hysteresis chaos generator, Proc. ISCAS 84, Montreal, Canada, 1984, pp. 856859.
14. T. Saito, On a hysteresis chaos generator, Proc. ISCAS 85, Kyoto,
1985, pp. 847849.
15. T. Saito and S. Nakagawa, Chaos from a hysteresis and switched
circuit, Philos. Trans. R. Soc. London, 353: 4757, 1995.
16. M. P. Kennedy, Chaos in the Colpitts oscillator, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., 41: 771774, 1994.
17. M. P. Kennedy, On the relationship between the chaotic Colpitts
oscillator and Chuas oscillator, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., 42: 376379, 1995.
18. T. Endo, A review of chaos and nonlinear dynamics in phaselocked loops, J. Franklin Inst., 331B: 859902, 1994.
19. A. Rodrguez-Vazquez et al., Chaos from switched-capacitor circuits: Discrete maps, Proc. IEEE, 75: 10901106, 1987.
20. D. C. Hamill and D. J. Jefferies, Subharmonics and chaos in a
controlled switched-mode power converter, IEEE Trans. Circuits
Syst., 35: 10591061, 1988.
21. D. C. Hamill, Learning about chaotic circuits with SPICE, IEEE
Trans. Educ., 36: 2835, 1993.
326
1k
R3
1k
5V
0
5
Q1
Q2
V1
2(V)
R1
V2
2(V)
1k
0
0
Figure 1. Circuit for differential pair.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
2V
2V
5V
1k
1K
1K
2 m2n2222
2 m2n2222
m2n2222 NPN IS=1e-12 BF=100 BR=5 TF=100pS
tions are then solved using several different numerical techniques. The equations are constructed using Kirchhoff s voltage and current laws. The first system of equations pertains
to the currents flowing into each node. One equation is written for each node in the circuit (except for the ground node),
so the following equation is really a system of N equations for
the N nodes in the circuit. The subscript i denotes the node
index.
V)+
0 = F i = G i (V
V)
Q i (V
Q
+ W i (t)
t
(1)
d(C1V1 )
+ I1
dt
R1
C1
327
R2
g mV 1
I1
0
328
Vx
+
R1
L1
R2
R2
Fortunately, it is easy to determine the node that each terminal is attached to from the netlist. The procedure outlined is
nodal analysis of a circuit.
MODIFIED NODAL ANALYSIS
Normal nodal analysis, which sums the currents flowing into
each node, cannot be used to represent ideal voltage sources
or inductors. This is so because the branch current in these
elements cannot be expressed as a function of the branch voltage. To resolve this problem, loop equations are written
around each inductor or voltage source. Figure 4 shows an
example of this procedure. In this figure, the unknowns to be
solved for are the voltage V1 at node 1, the voltage V2 at node
2, the voltage V3 at node 3, and the current flowing through
voltage source V1, which we shall call I(Vx), and the current
flowing in the inductor L1, which we shall call I(L1). The system of equations is
0 = V1 /R1 + I(Vx )
0 = V2 /R2 I(Vx ) + I(L1 )
0 = V3 /R3 I(L1 )
0 = V1 Vx + V2
0 = V2 +
d(L1 I(L1 ))
V3
dt
Ibf =
Ibr
The voltages Vbe and Vbc are the voltages between base and
emitter and the base and collector, respectively. Is, Bf , and Br
are three user-defined parameters that govern the dc operation of the BJT. Vt is the thermal voltage, or kT/q, which has
the numerical value of approximately 0.26 V at room temperature. Observe that in the normal forward active mode,
where Vbe 0 and Vce 0, Ibr and the second term in Ic vanish
and the current gain of the BJT, which is defined as Ic /Ib,
becomes numerically equal to Bf . Likewise, in the reverse
mode, where Vce 0 and Vbe 0, the reverse gain (Ie /Ib) is
equal to Br.
The EbersMoll model has a number of shortcomings. Observe that once we enter the forward mode, the current gain
is a constant Bf , which does not depend on the collector current or base collector voltage. Real transistors do not behave
this way. In real transistors as Vce becomes more negative,
the base collector depletion region consumes more of the base,
producing a narrower base with and higher current gain (the
early effect). As a result, a plot of Ic versus Vce shows positive
i (I)
d
+ Ei (t)
dt
E(t) represents any independent voltages sources. In our examples, E(t) corresponds to the independent source Vx and the
magnetic flux corresponds to the term L1I(L1).
The use of modified nodal analysis does have the disadvantage of requiring that an additional equation be included for
each inductor or voltage source but has the advantage that
ideal voltage sources can be used. The total number of equations to be solved is therefore the number of nodes plus the
number of voltage sources and inductors. Modified nodal analysis has an additional advantage since it provides a method
of determining currents flowing in certain branches via the
insertion of zero voltage sources that function as amp meters.
Ibr
B
Ibf
C
+
Ic
+
Cbe
E
Figure 5. The EbersMoll model for the bipolar transistor.
300 mA
Ib = 2.0 mA
Ib = 1.5 mA
Ib = 1.0 mA
Ib = 0.5 mA
Ib = 0
0A
0V
Ic(Q3)
5V
10 V
Figure 6. Collector curves for 2N2222 generated using the GummelPoon model.
slope in a real device (the collector has finite output resistance; see Fig. 6). In the EbersMoll model, however, the
slope of the Ic versus Vce curve is zero and therefore the collector resistance is infinite. Real devices also suffer gain degradation at low emitter injection (due to recombination) and at
high injection due to base pushout, resulting in gain reduction
at both low and high collector current. Notice how the collector curves become compressed as the base current increases
in Fig. 6. The GummelPoon model was created to address
these problems and produces more accurate BJT current
voltage (IV) characteristics.
The two capacitances in Fig. 5 contribute charge to the
emitter, base, and collector, and this charge is given by the
following equations:
Qbe = f Is (exp(Vbe /Vt ) 1) + Cje
Qbc = r Is (exp(Vbc /Vt ) 1) + Cjc
Vbe
0
Vbc
0
329
(1 V /Vje )
m e
dv
(1 V /Vjc )m c dv
330
most cases, a system of transcendental equations will normally result and it is therefore impossible to solve the system
analytically. We therefore resort to a numerical procedure,
and the method that has met with the most success is Newtons method or one of its derivatives.
Newtons Method
(2)
Note that all terms on the right side of the equation are functions only of the vector X i. The term J(X) is an N by N square
matrix of partial derivatives of F called the Jacobian. Each
term in J is given by
J i, j =
Fi (X )
X j
J1,1 = 1/R1
J2,1 = 1/R1 + gm
J1,2 = 1/R1
J2,2 = 1/R1 + 1/R2
EXAMPLE SIMULATION
Most circuit simulators allow the user to ramp one or more
voltage sources and plot the voltage at any node or the current in certain branches. Returning to the differential pair of
Fig. 1, we can perform a dc analysis by simply adding a .dc
statement (see Fig. 7). The format for the dc statement is:
.dc Vname start stop step
where Vname is the source to be swept and the start, stop, and
step parameters control the sweep. For the circuit to be valid
for dc analysis:
A dc path to ground must exist from every node in the
circuit
No loops of voltage sources may exist
No cuts of current sources may exist
Each node must be connected to at least 2 elements
A plot of the differential output voltage (between the two
collectors) and the voltage at the two emitters is shown in
V1 4 0
V2 5 0
V3 1 0
R1 2 0
R2 3 1
R3 6 1
Q1 3 4
Q2 6 5
.model
.dc V1
2V
2V
5V
1k
1K
1K
2 m2n2222
2 m2n2222
m2n2222 NPN IS=1e-12 BF=100 BR=5 TF=100pS
1.0 4.0 0.01
331
6.0 V
J + jC
C )1W ac
V ac = (J
Output
Emitters
1.0 V
0V
2.0 V
V(6)
4.0 V
V(2)
V ) = F (V
V dc ) + W dc + W ac +
F (V
+
t
Q
Q (V
V )
dc
V dc
V
G (V
V dc )
G
V ac
dc
V ac + V
V 2ac
V1 4 0 2V AC 1
V2 5 0 2V
V3 1 0 5V
R1 2 0 1k
R2 3 1 1K
R3 6 1 1K
Q1 3 4 2 m2n2222
Q2 6 5 2 m2n2222
.model m2n2222 NPN IS=1e-12 BF=100 BR=5 TF=100pS
.AC DEC 10 1e3 1e9
332
20 nV
Output noise
Input noise
0V
1.0 kHz
1.0 MHz
Frequency
V(INOISE)
Noise Analysis
Noise is a problem in circuits that are designed for the amplification of small signals, like the radio frequency (RF) and
intermediate frequency (IF) amplifiers of a receiver. Noise is
the result of random fluctuations in the currents that flow in
the circuit and is generated every circuit element. In circuit
simulation, noise analysis is an extension of ac analysis. During noise analysis it is assumed that every circuit element
contributes some small noise component, either as a voltage
Vn in series with the element or as a current In across the
element. Since the noise sources are small in comparison with
the dc signal levels, ac small-signal analysis is an appropriate
analysis method.
Different models have been developed for the noise
sources. In a resistor thermal noise is the most important
component. Thermal noise is due to the random motion of the
electrons:
In2 =
4kT f
R
15
1.0 GHz
V(ONOISE)
There are other types of noise that occur in diodes and transistors (examples are flicker and popcorn noise). Noise
sources, in general, are frequency dependent.
Noise signals will be amplified or attenuated as they pass
through different parts of the circuit. Normally, noise is referenced at an output point called the summing node. This
would normally be the output of the amplifier where we would
actually measure the noise. The gain between the summing
node and the current flowing in an element j in the circuit is
defined as Aj( f ). Here f is the analysis frequency since this
gain will normally be frequency dependent.
Noise signals are random and uncorrelated to each other,
so their magnitudes must be root-mean-square summed
rather than simply summed. Summing all noise sources in a
circuit yields
In ( f ) =
A2j ( f )I 2j ( f )
It is also common to reference noise back to the amplifier input, and this is easily calculated by dividing the preceding
expression by the amplifier gain. Specifying noise analysis in
SPICE is simple. All the user needs to do is add a statement
specifying the summing node and the input source. SPICE
then calculates the noise at each as a function of frequency
.noise V(6) V1
Gain
Input
impedance
TRANSIENT ANALYSIS
0
1.0 KHz
V(6)
1.0 MHz
Frequency
1.0 GHz
0.001/I(V1)
intensive and can require 100 or 1000 times the CPU time of
dc or ac analysis.
Numerical Integration Methods
In transient analysis time is discretized into intervals called
timesteps. Typically the timesteps are of unequal length, with
the smallest steps being taken during intervals where the circuit voltages and current are changing most rapidly. The following procedure is used to discretize the time-dependent
terms in Eq. 1.
Time derivatives are replaced by difference operators, the
simplest of which is the forward difference operator (also
known as the forward Euler method):
Q(tk+1 ) Q(tk )
Q(tk )
=
t
h
where the timestep h is given by
h = tk+1 tk
This equation is easily solved for the charge Q(tk1) at the next
time point:
Gi (V
V (tk )) + W i (tk ))
Q (tk+1 ) = Q (tk ) h(G
using only values from past time points. This means that it
would be possible to solve the system simply by plugging in
the updated values for V each time. This can be done without
any matrix assembly or inversion and appears to be very efficient. (Note that for simple linear capacitors, V Q/C at
each node, so it is easy to get V back from Q). However, this
approach is undesirable for circuit simulation for two reasons:
(1) The charge Q, which is a state variable of the system, is
not a convenient choice since some nodes may not have capacitors (or inductors) attached, in which case they will not have
Q values; (2) a more serious problem is that forward (or explicit) time discretization methods like this one are unstable
for stiff systems, and most circuit problems result in stiff
systems. The term stiff system refers to a system that has
greatly varying time constants.
To overcome the stiffness problem, we must use implicit
time discretization methods, which in essence means that the
G and W terms in the preceding equations must be evaluated
at tk1. Since G is nonlinear we will need to use Newtons
method once again.
The most popular implicit method is the trapezoidal
method. The trapezoidal method has the advantage of only
requiring information from one past time point, and furthermore it has the smallest error of any method requiring one
past time point. The trapezoidal method states that if Ic is the
current in a capacitor, then
(t k+1 )
Ic
333
Ic
Vc
h
2C
I c
2 C V c
h
Figure 12. Electrical model for a capacitor. The two current sources
are independent sources. The prime indicates values from preceding
time point.
itor is shown in Fig. 12. Therefore, the solution of the transient problem is, in effect, a series of dc solutions, where the
values of some of the elements depend on voltages from the
previous time points.
The procedure for transient analysis is as follows:
334
large (say, five times the error criteria) the simulator will reduce the timestep (usually by 1/2) and go back and recompute
the point. In addition, most simulators select time points so
that they coincide with the edges of pulse-type waveforms.
3.0 V
2.0 V
0s
20 ns
40 ns
60 ns
Time
V(6,3)
V(6,3)
Figure 13. Transient response V(6,3) of differential amplifier to sinusoidal input at V(4,5).
cation error (LTE) for each timestep. For the trapezoidal rule,
the LTE is given by
=
h d3x
( )
12 dt 3
and represents the maximum error introduced by the trapezoidal method at each timestep. The trapezoidal method is
a second-order method since the error is proportional to the
timestep cubed. The LTE for a time point can only be computed after the solution at that point is known. LTE timestep
control methods calculate the timestep for the next time point
from the LTE at the preceding time point by assuming that
the error at each step to be as close to a certain small fixed
value (usually 0.1%) as possible.
h=
12
d3x
dt 3
M1
3
2
V2
1.7 V
Sin
0V
R2
U9A
3 Qa
4 Qb
5 Qc
6 Qd
CLR
Ie7
2n
C1
and V(5) is the VCO control voltage. Note that PSPICE generates the integrator from a capacitor, a voltage-controlled current source (G) and a voltage-controlled voltage source (E).
S1
R1
S2
0
(V (5) + 2.5) dt
0
1k
V1
(We are assuming that the required h does not change much
from timestep to timestep.) If, after computing the solution at
a new time point, we find that the LTE at that point is very
1k
R3
1k
10
1
20
R4
R5
500
1k
5V
Q1
+ V4
11
V3
0
Figure 14. Phase-locked loop circuit.
R6
20$AtoD
U9A:QA
U9A:QC
U9A:QD
4.0 V
CONVERGENCE ISSUES
Sel>>
3.0 V
40 s
45 s
Time
V(7)
50 s
V(1)*5
2.6 V
2.3 V
335
0s
Time
V(4)
Figure 16. PLL output from loop filter.
50 s
Considering that a circuit can contain thousands of nodes requiring the solution of thousands of simultaneous nonlinear
equations, it is amazing that Newtons method can converge
at all. Unfortunately, there are many cases when Newtons
method needs help and we will address a few methods here.
Many convergence problems are caused by an initial guess
that lies far from the true solution. One way of improving the
initial guess is through projection. Projection does just as its
name implies and uses two previous solutions to calculate an
initial guess. In time-dependent simulations we can use the
following linear projection:
V i+1 = V i +
(V i V i1 )(t i+1 t i )
t i t i1
F i+1
(t
ti )
t
336
ki1)/2) and try again. The projection techniques described previously can be applied simply by replacing t
with k.
5. Repeat step 4 until k 1, at which point all the dependent voltage and current sources will be at their correct values.
M3
M4
R1
R2
Vdd
While it would appear that this method should be foolproof, it can be shown that source stepping will fail for certain
circuits. Consider a circuit consisting of a voltage source driving an element with an IV characteristic similar to that of
Fig. 17. The locus of solutions is the intersection of the vertical line in the figure with the curve. Convergence would typically fail at point A since the solution jumps abruptly to point
B. The only way to proceed beyond point A is to convert the
voltage source to a current source and continue on by stepping in current. This would, in effect, change the vertical line
to a horizontal line, in which case there are no abrupt transitions.
There is no method of solving nonlinear equations that is
always guaranteed to work. A particularly serious convergence problem is caused by bad conditioning of the Jacobian.
A matrix is badly conditioned if its determinate is close to
zero. Since the inverse of a matrix is related to the inverse
of its determinate, if the Jacobian is badly conditioned small
changes in F(V) result in very large changes in V, which can
make convergence difficult. Bad conditioning results from
nodes that are isolated or nearly isolated. Consider the circuit
in Fig. 18, which contains two resistors. The Jacobian matrix is
1/R1
1/R1
1/R1
(1/R1 + 1/R2 )
Current I
A
Voltage V
Figure 17. A case that will not converge.
M1
M2
0
all nodal voltages are set to zero (as we would do for source
stepping), all the MOS devices would be biased with Vgs 0
or Vgs Vth (i.e., in the off state). In many MOS models, if the
device is off, the drain current and the drain conductance
(Id /Vds) are zero. This causes node 1 to be isolated and
causes bad conditioning, which can make it impossible to find
a solution.
Observe that improving the initial guess will not help in
the case of convergence failure due to bad conditioning. A possible solution might be to improve the accuracy of the linear
solver by the use of pivoting or scaling schemes, but there is
usually a CPU penalty involved. A common solution is to connect a small conductance from every node of the circuit to
ground, thereby eliminating isolated nodes. However, if the
conductance is made too large, it effectively alters the circuit,
which can produce incorrect results (in SPICE this conductance is referred to as Gmin). For the CMOS circuit, once the
circuit is biased at full power, at least one of the MOSFETs
will be in the conducting state and node 1 will no longer be
isolated. This suggests that if we use the source-stepping algorithm, we could use a large conductance during the early
phase when k is small and nodes may be isolated and gradually reduce Gmin as k approaches 1. Note, however, that some
CMOS circuits (for example, those containing a transmission
gate) can have isolated nodes even with full power applied.
THE JACOBIAN MATRIX STRUCTURE AND LINEAR SOLUTION
The form of the Jacobian matrix of a circuit depends on how
the nodes are connected by the circuit elements. Each element
introduces a dependencythat is, if an element connects
node i to node j then the current at node j depends on the
voltage at node i and vice versa. Therefore, an element Ji, j
will be nonzero only when there is an element connected between nodes i and j.
The exception to this rule are the diagonal entries that indicate how the current at a node depends on its own voltage.
In most cases, the diagonal entries will be nonzero. A circuit
element with two terminals such as a resistor or capacitor
will make four nonzero contributions to the Jacobian matrix.
If the resistor is connected bewteen nodes i and j, it will contribute a conductance of G 1/R to the Jacobian entries
Ji, j and Jj,i and will contribute G 1/R to the diagonal entries
Ji,i and Jj, j. An element with three terminals, like a bipolar
transistor, will contribute to nine entries in the Jacobian
(three on the diagonal), and a MOSFET with four terminals
will contribute to 16 Jacobian entries.
1.0
0
0
5.0
3.0
4.0
0
0
0
0
7.0
0
0
9.0
6.0
8.0
The vector A contains [1.0, 5.0, 3.0, 4.0, 7.0, 9.0, 6.0, 8.0]. The
vector IA contains [1, 4, 1, 2, 3, 2, 3, 4], and JA contains [1,
3, 5, 6, 9]. There are other storage systems (for example, some
programs store the matrix row wise rather than column wise;
it is also common to store the diagonal entries in a separate
floating-point array or force the matrix to be symmetric by
padding with zeros and then only use pointers to the upper
1/2).
Solving the linear problem Ax b for a full matrix is normally performed using the LU decomposition followed by forward and back solve operations. The LU decomposition factors the matrix A into the product of two matrices L and U.
L is lower triangular, meaning that all entries above the diagonal are zero. U is upper triangular meaning that all its entries below the diagonal are zero. Thus Ax b becomes
LUx b or Ly b followed by Ux y. Since L and U are
triangular, these are easy to solve. The code for a full matrix
is easy to program for the LU decomposition:
for(i=1 to n-1 ) {
for(j=i+1 to n) {
a(j,i)=a(j,i)/a(i,i)
}
for(j=i+1 to n) {
for(k=i+1 to n) {
a(j,k)=a(j,k)-a(j,i)*a(i,k)
}
}
}
The preceding code is Dolittles method and computes the LU
decomposition in place, meaning that the computes L and
U are written over the original elements in A. The forward
solve is
for(i=1 to n-1) {
for (j=i+1 to n) {
b(j)=b(j)-a(j,i)b(i)
}
}
337
338
dQi (V (t))
=0
dt
J. GREGORY ROLLINS
MTS, Antrim Design Systems
339
proven globally convergent only under unrealistically restrictive conditions (4,5). They sometimes fail because it
is difficult to provide a starting point sufficiently close to an unknown solution. It has been proven that Newtons
method converges in the case of arbitrary single-transistor networks, and certain circuit-theoretic sufficient
conditions are given that guarantee convergence in the case of n-transistor networks (6). The extension of this
result beyond the one-transistor case, even for such special cases as multitransistor circuits having no feedback
structure (7) and thus possessing a unique operating point, is still an open problem (8).
To help dc convergence, experienced designers of analog circuits use several ad hoc techniques (9) that
still rely on NR methods for solving nonlinear circuit equations. In the source-stepping algorithms, a ramping
function is used for the sources and the circuit simulator provides a series of operating points until the response
to the original set of driving voltages is obtained. In the temperature-sweeping procedure, the temperature is
swept starting from a certain value (usually zero) and a dc operating point of the circuit is found. Then, using
this value as a starting point, the temperature is increased and the new dc operating point calculated. The
process is repeated until the dc operating point at the desired temperature is found. In another technique,
known to the design community as Gmin -stepping, small conductances are placed between every circuit node
and ground. The initial value of the conductances is chosen large enough to ensure (if possible) the convergence
of the NR method. The added conductances help convergence, since they contribute to the diagonal elements
of the circuits Jacobian matrix and can force it to become row or column sum dominant. If the operating point
of the circuit is found, it is used to set initial node voltages for the next step. The auxiliary conductances are
further decreased until a default minimum value is reached.
Another known method for improving dc convergence that is used by circuit designers is pseudotransient
analysis (10). The original resistive network is transformed into a dynamical network by adding pseudo
capacitors and inductors to the original circuit. The excitation is set to a ramped function that saturates at the
desired dc value. Therefore, a set of nonlinear algebraic equations
describing the resistive circuits is replaced by a set of singular perturbed differential equations
The time-domain response of the circuit is found and the analysis performed until the circuits transient
response vanishes. Unfortunately, this type of embeddings can produce stiff problems and cause various
stability problems that can fail to produce the desired solution.
The described methods implicitly exploit the idea of embedding or continuation: a variation of a parameter
over a range of values until it reaches the value for which the operating point is desired. The methods often
work because each subsequent dc operating point is found by using the previous result as the starting point for
the NR method. Nevertheless, in many circuits that possess multiple dc operating points, these ad hoc methods
fail because of the presence of bifurcations in the continuation path leading to the solution.
until they finally describe the originally posed difficult problem. For example, let
while varying .
An example of a simple homotopy is
where R1 is the continuation parameter, a Rn is the starting vector for the homotopy path, and F(x) = 0
is the nonlinear equation to be solved. This homotopy mapping H(x, ) has the following properties:
If the nonlinear equations satisfy certain coercivity conditions (21), homotopy methods can be made
globally convergent and bifurcation free; that is, they will converge to a solution from an arbitrary starting
point (22). By exploiting the passivity (23) and no-gain (24) properties of the circuit elements, transistor circuit
equations can be shown to satisfy such conditions (25). It has also been proven that other forms of equations
describing transistor circuits also satisfy such conditions (20).
Continuation methods described here rely on the continuous characteristics of the nonlinear circuit
elements. An alternate approach to solving circuit equations is provided by simplicial methods, which deal with
piecewise-linear characteristics of circuit elements (26). Simplicial methods provide alternative techniques for
following a homotopy path and the underlying homotopies are very similar. One of their disadvantages is that
in practice, models of nonlinear circuit elements come in continuous form.
where, in addition to the parameter , a random vector a and a new parameter (a diagonal matrix) G Rn
Rn is embedded. With probability one a random choice of a gives a bifurcation-free homotopy path (22). This
homotopy has an interesting circuit interpretation. If F(x) describes a transistor circuits nodal equations, this
homotopy represents equations written for an augmented circuit. A branch consisting of a conductance Gk (1
)/ connected in series with a grounded voltage source ak is connected to every node for which a nodal equation
is written. At = 0, the starting point of the homotopy path, the added branches contain only a voltage source
and hence force the nodal voltages to be equal to the elements ak of the random vector a. As increases, the
added conductances come into play. When = 1, the added branches get disconnected from the circuit and the
augmented circuit reverts to the original circuit.
The variable-stimulus homotopy is based on the equation
where the node voltages of the nonlinear elements are multiplied by . The starting point of the homotopy
corresponds to the setting of all voltages across the nonlinear elements to zero, and hence it is the solution to
a linear circuit.
The fastest converging homotopy for bipolar circuits is the variable-gain homotopy:
where is a vector consisting of transistor forward and reverse current gains. These current gains are multiplied
by . Setting = 0 forces all transistor current gains to zero at the beginning of the continuation process. This
solution point corresponds to the dc operating point of a circuit consisting of resistors and diodes only. Such a
circuit always possesses a unique dc operating point, and its dc equations can be solved easily. For example,
an efficient way to find the starting point of the homotopy path is to employ the variable stimulus homotopy to
solve the nonlinear circuit consisting of resistors and diodes only. Then the variable-gain homotopy is used to
find the dc operating points of the original circuit. Through small and carefully selected changes of , the circuit
is slowly deformed and the coupling of the transistors pn junctions is introduced. For each instance of , the
circuit equations are solved (i.e., a dc operating point is found). The original circuit and its dc operating point
is obtained when = 1. This combination of variable-stimulus and variable-gain homotopy has been named
the hybrid homotopy.
The modified variable-stimulus homotopy, chosen for its simplicity and ease of implementation, has been
used in a production version of a circuit simulator (15,16):
Choosing a good starting point for the homotopy method is essential to ensure fast convergence of the
algorithm. In circuit terms, a good starting point may be a solution to a linear circuit or to a nonlinear circuit
that has a unique solution. The choice of the starting point is important because it will influence the length of
the path and the number of iterations needed to reach the destination. It is advantageous to choose a starting
point that is easy to compute and that is physically related to the final state of the circuit, such as that which
results by setting all transistor current gains to zero. This new circuit consists of resistors and diodes only.
It has been proven that the equations describing such a circuit are diffeomorphisms, and hence a modified
Newton method (4) can be used to solve these equations and to produce a good starting point for the homotopy
algorithm.
The usefulness of the parameter embedding methods depends also on the type of a circuits descriptive
equations. SPICE-like simulators use modified-nodal formulations where the unknowns are nodal voltages
and currents. Embeddings for modified nodal equations do not always have convenient circuit interpretations
such as the kind that fixed-point homotopy embedding (9) has when applied to nodal equations. Modified nodal
equations with embeddings that cause the presence of nonphysical circuit elements (e.g., occurrence of negative
resistors) can sometimes pose numerical difficulties and will have worse performance than the nodal equations
(16). For such equations the embedding of a parameter should be done in a manner that avoids nonphysical
network instances (e.g., occurrence of negative resistors).
An important issue in using homotopies to find dc operating points is the type of nonlinear functions that
characterize nonlinear circuit elements. Most homotopy algorithms require that these nonlinear functions be at
least c2 continuous. Furthermore, the passivity and the no-gain properties of the models used for semiconductor
devices should be preserved, since they prove essential in ensuring that the conditions required by the pathfollowing algorithms (21) will be satisfied (25). The transistor models implemented in circuit simulators often
satisfy these properties.
Example: Schmitt Trigger Circuit. We illustrate the application of homotopy methods by solving
nonlinear equation that describe the Schmitt trigger circuit shown in Fig. 1. A set of nonlinear equations
describing the circuit, based on the modified-nodal formulation (27), is:
Bipolar-junction transistors are modeled using the EbersMoll transistor model (28):
where
and
For transistor T 1
Fig. 1. Schmitt trigger circuit whose equations were solved by using homotopy method. The circuits possess three dc
operating points. All three solutions to the circuits modified nodal equations were successfully found by using the fixedpoint homotopy (9). Circuits parameters are: V cc = 10 V, R1 = 10 k, R2 = 5 k, R3 = 1.25 k, R4 = 1 M, Rc1 = 1.5 k,
Rc2 = 1 k, Re = 100 . The two bipolar transistors are identical with parameters: mf df = mr dr = 10 16 A, f = 0.99, r
= 0.5, and n = 38.78 1/V.
For npn transistors, which were used in the example, me < 0, mc < 0, and n < 0.
By using the fixed-point homotopy of Eq. (9) we can find all three solutions to Eq. (13) for the circuits
node voltages and the current flowing through the independent source. The elements of the diagonal matrix G
were set to 10 3 , and the starting vector a was chosen by a random number generator. The solutions for the
circuits node voltages are listed in Table 1. The solution paths for voltages x1 through x4 , and the current x7
versus the homotopy parameter , are shown in Fig. 2(a) and 2(b), respectively. The three solutions are found
when the paths intersect the vertical line corresponding to the value = 1.
Practical Implementations
Several techniques for tracking homotopy paths are implemented in publicly available software packages (29,
30,31). The dependence of the homotopy parameter on the path length s was implemented in the HOMPACK
software package (31).
HOMPACK solves a set of nonlinear algebraic equations of the form F(x) = 0 that describes the dc behavior
of a nonlinear circuit. HOMPACK provides a curve-tracing option, which allows formulating a customized
homotopy. Various embedding functions can be constructed from the circuit modified nodal formulation and
used with the homotopy curve tracing option. We constructed homotopies that require minimal modifications
to the matrices obtained from the circuit simulator and, hence, ensure the simplicity of the interface.
Fig. 2. Homotopy paths for (a) the four node voltages x1 through x4 , and (b) the current x7 of the Schmitt trigger circuit.
The paths were obtained by solving the circuits modified nodal equations with a simple homotopy embedding (9). The plots
show solutions of the homotopy equations versus the value of the homotopy parameter . The three solutions are found
when the homotopy paths intersect the vertical line corresponding to the value = 1.
Three methods for solving nonlinear systems of equations are implemented in HOMPACK. Corresponding
differential equations are created based on the ordinary differential equations, the normal flow algorithms, and
the augmented Jacobian matrix algorithms. They differ by their robustness, and their convergence depends on
the smoothness of the nonlinear functions used to model transistors and diodes.
Homotopy methods have been used (15,16) to simulate various circuits that could not be simulated using
conventional methods available in simulators. The software package HOMPACK (31) was interfaced to SPICElike simulators such as ADVICE (AT&T) (15), the TITAN (Siemens) (16), and SPICE 3F5 (UC Berkeley) (17)
simulators engines. When existing methods for finding dc operating points fail, the dc operating points of
a transistor circuit are obtained using HOMPACK. Dc operating points of various circuits that could not be
simulated using conventional methods available in simulators were successfully found using homotopies. These
circuits are often highly sensitive to the choice of parameters and the biasing voltages.
BIBLIOGRAPHY
1. L. Nagel SPICE2: A Computer Program to Simulate Semiconductor Circuits, ERL Memorandum No. ERL-M520, Univ.
California, Berkeley, May 1975.
2. A. Vladimirescu The Spice Book, New York: John Wiley & Sons, 1994.
3. R. Kielkowski Inside SPICE, 2nd ed., New York: McGraw-Hill, 1998.
4. R. E. Bank D. J. Rose Global approximate Newton methods, Numer. Math., 37: 279295, 1981.
5. J. M. Ortega W. C. Rheinboldt Iterative Solutions of Nonlinear Equations in Several Variables, New York: Academic
Press, 1969, pp. 161165.
6. A. N. Willson, Jr. Some aspects of the theory of nonlinear networks, Proc. IEEE, 61: 10921113, 1973.
7. R. O. Nielsen A. N. Willson, Jr. A fundamental result concerning the topology of transistor circuits with multiple
equilibria, Proc. IEEE, 68: 196208, 1980.
8. Lj. Trajkovic A. N. Willson, Jr. Theory of dc operating points of transistor networks, Int. J. Electron. Commun., 46 (4):
228241, 1992.
9. P. Yang, ed. Circuit simulation and modeling, IEEE Circuits Devices Mag., 5 (3): 50, 1989; 5 (5): 4849, 1989; 6 (2):
810, 1990.
10. W. T. Weeks et al. Algorithms for ASTAP-a network-analysis program, IEEE Trans. Circuits Syst., CAS-20: 628634,
1973.
11. E. L. Allgower K. Georg Numerical Continuation Methods: An Introduction, New York: Springer-Verlag, 1990,
pp. 115.
12. I. A. Cermak DC solution of nonlinear state-space equations in circuit analysis, IEEE Trans. Circuit Theory, CT-18:
312314, 1971.
13. L. O. Chua A. Ushida A switching-parameter algorithm for finding multiple solutions of nonlinear resistive circuits,
Int. J. Circuit Theory Appl., 4: 215239, 1976.
14. M. Hasler J. Neirynck Nonlinear Circuits, Norwood, MA: Artech House, 1986, pp. 143151.
15. R. C. Melville et al. Artificial parameter homotopy methods for the dc operating point problem, IEEE Trans. Comput.
Aided Des. Integr. Circuits Syst., 12 (6): 861877, 1993.
16. Lj. Trajkovic W. Mathis Parameter embedding methods for finding dc operating points: formulation and implementation,
Proc. NOLTA 95, Las Vegas, NV, December 1995, pp. 11591164.
17. Lj. Trajkovic E. Fung S. Sanders HomSPICE: Simulator with homotopy algorithms for finding dc and steady state
solutions of nonlinear circuits, Proc. IEEE Int. Symp. Circuits and Systems, Monterey, CA, TPA 10-2, June 1998.
18. D. Wolf S. Sanders Multiparameter homotopy methods for finding dc operating points of nonlinear circuits, IEEE Trans.
Circuits Syst., 43: 824838, 1996.
19. K. Yamamura K. Horiuchi A globally and quadratically convergent algorithm for solving nonlinear resistive networks,
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 9 (5): 487499, 1990.
20. K. Yamamura T. Sekiguchi Y. Inoue A globally convergent algorithm using the fixed-point homotopy for solving modified
nodal equations, Proc. Int. Symp. Nonlinear Theory and its Applications, Kochi, Japan, 463466, Oct. 1996.
21. S. Chow J. Mallet-Paret J. A. Yorke Finding zeroes of maps: homotopy methods that are constructive with probability
one, Math. Computat., 32 (143): 887899, 1978.
22. L. T. Watson Globally convergent homotopy algorithm for nonlinear systems of equations, Nonlinear Dynamics, 1:
143191, 1990.
23. B. Gopinath D. Mitra When are transistors passive? Bell Syst. Tech. J., 50: 28352847, 1971.
24. A. N. Willson, Jr. The no-gain property for networks containing three-terminal elements, IEEE Trans. Circuits Syst.,
CAS-22: 678687, 1975.
25. Lj. Trajkovic R. C. Melville S. C. Fang Passivity and no-gain properties establish global convergence of a homotopy
method for dc operating points, Proc. IEEE Int. Symp. Circuits Syst., New Orleans, LA, May 1990, pp. 914917.
26. J. Katzenelson An algorithm for solving nonlinear resistor networks, The Bell System Tech. J., 16051620, Oct. 1965.
27. C. W. Ho A. E. Ruehli P. A. Brennan The modified nodal approach to network analysis, IEEE Trans. Circuits Syst.,
CAS-22: 504509, 1975.
28. J. J. Ebers J. L. Moll Large scale behavior of junction transistors, Proc. IRE, 17611772, December, 1954.
29. M. Kubicek Dependence of solution of nonlinear systems on a parameter, ACM Trans. Math. Softw., 2 (1): 98107, 1976.
30. W. Rheinboldt J. V. Burkhardt A locally parameterized continuation process, ACM Trans. Math. Softw., 9 (2): 215235,
1983.
31. L. T. Watson S. Billups A. Morgan Algorithm 652: HOMPACK: a suite of codes for globally convergent homotopy
algorithms, ACM Trans. Math. Softw., 13 (3): 281310, 1987.
LJILJANA TRAJKOVIC
Simon Fraser University
456
PIECEWISE-LINEAR TECHNIQUES
PIECEWISE-LINEAR TECHNIQUES
Simulation programs play an important role in the design of
integrated electronic systems. They allow the designer to collect information on the performance of the system that is being designed before that the system is actually realized. To do
so, the circuit is described as a collection of separate modules
that are connected in some way. Depending on the type of
circuit, these modules are of a different nature (e.g., transistors, logic gates, behavioral models), each with their own corresponding data structure and typical solution algorithm.
Within a certain application, modules of different complexity
can also be used to supply variable detail in the resolution of
the circuit response that must be calculated. For fast and efficient simulation, the algorithms to solve the set of equations
describing the modules behaviors are highly optimized with
respect to storage requirements, accuracy, or convergence
speed. As a result, it is nearly impossible to combine the analysis for all different aspects in one single run using conventional analysis methods.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
PIECEWISE-LINEAR TECHNIQUES
(1)
x =
ik = Gk v ek with Gk = 1/Rk
(2)
(3)
Application of Eq. (3) and summation over all branches immediately yields
i = G1 (v e1 ) +
n
Gk v ek
k=2
or
i = G1 (v e1 ) +
n
n
1
1
Gk (v ek ) +
G |v ek |
2 k=2
2 k=2 k
(4)
1
(x + |x|)
2
457
i =v
3
3 3
|v 1| + |v 2|
4 4
4
(5)
458
PIECEWISE-LINEAR TECHNIQUES
i
R2 =
2/3
f (xx ) = a + Bxx +
i , x
i |
c i |
i
+
R1 =
1
1.5
e2 = +
1V
e3 = +
2V
0.5
1
In a more general mathematical expression, the model description for the PL function f : Rn Rm is given by
R3 =
2/3
(6)
i=1
(7)
This hyperplane Hi divides the domain space into two regions, R1i and R2i. The normal vector of the plane is defined
by i. The hyperplane reflects the operation of the ideal diode
i, one region corresponding to the situation in which this diode conducts and the other to its blocking state. This can also
be seen from Eq. (4), in which each absolute-sign operator
refers to an ideal diode in the network. Using the model definition, the domain space Rn is divided into a finite number of
polyhedral regions by hyperplanes Hi of dimension n 1.
When crossing Hi, the Jacobian matrix J of Eq. (6) changes
with the amount
J = J1i J2i = (cc i Ti ) (cc i Ti ) = 2cc i Ti
Then it can be proven that using this extension any two-dimensional function or two-port electrical network can be modeled (4,5). Here we assume that the functions f i(x), i 1, 2
are affine functions. Figure 3 shows a geometrical interpretation of these base functions. In a two-dimensional situation,
hyperplanes may cross each other and a hyperplane itself
may eventually be piecewise linear under the condition that
the breakpoint is defined by a hyperplane described by a base
function of order one. In a similar way, we can define base
function i
ui = f i (x) +
i1
aik uk
(9)
k=1
and with this set of base functions it can be proven that any
PL function or any multiport can be modeled (6,7). However,
the function or network should be of class P, a property we
will discuss later.
(8)
Implicit Piecewise Linear Models
f1(x)
f2(x) + a21f1(x)
u1 = f1(x)
u2 = 0
f1(x)
u2 = 0
f2(x)
u1 = 0
u2 = f2(x) + a21f1(x)
u2 = f2(x)
PIECEWISE-LINEAR TECHNIQUES
y
+
x
Port set 1
i
Hm
= {xx|cc imx + gim = 0}, i {1, . . ., k}
Memoryless
j
Multiport
(12)
+
Port set 2
459
Vmi
(13)
The row vectors cmi in Eq. (13) are the normal vectors on
the hyperplanes that bound the polytope Km and point in the
inward direction. They all can be considered as rows of a matrix Cm such that the polytope Km is equivalently given by
Km = {xx|Cmx + g m 0}
(10)
m = 1, 2, . . ., 2k
(11)
(14)
(15)
Because the normal vectors of the hyperplanes were considered to be rows of Cm and thus also for Ci, we may collect
all normal vectors into a single matrix C. The same holds for
vector g. Once a partitioning of the space is given, the various
matrices Am and vectors f m in Eq. (11) also have to be defined
in accordance with Eq. (15). We are, of course, looking for a
compact description of the piecewise linear function as defined by the network with ideal diodes. From a network point
of view it is clear that the network is continuous and hence
the underlying piecewise linear function. As a result, the matrices and vectors in Eq. (11) become related and may not
freely be chosen. This dependency is the same as expressed
by Eq. (8), yielding in this situation (assuming separation
hyperplane Hp)
Ai = A j +
( f i f j )C p
gp
(16)
(17)
460
PIECEWISE-LINEAR TECHNIQUES
Definition 1. Let z, u, j Rn and let the n-dimensional vector function ( ) be given as (z)k h(zk), where the subscript k denotes the kth element of a vector and h( ) is a
scalar function. For a strictly increasing h: R R and
h(0) 0, the transformation z u, j defined by u (z
z), j (z z) is called the modulus transformation.
u+ f
y = Axx + Bu
(18)
u +g
j = Cxx + Du
(19)
u, j 0 u T j = 0
(20)
(21)
u +g
j = Cxx + Dyy + Iu
(22)
u=0
i + (1)v +
2 2
1
1
(23)
u+
j=
v + Iu
1
2
u, j 0 u T j = 0
x1}
x1
x0
x0}
f (x) = 0
f (x) = x + 1
f (x) = 1
u + (1)
y = (1)x + (1 1)u
j1
1
1
1
1
=
x+
u+
j2
1
1 1
0
having a matrix D not of class P. Such a function cannot be
described by any explicit model description.
Although each model format does not change with respect
to the function or network to be modeled, the model size is
PIECEWISE-LINEAR TECHNIQUES
(24)
(25)
461
mension of M. A more efficient approach is to construct algorithms that use an extension of a local solution estimate to
find the required result. Note that the dimension of M depends on the number of linear segments used to approximate
the nonlinear behavior of a function.
The most well-known method for this purpose is the homotopy algorithm by Katzenelson (16). Katzenelson introduced
this method in 1965, and the method is still extensively used
in piecewise linear simulation programs (10,17,18). Being a
homotopy method, a continuous path through the space is created by extending the LCP of Eq. (26) according to
u + q 0 + (q
q q 0 )
j = Mu
(27)
v , w 0, v T w = 0
(28)
(29)
(26)
i+
5
10 10
(30)
1
4
1
j=
u
E+
i + Iu +
1
4
2
where we leave out the complementary conditions for convenience. Because of the definition of the elements of the network, (i, E0) (0, 0) is a solution of the network. However,
462
PIECEWISE-LINEAR TECHNIQUES
u+
=0
i+
5
10 10
10
1
4
1
u+
j=
E+
i + Iu
1
4
2
and the algorithm yields
j=
1
5
1
5
u+
9 + Iu
1
5
45
topy parameter may be complex. The advantage is that difficult points in the characteristic, such as the hysteresis curve,
can be handled with more care than with the straightforward
method. Another extension is treated in Ref. 17 that allows
us to find the dc operating point of a network having a discontinuous behavior.
Over the years, several algorithms have been developed to
solve the LCP and they can roughly be categorized into four
groups:
1. Homotopy Algorithms. Besides the algorithm of Katzenelson, Lemke (21) and van der Panne (22) developed
pivoting algorithms based on homotopy methods. The
advantage of the latter two algorithms is that they are
able to handle a larger class of LCP matrices than can
Katzenelson, which is only guaranteed for class P problems. The price to be paid is a more complex algorithm,
and therefore it is mainly the Katzenelson algorithm
that is used in (PL) simulators.
2. Iterative Algorithms. These methods solve some equivalent multidimensional optimization problem. This optimization problem is most often quadratic (23). Equation
(26) can be reformulated as minimizing xT Mx qT x
under the condition that x 0, which yields a solution
satisfying Eq. (26). The required solution can be obtained by applying efficient gradient search methods
from the nonlinear optimization theory.
3. Contraction Algorithms. The algorithms in this class
solve some equivalent nonlinear algebraic problem by
iteration using, for example, contraction or NewtonRaphson iteration. One important member of this class
is the modulus algorithm (8). This method will yield a
polynomial solution algorithm for matrix M from a certain limited class such as positive definitive matrices.
4. Polyhedral Algorithms. These methods perform operations on the polyhedrons in which the domain space is
divided by the collection of hyperplanes. We will discuss
two algorithms of this class in more detail in the following section because this class of algorithms allows us to
find all dc operating points of a network.
MULTIPLE DC OPERATING POINTS
In the previous section algorithms were discussed to obtain a
single dc operating point of the electrical network. However,
many circuits do have multiple operating points. We discussed how a solution algorithm (in this case Katzenelson)
can be applied to solve a network of piecewise linear components (i.e., how to find a single operating point for a given
excitation). In general, this means that using a homotopy
method, we are able to find a single solution of a piecewise
linear function starting from an initial condition. Determining all solutions would require trying all possible initial conditions, thus posing a severe drawback. The problem of finding
all solutions of a system of piecewise linear (or, in general,
nonlinear) equations is extremely complex. Because a
piecewise linear function might have a solution in every region, any algorithm that claims to find all solutions must scan
through all possible regions. The efficiency of an algorithm is
therefore mainly determined by the efficiency with which it
can remove regions that do not have a solution from the list of
PIECEWISE-LINEAR TECHNIQUES
(32)
7 3
3
7
v |v 1| + |v 2|
6
4 4
4
(33)
k , x
k = 0
Hk :
H k : k , x
k = 0
k.
because y1 and the origin must lie on the same side of H
. If
This procedure must be repeated for all sides of region R
,
this so-called sign test fails on any of the boundaries of R
then this region contains no solution of Eq. (32). Due to the
sign test, we do not have to solve all linear equations, but
only those for which we know in advance that they contain a
solution. Therefore, this method is more elegant than the
brute force method. In Ref. 24 Chua described an efficient
implementation of the sign test.
Applying this technique to Eq. (33) yields the dc operating
points (i, v) (, ), (i, v) (, ) and (i, v) (, ), which can
be verified by adding the load line, defined by Eq. (29) to the
characteristic in Fig. 2.
Separable Piecewise Linear Functions
Yamamura (25) developed a method that is based on the assumption that one considers the function f to be separable:
f (xx ) =
n
f i (x)
where f i : R1 Rn. It can be shown that many practical resistive circuits exploit this property and hence this assumption is not too strict (26,27). Further, it is known that a
piecewise linear approximation of a separable mapping can
be performed on a rectangular subdivision. This means that
if f was nonlinear, it is transformed into a piecewise linear
function by approximating the function linearly within each
rectangle. Hence a piecewise function will be the result. It
also means that the following procedure results in an approximation of the exact solution: The finer the rectangular subdivision, the better the approximation solution of f. If, however, f was already piecewise linear and, in particular, in
accordance with Eq. (6), we can choose the subdivision such
that it fits with the polytopes of the mapping. In case of Eq.
(6) we choose the lattice structure as rectangular subdivision
and the exact solutions will be obtained. If this is not possible,
we can again approximate this piecewise linear function on a
chosen rectangular subdivision following the procedure as if
the function was nonlinear. So in this subsection we assume
that f in Eq. (37) is either nonlinear or piecewise linear.
Let us subdivide the solution space into rectangular regions. To this purpose we define two vectors
l = (l1 , l2 , . . ., ln )T and u = (u1 , u2 , . . ., un )T
(38)
(34)
n
max{ fji (li ), fji (ui )} 0
i=1
n
(35)
(37)
i=1
i = 1, 2, . . ., n
(39)
, then
If the origin is located in region R
sgn k , 0
k = sgn( k )
463
(36)
j = 1, 2, . . ., n
(40)
i=1
where f represents the linear approximation of f in the rectangle under consideration. Equation (40) means that in each
rectangle only two function evaluations per region have to be
performed. This is because the function within the rectangle
464
PIECEWISE-LINEAR TECHNIQUES
(41)
which means that at one boundary of the rectangle the function value is positive while at the other boundary the function
value is negative. Indeed, somewhere within the boundary
the function must pass the origin and hence a solution is obtained. If Eq. (40) does not hold for some j, the function does
not possess a solution in that rectangle.
This test is very simple, simpler than the one proposed by
Chua (24), where first the image of all boundaries must be
computed. In the case of Yamamura, per region it requires
only 2n(n 1) additions and n(n 2) comparisons. After the
sign test, we solve linear equations on the regions that passed
the test. The problem with this method is that the test has to
be applied on each rectangle. We can significantly reduce the
number of tests by exploiting another propertynamely, the
sparsity of the nonlinearity. In general, each equation is nonlinear or piecewise linear in only a few variables and is linear
in all other variables. Suppose that the function f is nonlinear
in x1 and linear in x2; then we do not have to define a subdivision in R2 but only in R. Now we can apply the same sign test
of Eq. (40) to this structure, which has a complexity of a lesser
degree than we had previously. We can show that the total
complexity is on the order O(n3).
We can apply this technique to our example assuming that
f is given by Eq. (33). Let us define the rectangular division
as [0, 1], [1, 2], and [2, 3], which coincides with the lattice
structure of Eq. (33). For the first rectangle Eq. (41) results
in
where xj, j 1, 2, . . ., n represents a breakpoint in the characteristic and x, x represents some points at the left-most
and right-most segment (28). Equation (42) describes a PL
mapping with the parameters consistent with the complementary conditions as given in Eq. (43). We did not mention this
model description in the previous sections because it has no
direct relation to an electrical network. The nonlinear resistor
as defined by the network in Fig. 2 can be given in terms of
Eqs. (42) and (43)
3
i
1
1
12 +
+ 2 +
=
+
+
v
1
1
1
0 1
+
1 1 = 1
(44)
+ , , +
1 , 1 0
+
1 1 = = 0
i
1 6) v
(6
(45)
9
1
0
1
2
1
7
1
+
1
1
1+
1
(46)
or, in general,
(M
x = x0 + x + (x1 x0 )+
n
+
(xk 2xk1 + xk2 )+
k1
+ = 0, +j j = 0
which in the literature is known as the generalized linear complementary problem (28,29) with w, z, 0 and the complementary condition still valid. This set of equations can be
solved using the modified Tschernikow method. The term generalized is used because the matrix is not of dimension Rnn,
as in the LCP discussed previously, but can have any dimension, i.e. Rnm, n m. Hence it can represent an underconstrained set of equations that indeed can possess more than
one solution.
Tschernikow developed a method to find all solutions of the
problem
Axx b ,
(47)
(42)
k=2
+j j = + j,
w
q) z = 0
j = 1, 2 . . ., n
(43)
x Rn ,
A Rmn ,
nm
(48)
which in any case with the introduction of some slack variables can always be transformed into
u 0, u 0
Bu
B Rk p
(49)
PIECEWISE-LINEAR TECHNIQUES
The solution space of Eq. (49) describes all nonnegative solutions of Eq. (48). The method starts to define a start tableau
1
1
1
T = (T 1 |T 2 ) =
0
..
0 b11
..
.
1 b1 p
bk1
..
.
bk p
(50)
T end
c11
.
end
end
= (T1 |T2 ) =
..
ct1
c1 p
..
.
ct p
(51)
t
p i ci ,
with ci = (ci1 , . . ., ci p )
(52)
i=1
and pi a nonnegative parameter. The set (c1, . . ., ct)T describes the corners of the convex solutions space. If the problem is written as
Axx = b ,
xR ,
n
AR
mn
nm
(53)
465
If not, the corresponding row must be removed from the tableau and we can generate a new tableau (29).
The start tableau in our example of Eq. (46) looks like
1
0
0
0
1
1
0
0
16
1
8
2
0
16
14
7
(54)
466
PIECEWISE-LINEAR TECHNIQUES
3
1
i
0
1
= p1
+ p2
+ p3 2 =
p iw i
v
0
1
2
i=1
p1 + p2 + p3 = 1
p1 , p2 , p3 0
describing the triangular area defined by the first two segments and a virtual line segment. We can use this description
together with the topological equations to obtain a set similar
to
Km
M
m
pm
i (wi tkm ) = rk for k = 1, . . ., M
m=1 i=1
Km
m
pm
i = 1, pi 0
i=1
where t and r define the topological relations, M is the number of polyhedral elements in the network, and Km is the number of polyhedral regions per element. This system of linear
equations and inequalities may be regarded as the constraints of a linear programming problem. The solution for
each polyhedral region is a dc operating point for the original
problem. To find all operating points implicates that, in principle, all polyhedral regions have to be solved. However, when
we set up a genealogical tree, a reasonable reduction in
computation can be obtained. A certain node in this tree represents a specific polyhedral element. If a certain node does
not contain a solution, some other nodes in the tree may be
discarded. In Ref. 35 a detailed discussion can be found.
Comparison of the Methods
From the preceding discussions it follows that the method
used first depends on the model descriptions used. When the
network is described using an explicit model, then we can
apply the method of Chua or Yamamaru, where the latter has
the advantage of efficiency but demands separability of the
network, which is not always the case. We know that explicit
model descriptions are less powerful than implicit model descriptions and hence the polyhedral methods of Vandeberghe
and Leenaerts can be applied to a larger class of problems.
However, in situations where the network components are
one-port elements, these methods are overkill with respect to
the computation of the solutions. On the other hand, in the
general case they are more powerful and do not require restrictions on the problem. The method of Pastore is not well
accepted yet, mainly because it demands that all piecewise
linear elements first have to be rewritten into polyhedral elements, which is not always a trivial task. Second, the problem
must be solved using LP techniques, which does not well fit
within a simulation environment. This latter drawback may
also apply to the method of Yamamura. The methods of Chua,
Vandeberghe, and Leenaerts fits very well within an existing
simulation environment because they can handle the existing
models directly and use techniques that are already available
within the simulator to analyze the network in the time
domain.
BIBLIOGRAPHY
1. L. O. Chua and S. M. Kang, Section-wise piecewise linear functions: Canonical representation, properties and applications,
Proc. IEEE, 65: 915929, 1977.
2. S. M. Kang and L. O. Chua, A global representation of multidimensional piecewise linear functions with linear partitions,
IEEE Trans. Circuits Syst., 25: 938940, 1978.
3. L. O. Chua and A. C. Deng, Canonical piecewise linear representation, IEEE Trans. Circuits Syst., 35: 101111, 1988.
4. G. Guzelis and I. Goknar, A canonical representation for
piecewise affine maps and its application to circuit analysis,
IEEE Trans. Circuits Syst., 38: 13421354, 1991.
5. C. Kahlert and L. O. Chua, A generalized canonical piecewise
linear representation, IEEE Trans. Circuits Syst., 37: 373382,
1990.
6. T. A. M. Kevenaar, D. M. W. Leenaerts, and W. M. G. van Bokhoven, Extensions to Chuas explicit piecewise linear function descriptions, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl.,
41: 308314, 1994.
7. D. M. W. Leenaerts, Further extensions to Chuas explicit
piecewise linear function descriptions, Int. J. Circuit Theory Appl.,
24: 621633, 1996.
8. W. M. G. van Bokhoven, Piecewise Linear Modelling and Analysis,
Deventer, The Netherlands: Kluwer Technische Boeken, 1981.
9. W. M. G. van Bokhoven, Piecewise linear analysis and simulation, in A. E. Ruehli (ed.), Circuit Analysis, Simulation and Design, Amsterdam: North-Holland, 1986, pp. 129166.
10. T. A. M. Kevenaar and D. M. W. Leenaerts, A comparison of
piecewise-linear model descriptions, IEEE Trans. Circuits Syst. I,
Fundam. Theory Appl., 39: 9961004, 1992.
11. W. Kruiskamp and D. Leenaerts, Behavioral and macro modeling
using piecewise linear techniques, Int. J. Analog Integ. Circuits
Signal Process., 10: 6776, 1996.
12. P. Veselinovic and D. M. W. Leenaerts, A method for automatic
generation of piecewise linear models, Proc. ISCAS, Atlanta, GA,
1996, Part III, pp. 2427.
13. L. O. Chua and A. Deng, Canonical piecewise linear modeling,
IEEE Trans. Circuits Syst., 33: 511525, 1986.
14. C. E. Lemke, On complementary pivot theory, in J. B. Rosen,
O. L. Mangasarian, and K. Ritter (eds.), Nonlinear Programming
(Proceedings of a Symposium), New York: Academic, 1968, pp.
349384.
15. S. Kamardian, The complementarity problem, Math. Program., 2:
107129, 1972.
16. J. Katzenelson, An algorithm for solving nonlinear resistor networks, Bell Syst. Tech. J., 44: 16051620, 1965.
17. P. Pejovic and D. Maksimovic, An algorithm for solving piecewise
linear networks that include elements with discontinuous characteristics, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., 43:
453460, 1996.
18. K. Kawakita and T. Ohtsuki, NECTAR 2, a circuit analysis program based on piecewise linear approach, Proc. ISCAS, Boston,
1975, pp. 9295.
19. M. J. Chien and E. S. Kuh, Solving piecewise linear equations for
resistive networks, Int. J. Circuit Theory Appl., 4: 324, 1976.
20. D. M. Wolf and S. R. Sanders, Multiparameter homotopy methods for finding DC operating points of nonlinear circuits, IEEE
Trans. Circuits Syst. I, Fundam. Theory Appl., 43: 824838, 1996.
21. C. E. Lemke, On the complementary pivot-theory, in G. B. Dantzig and A. F. Veinott, Jr. (eds.), Mathematics of Decision Sciences,
Part I, Providence, RI: AMS, 1968, pp. 95114.
PIEZOELECTRIC ACTUATORS
22. C. van der Panne, A complementary variant and a solution algorithm for piecewise linear resistor networks, SIAM J. Math.
Anal., 8: 6999, 1977.
23. C. W. Cryer, The solution of a quadratic programming problem
using systematic overrelaxation, SIAM J. Control., 9: 385, 1971.
24. L. O. Chua and R. L. P. Ying, Finding all solutions of piecewiselinear circuits, Int. J. Circuit Theory Appl., 10: 201229, 1982.
25. K. Yamamura, Finding all solutions of piecewise-linear resistive
circuits using simple sign tests, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., 40: 546551, 1993.
26. K. Yamamura and M. Ochiai, An efficient algorithm for finding
all solutions of piecewise-linear resistive circuits, IEEE Trans.
Circuits Syst. I, Fundam. Theory Appl., 39: 213221, 1992.
27. K. Yamamura, Exploiting separability in numerical analysis of
nonlinear systems, IEICE Trans. Fundam. Electron., Commun.,
Comput. Sci., E75-A: 285293, 1992.
28. L. Vandeberghe, B. de Moor, and J. Vandewalle, The generalized
linear complementarity problem applied to the complete analysis
of resistive piecewise linear circuits, IEEE Trans. Circuits Syst.,
36: 13821391, 1989.
29. B. de Moor, Mathematical concepts and techniques for modelling
of static and dynamic systems, Ph.D. thesis, Katholieke Universiteit Leuven, Belgium, 1988.
30. S. N. Tschernikow, Lineare Ungleichungen, Berlin: VEB
Deutscher Verlag der Wissenschaft, 1971 (translation from Lineinye neravenstva, 1968, by H. Weinert and H. Hollatz into
German).
31. D. M. W. Leenaerts, Applications of interval analysis to circuit
design, IEEE Trans. Circuits Syst., 37: 803807, 1990.
32. D. M. W. Leenaerts, TOPICS, a contribution to design automation, Ph.D. dissertation, Department of Electrical Engineering,
Technical University Eindhoven, The Netherlands, 1992.
33. D. M. W. Leenaerts and J. A. Hegt, Finding all solutions of
piecewise linear functions and the application to circuit design,
Int. J. Circuit Theory Appl., 19: 107123, 1991.
34. V. Chvatal, Linear Programming, New York: Freeman, 1983.
35. S. Pastore and A. Premoli, Polyhedral elements: A new algorithm
for capturing all equilibrium points of piecewise linear circuits,
IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., 40: 124
132, 1993.
DOMINE M. W. LEENAERTS
Technical University Eindhoven
467
339
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
Number of subcircuits
340
350.00
300.00
250.00
200.00
150.00
100.00
50.00
0.00
5.00
Level
10.00
units that may include one or more functional blocks depending on the block size. The connections between blocks
shown in Fig. 1 may involve multiple paths. However, the
external connections are usually sparse compared with the
connections within the functional units. It is very important
to recognize that the number of fanout connections of a circuit
output is in general very sparse (e.g., 16). However, we have
also encountered circuits with a fanout of 4000.
Each block has the property that the number of logic levels
or the logical circuits that are connected in series must be
limited to meet delay time limits or the system clock cycle.
Hence, most functional units in Fig. 1 are relatively shallow
in the number of levels. The functional units become wider
as the number of transistors increases. An example is the error detection or correction circuitry of a 16 Mbyte dynamic
random access memory (DRAM) design, shown in Fig. 2. The
unit contains over 16 103 transistors; however, the number
of logic levels is only 11. As can be seen from the figure, the
width of the unit averages over 200 gates with a large potential for parallel processing. More insight into this will be
given in the section entitled Parallel Waveform-RelaxationBased Circuit Simulation.
It is evident that the multirate factor increases rapidly as
circuit size exceeds the size of a functional unit since the
waveforms may have little correlation especially if they come
from different functional units.
INTERNAL WR ALGORITHMS
In this section we examine the WR iteration process, assuming that a circuit has already been divided into subcircuits by
the external partitioning algorithms considered in the section
entitled External Global WR Algorithms. The situation that
we explore focuses on the local iteration between two neighboring subcircuits that are part of a large global circuit environment.
Functional units
Fundamental WR Techniques
Inputs
Outputs
Width
Levels
Figure 1. Basic structure of a large VLSI circuit as a set of blocks
which are interconnected sparsely.
(1)
where x [v, i]T, v are node voltages, and i are selected currents. The nonlinearities in C(x) are in part due to the transistor and integrated-circuit capacitances. To ensure that the
solution is unique and that convergence for WR can be
achieved, the capacitor and transistor models are designed
with care so that they do not have discontinuities. The required properties of C(x) and g(x, t) are considered later in
more detail in the section entitled Convergence for the Nonlinear Case. We also do not want to consider the general differential algebraic equations (DAE) that result from general
MNA equations since the resultant equations are more complex than the ordinary differential equations (ODE) case considered here, although it has been shown by several researchers that a solution is possible for the DAE case, for example,
in Ref. 17.
Consider the scalar equation
x(t)
= f (x, t)
(2)
(3)
f ( , x(w) ( )) d
(4)
where the initial waveform may be constant in the time window with x(0) x0 and subsequent iterations yield new waveforms x(1)(t),x(2)(t),x(3)(t), . . ..
As an example, if the subsystem of equations is simplified
by assuming that g(x, t) Gx(t), where G represents a linear resistor R, or G 1/R, then Eq. (1) is reduced to
x(t)
+ x(t) = 0
(5)
where 1/(RC) is the magnitude of the eigenvalue or inverse time constant. If we apply the PL iteration algorithm to
this RC circuit problem we can make the following statement
about the convergence of the iterative solution:
341
Proof: Applying the PL iteration Eq. (4) to Eq. (5) we can find
the solution to be
x(w) (t) = 1 t + (1)w
(t)w
w!
(7)
(8)
|x (t) x
(w)
(t)(w+1)
(t)|
(w + 1)!
(6)
SCkt 1
SCkt 2
x1(t)
x2(t)
342
where x1(0) x10 and x2(0) x20 and u(t) represents the
inputs.
A special case exists if the connection from subcircuit 2 to
subcircuit 1 is missing, or x1(t) f 1(x1, u(t)) only. In this case,
we have a so-called one-way connection. If we solve the system by solving subcircuit 1 first, followed by subcircuit 2, the
exact solution is obtained in one forward iteration (6). An example of such a system consists of two metal oxide semiconductor (MOS) transistor inverters without gatedrain feedback capacitances. Since most logic circuits are highly
directional even during switching transients, it is evident
that it is always advisable to solve the circuit in the direction
of large coupling.
In the general case, with coupling in both directions, several iterations are necessary to obtain a solution. The Gauss
Jacobi WR iteration algorithm is given by
(9)
x1(w+1) (t)
x2(w+1) (t)
=
=
(10)
where x1(w1)(t0) x10 and x2(w1)(t0) x20. In this approach, results that are computed in the solution of subcircuit 1 at iteration (w 1) are used in the solution of subcircuit 2 in the
same iteration. This ordering and the immediate use of newly
computed results allows the GS algorithm to take fewer iteration steps to converge than the GJ algorithm. For this reason,
the GS method is generally preferred even though it puts a
larger burden on the external WR algorithms such as ordering and scheduling, which have to select the subcircuit analysis sequence. It is not always possible to update all the variables as required for GS WR. For this case we will use what
we call a mostly GS algorithm that instantaneously updates
as many variables as possible. We will revisit this issue later
in the section entitled External Global WR Algorithms.
I1
+
V1
+
R1
R3
V3
R3
R1 + R2 + R3
(11)
(12)
R2
(13)
(14)
1
I (t)
v1(w+1) (t) = 1
+ v 3(w) (t)
R1C2
C2
(15)
1
v(w+1) (t) = v 1(w) (t)
R3C2 3
(16)
v 3(w+1) (t) +
C2
R2
1
1
v(w+1) (t) =
v(w) (t) + V s (t)
R2C1 1
R2C1 3
1
1
v(w+1) (t) =
v(w) (t)
v 3(w+1) (t) +
R2C3 3
R2C3 1
v 1(w+1) (t) +
R3
(a)
C1
(w+1)
(w)
(sII + M )v
(s) = N v (s)
(b)
(18)
where M and N are evident from Eqs. (17) and (18). We can
rewrite Eq. (18) as
(w+1)
(w)
(s) = K (s)v
v
(19)
where the meaning of the symbol K(s) is evident from comparing the last two equations. The following theorem from Miekkala and Nevanlinna (10) is applied to the problem to find the
spectral radius.
Theorem 2. Assume that the eigenvalues of M have positive
real parts. Then the spectral radius of K(s) is (K)
maxR( jI M)1N. For this case we have
1
0
sR2C1 + 1
K (s) =
(20)
1
0
sR2C3 + 1
and it is clear that the minimum occurs for s 0 where
(K(0)) 1, which indicates that the convergence problem
could occur at s 0.
In Refs. 27 and 28 it is shown that this problem does not
occur for a finite time window. For convenience, we set both
time constants to unity by choosing C1 C3 R2 1. Then
we can find the iterative solution to be
v1(w) (t) = et
C3
(17)
w1
m=0
R1
343
t 2m
(2m)!
(21)
et
t 2m 1
Error[v1(w) (t)] =
2 m=(w) 2m
m
(22)
From this we can derive the rapid convergence of the partitioned circuit provided that the window is small enough.
344
We can see from this that for our normalization R1C2 1 and
R3C2 1 the convergence is very fast for w T/2. Hence,
the larger the time constants of the two partitioned circuits,
the larger the time window T for which rapid convergence
occurs for a particular number of WR iterations w. It should
be noted that this type of partitioning can again be done statically in the partitioning process by choosing an appropriate
value of the time window T and the approximate number of
WR iterations.
Another important observation can be made from this
analysis on convergence behavior for windowing. First, most
realistically modeled nodes for VLSI circuits, with the exception of the gate-to-drain capacitances, can be represented by
the basic circuit in Fig. 5(b). Hence, the convergence behavior
shown in this section given by Eq. (22) is quite typical. It
shows that if the window T is chosen too large or equivalently, the number of WR iterations w are chosen to be insufficient, then the solution may be quite poor since the rapid
convergence regime has not been reached. Specifically, at the
window boundary t T, the approximation and, even more
important, the derivatives of the solution are approximated
very poorly. Then, multistep integration techniques, such as
the popular BDF2 method (29), that utilize solution points
from the previous window are used to continue the solution
in the next time window. This obviously represents a very
poor starting condition for the solution in the next time
window.
(24)
for the allowed values of t, x1, x2. Second, the nonlinear behavior of the m m capacitance matrix with respect to the real
vector z of length m must satisfy several conditions. Each element of the capacitance matrix C(z) must satisfy another
Lipschitz continuity condition with a constant L that applies
for all j for the real vectors u, v:
m
(25)
k=1
A further condition is imposed on the capacitances. We assume that there exists a constant 0 such that for all real
vectors, u, v where u 0 we have
[C(z)u]i ui u2
(26)
This condition can be viewed as being related to the instantaneous energy in the system of capacitances C, which is given
by 1/2uTCu 0 for u 0. For a nodal capacitance matrix,
this implies diagonal dominance. For the nonlinear case, the
requirements in Eq. (26) are somewhat more restrictive than
what is required for the multiple capacitances for which
z u.
The WR equations for two subcircuits in which each subcircuit is represented by a single equation are given by
c11 (x1(w+1) , x2(w) )x1(w+1) (t) + c12 (x1(w+1) , x2(w) )x2(w+1) (t)
= G1 (x1(w+1) (t), x2(w) (t), t)
c21 (x1(w+1) , x2(w+1) )x1(w+1) (t) + c22 (x1(w+1) , x2(w+1) )x2(w+1) (t)
(27)
(23)
with the initial value x(0) x0. All the conditions below are
assumed to apply in a window in time, which we choose to be
t [0,T]. The voltage excursion must be contained for the
semiconductor devices such that the nonlinearities can be described by a valid circuit model. Hence, we assume that limits
are also applied on the particular values of x so that the conditions of the theorem are met.
Proof. Here, we only give an outline of the proof. The uniqueness of the solution of Eq. (23) is guaranteed by the conditions
given earlier in a time window t [0,T]. For any continuous
differentiable function x(t) with the initial condition x(0)
x*(0), we form the difference
A(x, x ) = C(x)x C(x )x G(x, t) + G(x , t)
(28)
which can be expanded by adding and subtracting the quantity C(x)x*. For t [0,T] we form the quantity
A(x, x )i (t)(x x )i (t)
(29)
t
0
xi(w) (s) ds
(30)
(31)
(32)
345
(33)
346
a
2
b
b
c
c
(a)
(b)
Figure 6. (a) A MOS transistor circuit partitioned into three subcircuits; (b) A directed graph corresponding to Fig. 6(a), which shows
the main logic signal flow.
Since the model is set up using the worst case for nonlinear
resistances, a slightly higher value of the threshold is used
since the gain estimates are conservative. It should be noted
that the same technique can be used for a circuit that includes only capacitors in exactly the same way as in the section on convergence for RC circuits in which the equivalent
resistance values used are given by R 1/C. All pairs of
nodes that are directly connected to one another are considered in the partitioning process, and the algorithms just described will decide whether to place them in the same subcircuit or not. Hopefully, the resultant SCkts are small so that
each has only a few nodes. However, if too many single-node
subcircuits result, it may be advantageous to merge some
subcircuits into larger ones. Merging or condensing will reduce the number of WR iterations at the expense of having to
solve larger subcircuits. An example of a situation where it
may be advisable to condense subcircuits occurs when global
feedback loops exist in the circuit. This will be explained in
more detail in the next section.
Ordering and Scheduling
Definition 2. Ordering is defined as the process of labeling
the subcircuits in an increasing order starting with the one(s)
that should be solved first.
It is evident from the example of a one-way inverter chain,
Fig. 7, in which the gate-drain capacitances are ignored, that
the solution starting from input to output leads to convergence in one WR iteration. On the other hand, if the subcircuits are ordered from output to input, m inverters require m
WR iterations for convergence. It was shown in the first section that large VLSI circuits that are simulated by WR techniques have many parallel paths, which can result in the
same ordering in each of the paths or chains of SCkts.
Ordering becomes more difficult for circuits with feedback
loops. There are two possible choices for dealing with feedback. An example is given in Fig. 6(a) for a circuit with feedback. This is apparent from the graph in Fig. 6(b). For small
feedback loops involving only a few SCkts like that given in
this example, it may be more efficient to form a larger SCkt
by merging all the SCkts in a feedback loop into a single
SCkt. For larger loops, it may be better to cut the feedback
loops (14). Application of these techniques results in a new
set of SCkts without cycles. The feedback-loop-cutting algorithm can also be viewed as a mostly GaussSeidel approach
in which the values at the feedback input nodes are specified
at iteration (w 1) by using feedback values from x(w) as is
done for all variables in the GaussJacobi technique. All the
other nonfeedback variables are updated in the GS fashion.
So-called strongly connected component techniques (41) are
used to detect the inputs to the feedback loops, which are the
2
2
2
3
3
3
4
4
4
5
5
5
347
13 21
51 52
13 21
51 52
21 22 23 31 31 32 33 41 41 42 43
53
21 22 23 31 31 32 33 41 41 42 43
53
(34)
348
(35)
No.
Trans.
Conventional
Basic
Sched. WR
-sched.
WR
Ch8
Ch16
Ch32
16
32
64
26
118
635
41
100
270
34
48
171
(w+1)
(w)
(w) (w+1)
Cml (x1(w+1) , . . ., xm
, xm+1
, . . ., xM
)xl
l=1
M
(w+1)
(w)
(w) (w)
Cml (x1(w+1) , . . ., xm
, xm+1
, . . ., xM
)xl
l=m+1
(w+1)
(w)
(w)
f m (x1(w+1 , . . ., xm
, xm+1
, . . ., xM
, u) = 0
(36)
349
No. Trans.
Analysis time
(ns)
Best Window
(ns)
Ch8
Ch16
Ch32
16
32
64
4.5
8
16
4.5
2
4
(37)
350
the end of the time window T due to the nonuniform convergence of the WR process. This nonuniform convergence was
considered earlier. The partial waveform convergence is given
by the following algorithm.
Definition 5. A SCkt is said to be partially converged or t
partially latent if
1. The SCkt has been analyzed at least once for the present time window T.
2. All waveforms xE(t) associated with the SCkt do not
change up to the time point t for (w) and (w 1). This
change is measured as
xE(w) (t) xE(w1) (t) A + R max xE(w1)
t[0,t]
(38)
PARALLEL WAVEFORM-RELAXATION-BASED
CIRCUIT SIMULATION
Parallel implementations of WR have been investigated by
many researchers (47,5157) since the approach is ideally
suited for parallelization. Many of the techniques developed
for parallel WR are detailed in the book by Banerjee (58). Because each subcircuit is solved independently, subcircuits can
be distributed among multiple processors and solved concurrently. During every iteration, each processor must have access to the input waveforms for each subcircuit that it is to
solve. Once waveforms are available, a processor can then
solve a subcircuit over a time window T. Only after a subcircuit has been solved is there a need to share data among processors. This results in infrequent sharing of relatively large
blocks of data among processors. Generally the time to solve
each subcircuit is relatively long compared with the time
needed to communicate results among processors. This implies that the ratio of time for computation to communication
will be high, and good parallel speedups are possible. Moreover, as circuit size increases, the size of each subcircuit often
remains relatively constant, while the number of subcircuits
generally increases. Therefore as circuit size increases, the
opportunities for parallelism also increase.
Architecture Considerations
Parallel-processing machines can be grouped into two classes:
single-instruction, multiple-data (SIMD) and multiple-instruction, multiple-data (MIMD). In a SIMD machine, each
processor executes the same instructions on different data
streams. In a MIMD machine, each processor executes different instructions on different data streams. Parallel WR solves
different subcircuits on each processor, and therefore each
processor will in general be executing different instructions
on different data, which implies that parallel WR is best
suited for a MIMD architecture. Additionally, both SIMD and
MIMD machines can be implemented using either shared or
distributed memory. In a shared-memory machine, each processor is capable of accessing all memory in the machine. It
is usually the programmers responsibility to make sure no
two processors attempt to access the same memory locations
simultaneously. The Cray C-90 and SGI IRIS Challenger
are examples of shared memory MIMD machines. In a distributed memory machine, each processor has its own local
memory, which cannot be accessed by other processors. Sharing of data is accomplished through message passing between
processors. One form of distributed memory machine is a network of workstations using MPI to share data over a network.
The IBM SP2, Intel Paragon, and Cray T3D are examples of more closely coupled distributed-memory MIMD machines. One advantage of distributed-memory machines is
that no single processor needs to have enough memory to hold
all of the data for analysis. This becomes increasingly important as circuit sizes increase. On the other hand, shared memory permits faster exchange of data among processors.
As stated above, a MIMD architecture is well suited for
WR where parallelism is applied at the subcircuit level with
each processor solving its own set of subcircuits. Either
shared or distributed memory can be used, each with its own
advantages and disadvantages. In a shared-memory environment, it is easier to balance work load among the processors,
because each processor has complete access to all data relative to the analysis. As each processor completes an analysis
of a subcircuit, it solves the next subcircuit that is ready to
be processed (51). In this way, slower processors will automatically take on less work, while faster processors will do more.
One associated disadvantage is that a relatively complicated
locking mechanism must be implemented to prohibit different
processors from trying to read and write the same data at the
same time. Another is that all input data and computed results must fit within the globally shared memory.
Distributed memory eliminates problems relating to simultaneous access of data and the need to have all data fit within
one global memory. However, because all data are not easily
accessible to all processors, it is harder to balance work load.
Most implementations statically assign subcircuits to processors at the beginning of an analysis using a combination
of heuristics to attempt to predict and balance work load and
communication patterns (56). Dynamic work load balancing
(59) requires the transfer of subcircuits and their state from
one processor to another, which may be several thousands of
bytes. If these transfers cannot be done quickly or they must
be done often, it may be faster to stay with a suboptimal subcircuit to processor assignment. In addition, performance may
be affected by the time required to share data among processors. Fortunately, windowed WR at the subcircuit level requires infrequent sharing of data among processors. Nevertheless, the time to communicate results may be a significant
portion of total job time. Consequently, most MIMD implementations attempt to minimize communication by assigning
subcircuits that share data to the same processor and to
hide communication overhead by overlapping communication and computation, that is, by continuing to compute additional results while communication is progressing. The underlying assumption is that parallel WR is applied to very large
circuits that partition into many subcircuits, and that there
are many more subcircuits than processors. Therefore, each
processor will generally have sufficient work to remain active
while data are being shared among processors.
Algorithm Selection
It was shown earlier that the GS relaxation algorithm will, in
general, converge in fewer iterations than the GJ algorithm,
and is usually the favored implementation for sequential processing. However, the faster convergence rate of the GS algorithm is derived from an ordering and scheduling of subcircuits that limits parallelism. Parallelism is limited by the
number of subcircuits that can be scheduled at each Seidel
level. Circuits that partition into long chains of subcircuits
with little fanout will have little parallelism to exploit,
whereas circuits like the DRAM error correction circuit shown
in Fig. 2 offer a great deal of potential parallelism. In contrast, parallelism using the GJ algorithm is limited only by
the number of subcircuits. With the GJ algorithm, during
waveform iteration (w 1) all subcircuits are solved using
input waveforms computed during iteration (w). Hence no ordering of subcircuits is necessary. This implies that once all
subcircuits have been solved for an iteration, all data are
available to schedule all subcircuits for the next iteration.
Consequently, the GJ algorithm has the potential for parallelism that is equal to and increases linearly with the number
of subcircuits.
351
Although the parallel GS method will retain a faster convergence rate over the GJ method (fewer iterations), because
of the limits on available parallelism, the time to complete
those iterations may actually be longer than the time to complete the GJ iterations. If the number of available processors
is large, the GJ algorithm will in general be able to use all of
them. The GS algorithm, on the other hand, will only be able
to use effectively a number of processors equal to the maximum number of subcircuits scheduled at any Seidel level.
Therefore, the GS algorithm is not necessarily the best algorithm for parallel processing. However, if the number of processors is smaller than the average number of subcircuits at
each Seidel level, then the GS method is probably the better
choice. In such cases parallelism will be limited by the number of processors, and the faster convergence rate of the GS
algorithm will result in a faster solution. In most applications
the number of processors is limited, whereas the number of
subcircuits and their relationship to one another is circuit dependent. The best implementation would be to include both
algorithms with automatic selection of the GS or GJ algorithms based upon circuit topology and the number of processors available to solve the problem.
Another implementation consideration is memory usage.
In order to determine convergence, at any iteration (w 1),
both GS and GJ algorithms require storage to hold computed
waveforms for iterations (w) and (w 1). For each subcircuit,
complete waveforms must be retained for all computed waveforms for two iterations. For a single processor, this implies
that all waveforms must be stored twice. However, on a multiprocessor system, each processor only needs to store iteration
(w) and (w 1) values for those waveforms that are actually
computed on that processor, along with waveforms for either
the (w) or (w 1) iteration of inputs solved on other processors. Input waveforms are needed for iteration (w) when using
the GJ algorithm and for iteration (w 1) when using the
GS algorithm. With the GS algorithm, newly computed waveforms can be shared with other processors immediately. However, unless each processor maintains storage for inputs for
both iterations (w 1) and (w), the GJ algorithm must delay
sharing newly computed waveforms among processors until
all processors have completed each waveform iteration. Otherwise, data for iteration (w 1) may overwrite data expected
to be for iteration (w). Consequently, the parallel GS method
can be implemented to use less storage per processor than the
GJ method. The alternative is to defer sharing of data until
all processors have completed an iteration. This can result in
communication bottlenecks and substantially reduce performance, especially for distributed-memory machines.
With the GS algorithm, data must be shared among processors throughout the analysis of a time window in order for
the solution to proceed. If input waveforms are not available
to solve a subcircuit, a processor may have to wait for data to
be computed on another processor. So not only does the GS
algorithm limit parallelism, it also may introduce bottlenecks
and adversely affect load balance among processors. In an attempt to reduce these effects, Zukowski and Johnson (60)
have reported implementation of a mixed SeidelJacobi or
bounded-chaotic algorithm that attempts to solve all subcircuits using the GS algorithm. However, if a processor is idled
due to lack of input waveforms for the current iteration, a
subcircuit is chosen to be solved using whatever waveforms
are available. Some inputs may be from the current, while
352
Ckt1
Ckt2
Ckt3
Ckt4
Ckt5
Ckt6
Ckt7
Ckt8
Ckt9
Ckt10
Ckt11
Ckt12
Ckt13
Ckt14
Ckt15
Ckt16
28
33
46
90
103
106
113
142
155
159
195
226
229
537
477
1025
116
162
134
246
242
297
278
175
285
288
355
316
352
815
1111
1509
loops are cut such that two (or more) similarly sized subcircuits are created, these subcircuits can be distributed among
the processors. Since we expect cut feedback loops to result in
additional WR iterations, it is advantageous to iterate multiple times during each WR iteration among subcircuits resulting from cut feedback loops. Table 3 gives timing results
for 16 circuits ranging in size from under 300 to over 93,000
transistors when all feedback loops are cut versus only cutting long loops where the feedback loop extends over several
subcircuits. These results were obtained using the experimental Victor, V256 processor described in Ref. 56, with the larger
circuits using all 256 processors.
SUMMARY AND CONCLUSIONS
We summarize the state of the waveform-relaxation techniques in this article. WR is a very active area of research as
is evident from the publications listed here, which are only a
fraction of all the work done in this area. Also, there are many
more relevant works on WR that are of interest. To mention
just a few topics of interest, there are the faster sensitivity
computations by Chen and Feng (61) and the related error
measuring technique by Gristede, Zukowski, and Ruehli (62).
Other work of importance is hierarchical WR by Saviz and
Wing (35). We hope that it is evident from this article that
WR is an interesting area of research with potential for further innovations as well as applications.
The WR approach shows a clear speed advantage for very
large circuits over conventional circuit solvers. However, even
today a fast workstation is required to run circuits that are
large enough to show substantial gains. This may be of interest for a large company or to a university, but it is of a lesser
interest to the average user of a circuit solver like the many
SPICE-like tools that may run on a small machine. We expect
that the WR approach will become much more popular with
the next generation of high-performance workstations, which
include multiple processors at a more moderate price. As is
evident from this article, the gains in compute time will be
substantial. We expect that the availability of parallel computing for a wider audience will make the WR algorithms of
more interest to EDA companies.
BIBLIOGRAPHY
1. L. W. Nagel, SPICE2, a computer program to simulate semiconductor circuits, Memo UCB/ERL M520, University of California,
Berkeley, May 1975.
2. W. T. Weeks et al., Algorithms for ASTAPa network analysis
program, IEEE Trans. Circuit Theory, CT-20: 628634, 1973.
3. R. Saleh, S-J. Jou, and A. R. Newton, Mixed-mode simulation and
analog multi-level simulation, Norwell, MA: Kluwer, May 1994.
4. C. Visweswariah and R. A. Rohrer, Piecewise approximate circuit
simulation, IEEE Int. Conf. Comput.-Aided Design ICCAD, November 1989.
5. A. Devgan and R. A. Rohrer, Adaptively controlled explicit simulation, IEEE Trans. Comput.-Aided Des. ICs Syst., CAD-13: 746
762, 1994.
6. A. E. Ruehli, A. L. Sangiovanni-Vincentelli, and G. Rabbat, Time
analysis of larger scale circuits containing one-way macromodels,
IEEE Trans. Circuits Syst., CAS-29: 185191, 1982.
7. E. Lelarasmee, A. E. Ruehli, and A. L. Sangiovanni-Vincentelli,
The waveform relaxation method for the time-domain analysis of
large scale integrated circuits, IEEE Trans. Comput.-Aided Des.
Integr. Circuits Syst., CAD-1: 131145, 1982.
8. J. White and A. Sangiovanni-Vincentelli, Relax2: A Modified
Waveform Relaxation Approach to the Simulation of MOS Digital
Logic, IEEE Proc. Int. Symp. Circuits Syst., Newport Beach, CA,
1983.
9. J. F. Beetem et al., A large scale mosfet circuit analyzer based
on waveform relaxation, Conf. Comput. Des., 1984, pp. 507514.
10. U. Miekkala and O. Nevanlinna, Convergence of waveform relaxation method, IEEE Int. Conf. Circuits Syst., ISCAS-88, 1988,
pp. 16431646.
11. A. Lumsdaine and J. White, Accelerating waveform relaxation
methods with applications to parallel semiconductor device simulation, Numer. Funct. Anal. Optimiz., 16 (34): 395414, 1995.
12. S. Vandewalle and R. Piessens, Numerical experiments with nonlinear multigrid waveform relaxation on parallel processor, Appl.
Numer. Math., 8: 149161, 1991.
13. B. Leimkuhler, Estimating waveform relaxation convergence to
parallel semiconductor device simulation, SIAM J. Sci. Comput.,
14: 872889, 1993.
14. P. Debefve, F. Odeh, and A. Ruehli, in A. Ruehli (ed)., Circuit
Analysis, Simulation and Design, New York: Elsevier, North-Holland, 1987.
15. K. Burrage, Parallel and Sequential Methods for Ordinary Differential Equations, Oxford, UK: Oxford Science, 1995.
16. C.-W. Ho, A. Ruehli, and P. Brennan, The modified nodal approach to network analysis, IEEE Trans. Circuits Syst., CAS-22:
504509, 1975.
17. K. R. Schneider, A remark on the waveform relaxation method,
Int. J. Circuit Theory Appl., 19: 101104, 1991.
18. J. White et al., Waveform relaxation: Theory and practice, Trans.
Soc. Comput. Simulation, 2 (2): 95133, June 1985.
19. J. White and A. Sangiovanni-Vincetelli, Relaxation Techniques for
the Simulation of VLSI Circuits, Norwell, MA: Kluwer, 1987.
20. C. H. Carlin and A. Vachoux, How to Use Underrelaxation to
Improve Waveform Relaxation Convergence, Proc. Int. Conf. Comput.-Aided Des. ICCAD-87, November 1987.
21. U. Miekkala and O. Nevanlinna, Sets of convergence and stability regions, BIT, 27 (4): 554584, 1987.
22. M. Reichelt, J. White, and J. Allen, Waveform Relaxation for
Transient Two-Dimensional Simulation of MOS Devices, Proc.
Int. Conf. Comput.-Aided Des. ISCAS-89, November 1989, pp.
412415.
353
354
43. R. Jeltsch and B. Pohl, Waveform relaxation with overlapping systems, Report No. 91-02, Seminar fur Angewandte Mathematik,
ETH Zurich, 1991.
44. F. Odeh, A. Ruehli, and C. H. Carlin, Robustness Aspects of
an Adaptive Waveform Relaxation Scheme, IEEE Proc. Int.
Conf. Comput. Des., ICCD, Rye, NY, October 1983, pp.
396399.
45. F. L. Juan and C. W. Gear, Accuracy increase in waveform Gauss
Seidel, Comp. Sci. Dept. Report 1518, University of Illinois, Urbana-Champain, 1989.
46. T. A. Johnson and A. E. Ruehli, Parallel Waveform Relaxation of
Circuits with Global Feedback Loops, Proc. 1992 Des. Automat.
Conf., Anaheim, CA, June 1992, pp. 1215.
47. L. Peterson and S. Mattison, The design and implementation of a
concurrent circuit simulation program for multicomputers, IEEE
Trans. Comput.-Aided Des. Integr. Circuits Syst., 12: 1004
1014, 1993.
48. P. Debefve, H. Y. Hsieh, and A. E. Ruehli, Wave Convergence
Algorithms for the Waveform Relaxation Method, IEEE Proc. Int.
Conf. Comput. Aided Des., ICCAD, November 1984.
49. S. Skelboe, Stability properties of backward differentiation
multirate formulas, Appl. Numer. Math., 5: 151160, 1989.
50. M. Gunther and P. Rentrop, Partitioning and multirate strategies in latent multirate electric circuits, Int. Ser. Numer. Math.,
117: 3360, 1994.
51. D. Smart and T. Trick, Increasing Parallelism in Multiprocessor
Waveform Relaxation, Proc. Int. Conf. Comput.-Aided Des. ICCAD-87, November 1987, pp. 360363.
52. A. Sangiovanni-Vincentelli, Parallel Processing for Simulation of
VLSI Circuits, Amsterdam: Elsevier,1988.
53. S. Raman and L. M. Patnaik, HIRECS: Hypercube implementation of relaxation-based circuit simulation, Int. J. High Speed
Comput., 1 (3): 399432, 1989.
54. R. Saleh et al., Parallel circuit simulation on supercomputers,
Proc. IEEE, 77: 19151931, 1989.
55. P. Odent, L. Claesen, and H. DeMan, Acceleration of relaxationbased circuit simulation using a multiprocessor system, IEEE
Trans. Comput.-Aided Des., 9: 10631072, 1990.
56. T. A. Johnson, Waveform-relaxation-based circuit simulation on
the Victor V256 parallel processor, IBM J. Res. Devel., 35 (5):
707720, 1991.
57. A. D. Jalnapurkar, C. D. McCrosky, and H. C. Wood, Simulation
of MOS VLSI circuits using parallel processors, 1993 Wescanex,
1993, pp. 171176.
58. P. Banerjee, Parallel Algorithms for VLSI Computer-Aided Design,
Englewood Cliffs, NJ: Prentice-Hall, 1994.
59. L. Peterson and S. Mattisson, Dynamic Partitioning for Concurrent Waveform Relaxation Based Circuit Simulation, 1993 IEEE
Int. Symp. Circuits Syst., May 1993, pp. 16391642.
60. D. Zukowski and T. A. Johnson, Efficient Parallel Circuit Simulation Using Bounded-Chaotic Relaxation, 1992 IEEE Int. Symp.
Circuits Syst., May 1992, pp. 911914.
61. C.-J. Chen and W.-S. Feng, Transient Sensitivity Computations
of MOSFET Circuits Using Iterated Timing Analysis and Selective-Tracing Waveform Relaxation, Proc. 1994 Des. Automat.
Conf., San Diego, CA, June 1994, pp. 581585.
62. G. D. Gristede, C. A. Zukowski, and A. E. Ruehli, Measuring error propagation in waveform relaxation algorithms, IBM Res. Report, June 1997.
ALBERT E. RUEHLI
IBM Research Division
THOMAS A. JOHNSON
IBM Microelectronics Division
74
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
75
1
F(x(0))
F(x j (0))
x(0) x(0)=x j (0)
j+1
(0) = x (0) =
j
(3)
(4)
Let (xj(t), yj(t)) be the solution at the jth iteration of Eq. (3).
To obtain the variational equation, set
x(t) = x j (t) + (t),
(5)
(t)
f f f
x=x j (t) = 0
x x y y=y j
(t)
(6)
The transient responses of nonlinear circuits are uniquely decided once the initial guess x(0) of the state-variables is given.
Therefore, the steady-state response can be found if we can
find the solution satisfying x(0) x(T) 0. The equation is
efficiently solved by the Newton and extrapolation methods.
Forced Circuits
In the computer-aided analysis of nonlinear circuits with periodic inputs, the steady-state periodic response is found by
simply integrating the system equation from a given initial
point until the response becomes periodic, which is called a
brute-force method. In lightly damped systems, however, the
method requires much more computation time. In this section, the Newton algorithm (2) is shown which converges rapidly to the steady state.
Consider a set of the system equations
f(x,
x, y, t) = 0,
(1)
where x is the state variable vector, y the nonstate variable vector. Then, the steady-state solution satisfies
the following determining equation:
n
f(x j , x j , y j , t) +
(2)
(t) = (t)(0)
(9)
x(T )
= (T )
x(0)
(10)
which corresponds to
(8)
In practice, the fundamental matrix solution (T) can be obtained by solving the time-varying sensitivity circuit from n
different unit initial values for the state-variables.
Example
Now, we show the efficiency of the shooting method for the
RC-amplifier shown in Fig. 2(a). A comparison between the
brute-force method and the shooting method are shown in
Fig. 2(b), where the transistor is modeled by the Ebers-Moll
model (24). We can calculate the steady-state response with
five iterations, where the error is defined by
x (t)
x (0)
x (T )
j =
(v (0) v
j
1
j
(T ))2
1
Oscillator Circuits
76
Eb
R7
R8
C1
C3
101
v3
102
T
R4
e
V1
R6
(11)
where x is the state variable vector and y the non-state variable vector. The period T is considered as a variable. It is
defined by the time difference between one of the state variables xk passing through the same value xk0 in the transient
response as shown in Fig. 3.
Thus, the steady-state response satisfies the following determining equation:
F(x(0), T ) = x(0) x(T ) = 0
(12)
C2
0
10
15
Iteration
(a)
v2
103
104
f(x,
x, y) = 0,
Newton method
R9
+ R5
Transient response
(13)
(b)
Example
Consider an oscillator with a tunnel diode as shown in Fig. 4.
The system equation is given by
dvC
+ iL = 0
dt
di
L L vC = 0
dt
f (vC + E) + GvC +
f (vC + E) = 1 vC + 3 vC3 + I0 ,
(0)
t=0
Tj
(Tj)
t=Tj
xkj
(t)
F1 (vC (0), T )
v (0)
v (T )
= C
C
=0
F2 (vC (0), T )
iL (0)
iL (T )
(15)
F1
v (0)
C
F2
vC (0)
F1
t
F2
t
t=T
vC (t)
1 v (0)
C
=
iL (t)
vC (0)
vC (t)
t
i (t)
L
t
t=T
+
C vC
G
E
(14b)
1 , 3 > 0
xkj
(14a)
where
iL
xkj
20
v Cj+1 (0)
v Cj (0)
1
=
0
T j+1
Tj
77
1
v Cj (0) v Cj (T j )
0
j
[J ]
i Lj (0) iL (T j )
0
g(0) =
1 X(T1 )
(21)
where
1
1
0
0
1
sin 2 T1
sin M2 T1
cos 2 T1
= 1
cos 22 T1
sin 22 T1
sin 2M2 T1
.....................................................
1 cos 2M2 T1 sin 2M2 T1 sin(2M)2 2 T1
g2,0 (0)
gn,0 (0)
g1,0 (0)
g2,1 (0)
gn,1 (0)
g (0)
g(0) = 1,1
. . .. . . . . . . .. . . . . . . .. . . . . . . .. . . . . . . ..
g1,2M (0) g2,2M (0) gn,2M (0)
j = 0, 1, 2, . . .
where
vC (t)
v (0)
C
Jj =
iL (t)
iL (0)
vC (t)
dt
iL (t)
t
t=T j
(16)
dvC
= iC ,
dt
x2 (0)
xn (0)
x1 (0)
x2 (T1 )
xn (T1 )
x (T )
X(T1 ) = 1 1
........................................
x1 (2MT1 ) x2 (2MT1 ) xn (2MT1 )
diL
= vL
dt
we have
1
iL (t)
1
vC (t)
i
v
=
,
=
L
t t=T j
C C t=T j
t t=T j
L t=T j
(17)
M
k=1
1 ]T
T2M+1
= X(T1 )T [
(22)
(23)
1 ]T
T2M+1 = 0
F(x(0)) = x((2M + 1)T1 ) X(T1 )T [
(24)
Quasi-Periodic Solutions
Now, consider a system with two input signals.
f(x,
x, y, 1 t, 2t) = 0
(18)
x(t) = g0 (t) +
M
(19)
k=1
x(mT1 ) = g0 (0) +
M
j = 0, 1, 2, . . .
F(x(0))
= ((2M + 1)T1 )
x(0)
1
22
1
2n
1
bk 21
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. .
k=0
n1 (kT1 ) n2 (kT1 ) . . . nn (kT1 )
(26)
where
k=1
(20)
(25)
[b0
b1
1 ]T
T2M+1
b2M ]T = [
78
Extrapolation Method
Example
Consider the differential-pair amplitude modulator circuit
(26) shown in Fig. 5(a), where e1(t) and e2(t) denote the carrier
and signal input, respectively. The steady-state waveform is
shown in Fig. 5(b). The transistor is modeled by the EbersMoll model in the simulation.
x(T ) = P(x(0))
+VCC
(27)
+VCC
RL
C
+
T1
VO
T2
e1(t) +
T3
+
e2(t)
R1
VE
VCC
(a)
Vo
15.0
10.0
2T1
4T1
6T1
8T1
10T1
12T1
14T1
16T1
18T1
20T1
2T1
4T1
6T1
8T1
10T1
12T1
14T1
16T1
18T1
20T1
5.0
Veb
0.25
0.25
(b)
Figure 5. (a) Differential-pair amplitude modulator circuits; Vcc 10 V, VE 5 V, L 2 mH,
C 500 pF, RL 20 k; e1(t) 0.01 cos 106t and e2(t) 5.3 cos 0.115 106t, id 108(e40vd 1),
d 99. (b) Steady-state waveforms of v0 and veb of T1.
(28)
k(n)
with undetermined coefficients (X0, X1, . . . , X2M1, X2M). Substituting Eq. (33) into Eq. (32), we consider the following
equation with the undetermined coefficients.
dxM
1
=
dt
T
(n1)
k1
j = 0, 1, 2, . . .
(n)
(n1)
+ 1/(k1
k1
)
k = 1, 2, . . .,
(29)
F0 () =
(30)
v v
= v /v
T
f(xM ( ), ) d
n = k, k + 1, . . .
(n)
x k (0) = 2k
,
T
M
1
+
cos kt
f(x)M( ), ) cos k d
2T k=1
0
T
+ sin kt
f(xM ( ), ) sin k d
(34)
j = 0, 1, 2, . . .
0( j) = x j (0),
79
(31)
1
T
F2k1 () =
1
2T
F2k () =
1
2T
f(xM ( ), ) d = 0
(35a)
T
0
(35b)
(35c)
T
0
k = 1, 2, . . ., M
where (X0, X1, . . ., X2M1, X2M). Suppose Eq. (35) has a
solution (X0, X1, . . ., X2M1, X2M). Then, the approximate
solution is given by
xM (t) = X0 +
M
(36)
k=0
FREQUENCY-DOMAIN APPROACH
The steady-state waveform of a nonlinear circuit can always
be described by a trigonometric polynomial. Each harmonic
component must respectively balance in the circuit equation.
Thus, if we consider the M frequency components plus the dc
component, we have a set of N(2M 1) algebraic equations
for N nonlinear elements. The equations can solved by the
Newton and/or the relaxation methods. Note that FFT (the
fast Fourier transformation) is often used for the Fourier
transformation in the frequency-domain approaches.
xM (t) = X0 +
k=0
(37)
(32)
iG = G (v)
e(t)
C iL
(38)
iG
v(t)
(33)
Figure 6. Simple LRC circuit with a nonlinear resistor.
80
v(t) = V0 +
M
where
JG,0,0 =
(39)
JG,2m1,2k
1
=
2T
JG,2m,2k1 =
(40)
k=1
JG,2m,2k
where
IL,1
IL,2k1
C(V2 + Em sin )
C(V1 + Em cos )
, IL,2 =
=
1 2 LC
1 2 LC
kCV2k1
kCV2k
, IL,2k =
=
1 (k)2 LC
1 (k)2 LC
k = 2, 3, . . ., M
iG (t) = IG,0 +
M
(41)
k=1
(42a)
(42b)
(42c)
j = 1, 2, 3, . . .
(43)
for yI (k) =
G
cos mt sin kt dt
v
G
sin mt cos kt dt
v
G
sin mt sin kt dt
v
(45)
m = 1, 2, . . ., M
v(t) = V0 +
M
(47)
k=1
yI (k)
,
0
G
cos mt cos kt dt
v
k = 1, 2, . . ., M,
k = 1, 2, . . ., M
0
Yk (k) =
yI (k)
1
=
2T
iG = G (v)
1
2T
(42)
G
dt
v
T
0
1
JG,2m1,2k1 =
2T
k=1
iL (t) =
1
T
kC
1 (k)2 LC
On the other hand, the Jacobian matrix of the nonlinear resistor is given by
JG,0,1
JG,0,2M
JG,0,0
J
JG,1,1
JG,1,2M
JG G,1,0
(44)
.................................
JG,2M,0 JG,2M,1 JG,2M,2M
where
k = m1k 1 + m2k 2 + + mrk r
(48)
(49)
where iL(t) and iG(t) denote the currents in the linear and nonlinear subnetworks in Fig. 7(b).
Let us calculate the steady-state solution using an iterational technique in the frequency-domain. Assume the solution at the jth iteration is given by
v j (t) = V 0j +
M
k=1
j
j
(V2k1
cos k t + V 2k
sin k t)
(50)
iG
iL
e1 +
+
NL
iG
iL
e1 +
81
+
+
NL
v(t)
j(t)
j(t)
(a)
(b)
iG j+1
e1 +
+
NL
IGj
Goj
v j+1
NL
Goj
j(t)
j(t)
v(t)
(d)
(c)
Figure 7. Relaxation circuit: (a) Nonlinear circuit with a voltage-controlled resistor; (b) Partioning into a linear and a nonlinear subcircuit; (c) Approximation of the linear time-invariant equivalent circuit, where jj(t) G(vj) G0vj; (d) Sensitivity circuit.
(51)
where
v(t) = V0 +
M
(52)
k=1
G 0j
v
(53b)
where
G j (t)
M
G
j
j
j
=
G
+
(G 2k1
cos k t + G 2k
sin k t)
0
v v=v j
k=1
(54)
The symbols L and S denote linear operators which transform the voltage v(t) and the sources (e(t), j(t)) respectively
(55)
Observe that the convergence ratio will depend on the nonlinearity given by the difference G j(t) G 0j . Now, we define the
residual error current
j (t) L (v j ) + S (e(t), j(t)) + G (v j )
(56)
Thus, we can obtain the equivalent circuits of Fig. 7(c) and (d)
from the relations Eq. (53b) and Eq. (55), respectively, where
j j (t) = G (v j ) G 0j v j
We call the circuits relaxation circuits, which can be easily
solved by the phasor technique for each frequency component.
The iteration will be continued until the variation satisfies
2M
|V kj V kj+1 | <
(57)
k=0
82
^
iG = iG(vG )
RC
i
+
vC
1
i = R vc
c
iG
i = f(v)
vG
v
Figure 8. Compensation technique: (a) Series compensation
by Rc; (b) a schematic diagram of weakening the nonlinearity.
(a)
(b)
v(t) = V0 +
M
(61)
2
;
n
n = GCM{n1 , n2 , . . ., r}
iN11
e(t)
(62)
iN21
+
v1(t)
N1
iN12
ip22
N2
+
v2(t)
j(t)
(a)
e(t)
iN11
iN21
v1(t)
iN12
ip22
N1
j(t)
N2
v2(t)
(b)
(58)
k=0
(59)
i = 1, . . ., r;
k = 1, . . ., M
(v )
(vk)
(v )
(vk)
j
(t)
1
+
v1(t)
(v )
(v )
y211 k y212 k
j
(t)
2
y221 k y222
+
v2(t)
y111 k y112
(v )
y121 k y122
(vk)
(60)
(c)
Figure 9. Circuit partitioning: (a) A given circuit; (b) Partition into
two groups of N1 and N2; (c) The sensitivity circuit for calculating the
variation v1, v2.
and the steady-state solution will satisfy the following determining equation:
F(v) = iN1 (v, e(t), j(t)) + iN2 (v) = 0
(63)
j =
83
1
T
T
0
(71)
k=2M+1
v j (t) = V 0j +
M
j
j
(V 2k1
cos k t + V 2k
sin k t)
(64)
k=0
(65)
v(t) = V0 +
M
(66)
k=0
Example
(67)
M
j
(2k1
cos k t + sin k t)
(68)
k=0
j
j
(v) and YN2,0
(v) are the time-invariant linear operators
YN1,0
obtained from the sensitivity circuit at the jth iteration. Since
in many practical applications, the differences of the linear
operators in each iteration are small enough, we can approximate them with those at the zeroth iteration, which correspond to the incremental admittance matrices at the operating point. Thus, the variational values are calculated by
j
j
[ YN1,0 ( jk ) + YN2,0 ( jk )](V2k1 + jV2k ) = 2k1
+ j 2k
k = 1, 2, . . ., M
(69)
where Y is the complex conjugate. The iteration is continued
until the variation satisfies
V <
for a given small .
The hybrid harmonic balance method needs to apply the frequency-domain relaxation method to the weakly nonlinear
subnetworks N1, and the time-domain method to the nonlinear subnetworks N2 at each iteration. The variation v(t) can
be simply obtained by the use of the admittance matrices.
Therefore, the algorithm is very efficient compared with other
algorithms. The practical circuits are composed of many kinds
of subnetworks such as amplifiers, filters, multipliers and
pulse circuits, and so on. For example, consider a modulator
circuit composed of a multiplier, filter, and amplifier, where
the multiplier is only a nonlinear subnetwork, and the filter
and amplifier are the linear subnetworks for small signals
even if it contains nonlinear elements such as transistors. The
response of the linear subnetwork can be easily calculated by
the phasor technique such as the SPICE ac-analysis tool. Furthermore, it is sometimes possible to partition the circuits
into linear and nonlinear subnetworks such that the nonlinear subnetworks have large damping terms. In these cases,
we only need the time-domain analyses of the nonlinear subnetworks at each iteration. Thus, the algorithm (20) will become much more simple and efficient.
(70)
84
Small
input
+
R1
Vout
R2
Tr2 Tr3
E2
e1 (t)
R3
E2
Tr4
E2
Tr5
Output
Periodic excitation
Tr1
Nonlinear
circuit
Tr6
R4
E3
E3
C1
a1
e2(t)
C2
b1
R5
f(x(t), x(t))
= e(t)
(a)
102
(72)
V(V)
103
105
0.0
0.2
0.4
0.6
(GHz)
0.8
1.0
1.2
(b)
(73)
where
u(t) = e(t)
101
f(t)
x(t) x(t )=x (t )
st
f(t)
c(t) =
x(t)
x (t )=x (t )
g(t) =
Variation
102
st
103
105
Small
input
3
4
Iteration
Periodic
nonlinear
circuit
Output
(c)
Figure 10. (a) A mixer circuit R1 R2 100 , R3 R4 10 k,
C1 C2 10 nF, E1 5 V, E2 2.5 V, E3 12 V e1(t) 0.03 sin 2
0.11 109t, e2(t) 0.02 sin 2 0.1 109t. (b) Frequency spectrum
of output waveform; (c) Convergence ratio.
Small
input
Linear periodic
time-varying
(LPTV) circuit
Output
ej ot
e j t
H1( j )
H1( j )e
H0( j )
H0( j)e
H1( j )
H1( j)e
j( + o)t
j t
x(t)
j( + o )t
gm x(nT + m ) + cm x(nT
+ m ) = ue j(nT + m )
e jot
Figure 13. Representation of a periodic time-varying transfer function by LTI filters and mixers.
The small signal response x(t) for Eq. (73) can be written
using the LPTV transfer function (30).
x(t) =
1
2
H( j, t)U( j)e jt d
gm +
cm
hm
J1
C
2
m=1
hm ,
m =
(76)
u
X1
X u
2
=
XP
JP
u
C1
J2
CP
(77)
where
Hl ()e
j(+l 0 )t
where o 2/T. The Fourier coefficients Hl() represent linear time-invariant (LTI) filters. Figure 13 shows a representation of an LPTV transfer function by LTI filters and mixers.
It can be considered that the first Fourier coefficient H0()
represents a transfer function without frequency translation,
while Hl() for l 0 represents transfer functions with frequency translation from to lo.
For example, H0() is used for the calculation of the baseband frequency characteristics of an SCF. H1() is used for
the calculation of conversion gain of an up-conversion mixer
circuit and H1() is used for down-conversion mixer circuits.
The Fourier coefficients Hl() of an LPTV transfer function
can be calculated by solving LPTV differential Eq. (73). There
are two major techniques. One is the frequency-domain
method using conversion matrices (3133) based on the harmonic balance technique. The other is the time-domain
method (16,34) using numerical integration. The time-domain
method is described briefly here.
In the time-domain method, an LPTV transfer functions at
discrete times, that is, H( j, m), m 1, 2, . . ., P, is calculated by using periodic time-varying parameters, where the
variable definitions are as follows:
P
cm
x(nT + m1 ) = ue j(nT + m )
hm
(75)
Xm = X(, m ) = H(, m )u
cm
cm
Jm = g m +
, Cm = e jh m
hm
hm
l=
T=
x(nT + m )
(74)
85
m
k=1
hk ,
p = T,
0 = 0
86
CH
C13
1
C12
In
C1
C11
C01
C32
C3
C2
C21
2
1
C23
C31
Unit capacitor:0.2pF
C4
C1
C11B
C2
C01
C4
1
C45
C51
C34T
C34A
C9
C45
2
1
Out
CD34
C34 1
C12
C11A
C11T
C34
C34
the conventional transient analysis because of the large difference between the output frequency and the RF and LO signal frequencies. Here, an RF input signal can be considered
as a perturbation, because the circuit usually treats a small
RF input. First, the periodic response with the LO signal is
found. Then, the conversion gain from the RF input to the LF
output, i.e., H1(), is computed. Figure 17 shows conversion
gains and measured values for various levels of LO signal.
Measured
Gain (dB)
50k
10k
120
10
L
|Hl ( l0 )|2 s(
l0 )
(78)
l=L
30
90
S() =
60
1k
100
ON resistance values
100
1k
10k
100k
Frequency (Hz)
Figure 15. Small signal responses of the eighth-order SC-BPF.
87
22k
0.1
LF
10k
22k
0.1
1.2k
1.2k
Vcc
1k
51k
940p
940p
LO
RF
470p
3.9k
100
1.1
Figure
circuit.
Simulation
Measured
20
15
15
LO level (dBm)
Figure 17. Conversion gain of the direct conversion mixer circuit.
( 0)
s( + 0)
s( )
s( 0)
mixer
Oscillator Noise
25
20
25
conversion
Noise analysis methods for LPTV circuits including cyclostationary noise sources have been described in previous
studies (16,34). Roychowdhury (35) discusses the frequencydomain method using the harmonic balance algorithm and
Okumura (16) presented a time-domain method.
35
30
16. Direct
S ()
H0( )H *0( )
88
7.5V
2.2k 470p
R5
7.5k
470p
2.2k
Q2
Q1
Example
An example is a Wien bridge oscillator shown in Fig. 19. This
circuit oscillates at 141.655 kHz. Figure 20 shows the noise
spectral density of total noise and a line spectrum of the
steady-state oscillator output. Noise sources considered are
also thermal noise of resistors, shot noise, and flicker noise
of transistors. Flicker noise is approximated by a stationary
colored noise. The noise in this figure contains both amplitude
noise and phase noise. This realizes a situation similar to that
when the output is measured by a spectrum analyzer.
1.5k
R1
10
47u
R7
10
470
BIBLIOGRAPHY
1. L. O. Chua and P. M. Lin, Computer-Aided Analysis of Electronic
Circuits: Algorithms and Computational Techniques, Englewood
Cliffs, NJ: Prentice-Hall, 1975.
2. T. J. Aprille, Jr. and T. N. Trick, Steady-state analysis of nonlinear circuits with periodic input, Proc. IEEE, 60: 108114, 1972.
3. T. J. Aprille, Jr. and T. N. Trick, A computer algorithm to determine the steady-state response of nonlinear oscillators, IEEE
Trans. Circuit Theory, CT-19: 354360, 1972.
4. F. B. Grosz and T. N. Trick, Some modifications to Newtons
method for the determination of the steady-state response of nonlinear oscillatory circuits, IEEE Trans. Comput.-Aided Des., CAD1: 116119, 1982.
5. M. Kakizaki and T. Sugawara, A modified Newton method for
the steady-state analysis, IEEE Trans. Comput.-Aided Des., CAD4: 662667, 1985.
6. S. Skelboe, Computation of the periodic steady-state response of
nonlinear networks by extrapolation methods, IEEE Trans. Circuits Syst., CAS-27: 161175, 1980.
7. K. S. Kundert, J. K. White, and A. Sagiovanni-Vincentelli,
Steady-State Methods for Simulating Analog and Microwave Circuits, Boston: Kluwer Academic Publishers, 1990.
Carrier spectrum
50
100
Noise spectrum
density
130k
140k
150k
Frequency (Hz)
Figure 20. Noise spectral density and carrier spectrum.
11. K. S. Kundert and A. Sangiovanni-Vincentelli, Simulation of nonlinear circuits in frequency domain, IEEE Trans. Comput.-Aided
Des. Integr. Circuits Syst., CAD-5: 521535, 1986.
12. H. Makino and H. Asai, Relaxation-based circuit simulation techniques in the frequency domain, IEICE Trans. Fundam., E76-A:
626630, 1993.
13. L. O. Chua and A. Ushida, Algorithms for computing almost periodic steady-state response of nonlinear systems to multiple input
frequencies, IEEE Trans. Circuits Syst., CAS-28: 953971, 1981.
PERMANENT MAGNETS
14. C. K. Petersen, Computation of quasi-periodic solutions of forced
dissipative systems, J. Comput. Phys., 58: 395408, 1985.
15. M. Okumura, T. Sugawara, and H. Tanimoto, An efficient small
signal frequency analysis method of nonlinear circuits with two
frequency excitations, IEEE Trans. Comput.-Aided Des. Integr.
Circuits Syst., CAD-9: 225235, 1990.
16. M. Okumura, H. Tanimoto, T. Itakura, and T. Sugawara, Numerical noise analysis for nonlinear circuits with a periodic large signal excitation including cyclostationary noise sources, IEEE
Trans. Circuits Syst., CAS-40: 581590, 1993.
17. Y. Shinohara, Galerkin method for autonomous differential equations, J. Math. Tokushima Univ., 15: 5385, 1981.
37. D. B. Leeson, A simple model of feedback oscillator noise spectrum, Proc. IEEE, 329330, 1966.
38. K. Kurokawa, Noise in synchronized oscillators, IEEE Trans. Microw. Theory Tech., MTT-16: 234240, 1968.
39. A. A. Sweet, A general analysis of noise in Gunn oscillators, Proc.
IEEE, 9991000, 1972.
40. F. X. Kaertner, Analysis of white and f noise in oscillators, Int.
J. Circuit Theory Appl., 18: 485519, 1990.
41. A. Demir and A. L. Sangiovanni-Vincenteli, Simulation and modeling of phase noise in open-loop oscillators, Proc. IEEE Custom
Integr. Circuits Conf., 1996.
AKIO USHIDA
Toshiba Corporation
20. T. Sugimoto, Y. Nishio, and A. Ushida, SPICE oriented steadystate analysis of large scale circuits, IEICE Trans. Fundam., E79A: 15301537, 1996.
21. A. Ushida and L. O. Chua, Frequency-domain analysis of nonlinear circuits driven by multi-tone signals, IEEE Trans. Circuits
Syst., CAS-31: 766778, 1984.
22. K. S. Kundert, G. B. Sorkin, and A. Sangiovanni-Vincentelli,
Applying harmonic balance method to almost-periodic circuits,
IEEE Trans. Microw. Theory Tech., MTT-36: 366378, 1988.
23. A. Ushida, T. Adachi, and L. O. Chua, Steady-state analysis of
nonlinear circuits based on hybrid methods, IEEE Trans. Circuits
Syst. I, Fundam. Theory Appl., CAS-39: 649661, 1992.
24. J. J. Ebers and J. L. Moll, Large-signal behaviour of junction
transistors, Proc. IRE, 42: 17611772, 1954.
25. T. Endo and T. Ohta, Multimode oscillations in a coupled oscillator system with fifth nonlinear characteristics, IEEE Trans. Circuits Syst., CAS-27: 277283, 1980.
26. K. K. Clarke and D. T. Hess, Communication Circuits: Analysis
and Design, New York: McGraw-Hill, 1969.
27. P. Wynn, Acceleration techniques for iterated vector and matrix
problems, Math. Comput., 10: 301322, 1962.
28. L. O. Chua, Introduction to Nonlinear Network Theory, New York:
McGraw-Hill, 1969.
29. P. Penfield, Jr., Circuit theory of periodically driven nonlinear
systems, Proc. IEEE, 54: 266280, 1966.
30. L. A. Zadeh, Frequency analysis of variable networks, Proc. IRE,
32: 291299, 1950.
31. V. Rizzoli, C. Cecchetti, and A. Lipparini, Frequency conversion
in general nonlinear multiport devices, IEEE MTT-S Int. Microw.
Symp. Dig., pp. 483486, 1986.
32. V. Rizzoli and A. Neri, State of the art and present trends in
nonlinear microwave CAD techniques, IEEE Trans. Microw. Theory Tech., 36: 343365, 1988.
33. S. A. Maas, Nonlinear Microwave Circuits, Dedham, MA: Artech
House, 1998.
34. M. Kakizaki and T. Sugawara, An efficient numerical analysis
method for small signal frequency response from periodically operating circuits, Proc. IEEE Int. Symp. Circuits Syst., pp. 579
582, 1985.
35. J. S. Roychowdhury and P. Feldman, A new linear-time harmonic
balance algorithm for cyclostataionary noise analysis in RF circuits, Proc. Asia South Pac. Des. Auto. Conf., pp. 483492, 1997.
36. M. Okumura and H. Tanimoto, A time-domain method for numerical noise analysis of oscillators, Proc. Asia South Pac. Des.
Auto. Conf., pp. 477482, 1997.
89
Tokushima University
MAKIKO OKUMURA
673
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
674
AREAS OF APPLICATION
At the present stage of their development, interval methods
have covered the following two major areas of application: (1)
robust analysis of linear circuits (and systems); (2) analysis
of nonlinear circuits with exact data. The former topic is characterized by uncertain parameters which take on values
within certain domains and most often these domains are
given as intervals. The objective of the analysis is to check
whether the circuit investigated is robust against the parameter variations, that is, to assess whether a certain output
characteristic of the circuit remains within prescribed bounds
for all possible variations of the uncertain parameters. More
specifically, interval methods have proved successful in solving the following robustness problems.
Tolerance Analysis
In this problem the output characteristic is typically the dc or
rms value of a voltage (current), and it is necessary to determine the voltage range under all admissible variations of the
parameters, that is to determine the tolerance on the output
characteristic given the tolerances on the input parameters.
Two statements of the tolerance problem are encountered: (1)
worst-case (deterministic) and (2) probabilistic statement.
In the former case, each parameter varies independently
from the rest within a given interval. Thus the tolerance on
the output variable accounts for the worst possible combinations of the admissible values of the input parameters.
In the latter case, the highly improbable combinations are
eliminated by introducing a suitable probabilistic law of distribution which takes into account the interdependence
among the parameter values.
Both tolerance problems are formulated as an associated
global optimization problem. The latter problem is solved by
various interval methods: zero-order method (using no derivatives of the functions involved), first- and second-order methods (using first- and second-order derivatives, respectively).
The worst-case tolerance problem is also formulated as a specific system of linear equations with independent or dependent interval coefficients. This mathematical model proves
more efficient than the global optimization formulation in the
case of electric circuits of increased size. Exact solution of the
dc tolerance problem and approximate solutions to the ac tolerance problem are thus derived.
Robust Stability
Now the objective of the analysis is to establish that the circuit investigated remains stable for all admissible independent parametric variations given as intervals. This basic
problem is extended to encompass various alternative formulations in which certain stability margins are introduced. Two
approaches to treating the robust stability problem are
known. According to the first, the stability of the circuit investigated is assessed by an associated characteristic polynomial
whose coefficients, in the general case, are nonlinear functions of the interval parameters. The second approach is associated with assessing the stability of a corresponding interval
matrix (a matrix whose elements are intervals). Extending
some known results on stability for exact data circuits, necessary and sufficient conditions and simpler sufficient conditions are thus obtained for checking the stability, instability,
or stability margin of linear circuits and systems with interval parameters.
Transient Analysis
This application area is concerned with transient analysis of
linear circuits with uncertain (interval) parameters. In fact,
the robust problem considered is a dynamic generalization of
the static, worst-case tolerance analysis problem. Unlike the
latter problem, the input interval data may, however, include
not only the circuit parameters, but also input exitations and
initial conditions. Each combination of these input parameters determines a corresponding output variable which is a
function of time. In the most general case, the objective of the
analysis is to verify whether the set of all output variable
functions related to the set of admissible input parameters
remains within a given preset funnel. Various special cases
are also possible. A well-known example is the problem where
the output variable should not exceed some prescribed threshold value (typically, the tolerated overshoot of the dynamic
system investigated) under all admissible parametric variations (therefore, in control engineering literature, the transient analysis problem is usually called the robust performance problem). A similar problem arises in setting relay
protections where the relay should not react to all responses
of the circuit protected caused by normal parametric variations but should do so under abnormal conditions. Once
again, determining the maximum value of the corresponding
circuit response under all possible parametric changes is of
paramount importance.
A basic assumption in solving the robust transient (performance) problem is the assumpton that the linear circuit investigated is robustly stable. This can be checked by an appropriate method for analyzing robust stability.
Various methods for exact or approximate solution of the
transient analysis problem have been proposed. In the simplest case, the relationship between the input parameters and
the output variable must be available in a closed explicit form
which is possible only for circuits of low complexity (circuits
whose transients are described by a differential equation of
first or second order). In this case, the transient analysis
problem is solved exactly. For circuits of higher complexity,
two alternative formulations have been suggested. The for-
mer formulation is in the frequency domain whereas the latter is in the time domain. Several methods for exact and approximate solutions have thus been developed.
Interval methods have also proved a reliable and efficient
tool for analyzing and simulating nonlinear circuits. For the
time being, they have mainly been applied to treating circuit
analysis problems with exact data.
Nonlinear Circuit Analysis
Nonlinear Resistive Circuits. Global analysis (locating all operating points) of nonlinear circuits is one of the most challenging nonlinear problems. The interval approach has made
possible the complete solution of the global analysis problem
relative to the class of nonlinear resistive circuits. This problem has two versions depending on whether the nonlinear resistors involved are modeled by piecewise-linear (PWL) functions or by continuously differentiable (CD) functions. The
traditional methods solve the former problem only in the case
where the resistive circuit equations are written in the socalled hybrid-representation form (9). Traditional methods do
not guarantee the location of all operating points for circuits
whose nonlinear elements are modeled by CD functions. In
contrast, existing interval methods find all operating points
infallibly within prescribed accuracy in the general case,
where the resistive circuit is described by a system of nonlinear equations of general form, and in the case of equations of
the hybrid form.
Nonlinear Dynamic Circuits. This class of circuits presents
a vast domain for interval analysis applications. Presently,
the interval approach has been employed to solve the following two problems. First, a global analysis problem of finding
all the periodic steady states of a given period arising in a
nonlinear electric circuit has been addressed. A method for
solving this problem in the case of circuits of low dimension
(described by nonlinear differentiable equations of up to second or third order) has been suggested. Second, the challenging problem of establishing the uniqueness of a periodic
steady state has also been considered. A new result has been
obtained for a special case of circuits for which the system of
nonlinear differential equations describing the circuit is of the
so-called separable form (6). A sufficient condition for uniqueness of the periodic steady state in this class of circuits is
suggested which reduces the original uniqueness problem to
that of checking the stability of an associated interval matrix.
The latter problem is efficiently solved by an approximate interval method.
VIRTUES AND DRAWBACKS OF THE INTERVAL APPROACH
Interval methods have a number of appealing features. One
of their fundamental virtues is that, unlike the traditional
methods where each computed output value is obtained as a
real number, they provide each output result as an interval.
The interval contains the result sought, thus guaranteeing
infallible bounds on the true value of the respective output
value. Using the so-called machine arithmetic, interval methods automatically account for roundoff errors when implemented by computer. For this reason they are often termed
self-validating methods. Interval methods are more reliable
than their noninterval counterparts. This is particularly true
675
for the class of iterative methods used to solve nonlinear problems. Interval iterative methods always converge globally in a
finite number of steps whereas their noninterval counterparts
sometimes do not. Also, natural stopping criteria exist for interval iterations. One simply iterates until the bounds are
sufficiently sharp (the resulting interval is narrow enough) or
no further reduction of the interval bounds is possible. The
latter occurs when rounding errors prevent further accuracy
improvement. Interval methods solve nonlinear problems
globally. Thus, these methods find all solutions of a set of nonlinear equations is a given rectangular region (a box). Similarly, they find the global optimum (s) of an (unconstrained
or constrained) optimization problem in a finite number of
steps with guaranteed accuracy. Using traditional (point)
methods, one faces the risk of terminating the computational
process prematurely before globality is reached or continuing
it uselessly in the hope of finding new solutions or better local
optima (long after globallity has actually been reached). Interval methods require shorter computational time in most of
the cases studied so far. However, the transcendent virtue of
the interval approach is that it solves problems which were
previously insoluble. For instance, before the use of interval
methods, it has been impossible to find with certainty all operating points in resistive nonlinear circuits described by CD
functions.
On the other hand, programming and using interval methods is presently less convenient than traditional methods. Indeed, all the interval operations involved in the method used
have to be programmed individually for every problem being
solved by the developer or user of the method. This lack of
convenience, however, is avoidable. High-level algorithmic
languages already exist (e.g., Pascal SC, Fortran SC, Ada,
C), which permit intervals to be declared as a special data
type. Special routines to do the interval arithmetic, however,
are also needed, as are codes to evaluate the elementary transcendental functions, etc. These facilities are presently available for only a few languages restricted to only a few computers. Good interval software for various applied problems is
often available. Portable codes are, however, comparatively
rare.
INTERVAL ARITHMETIC
Interval Numbers
Let a, b be real numbers and let X [a, b] denote a closed
bounded interval on the line of real number x, that is, a x
b, a b, and a, b . In interval analysis, such intervals
are called interval numbers, and the two terms interval
number and interval are used interchangeably. Thus, an
interval number X is a closed bounded compact set of real
numbers. To distinguish interval numbers from real numbers,
the former are designated most often by capital letters
whereas lower case letters are retained for real numbers.
Lower-case letters with superscript I are also employed to denote intervals explicitly whenever needed to avoid ambiguity.
Furthermore, if X is an interval, its lower (left) endpoint is
denoted by x or xL and its upper (right) endpoint by x or xR.
An interval can be regarded in two different ways, either as
a set of real numbers or an ordered pair of two real numbers
xL and xR. However, from a computational point of view, the
latter representation offers great advantages over the former
676
(1)
x X,
y Y}
(2)
X + Y = [a + c, b + d]
X Y = [a d, b c]
(3)
account [see (26)]. For brevity, the dot in the notation of the
product is often dropped. The operation of division is possible
only if Y is an interval not containing zero. In this case
1/Y = [1/d, 1/c] (0
/ Y ),
X /Y = X (1/Y ) (0
/ Y)
(4)
(5)
(6)
INTERVAL FUNCTIONS
An interval function is an interval-valued function of one or
more interval arguments. The interval function F of interval
variables X1, . . ., Xn is denoted F(X1, . . ., Xn), and F transforms the set of intervals X1, . . ., Xn into the interval function
value Y, that is, Y F(X1, . . ., Xn). An interval function is
said to be inclusion monotonic if Xi Yi, i 1, . . . n, implies
F(X1, . . ., Xn) F(Y1, . . . Yn). It follows from Eq. (2) that interval arithmetic is inclusion monotonic, that is, if Xi Yi,
i 1, 2, then, (X1 X2) (Y1 Y2). The inclusion monotonicity
is a property often used in interval computations.
Interval Extensions
Interval functions are engendered by real functions. The corresponding interval function is called an interval extension of
the real function. More specifically, if F(X1, . . . Xn) is an interval extension of f(x1, . . . xn), then F reduces to f when all
arguments Xi become real variables, that is, F(x1, . . ., xn)
f(x1, . . ., xn). Consider, for example, a rational real function
of real variables (a function whose value is defined by a finite
sequence of real arithmetic operations over its arguments).
We obtain an interval rational function F engendered by the
real function f if we replace the real variables in f by corresponding intervals and the real arithmetic operations by their
interval counterparts. The resulting interval function F is
termed a natural interval extension of f. Similarly, we obtain
natural interval extensions of any real functions (containing
irrational terms).
It should be stressed that different expressions of one and
the same real function give rise to different interval extensions. For example, let f(x) x(1 x) x x x. The natural
extension for the first expression f(x) f 1(x) x(1 x) is
F1(X) X(1 X) whereas, for the second expression f(x)
f 2(x) x x x, the corresponding natural extension is
F2(X) X X X. Now, if we compute F1(X) and F2(X) for
X [0, 1], F1([0, 1]) [0, 1] whereas F2([0, 1]) [1, 1]. Obviously, F1(X) F2(X). Moreover F1(X) F2(X). This example
shows that, for polynomials, the nested form A0 X[A1
X(A2 . . . XAn) . . .] is never worse and is usually better
than the sum of powers A0 A1X A2XX . . . because of
subdistributivity. Henceforth, whenever we refer to the natural interval extension of a real function, we shall assume that
an expression of the function has already been chosen.
Mean-Value Form
The mean-value form is a particular form of interval extension applicable to any function f(x1, . . . xn) with continuous
first derivatives. Let X (X1, . . ., Xn) denote an interval vector, and let m m(X) be its center. By the mean-value theorem, for any y X,
f (y) = f (m) +
n
f
( )(y j m j ),
x j
j=1
FMV (X ) = f (m) +
n
j=1
Fj (X )(X j m j )
is called the mean-value form extension of f on X. The meanvalue form is inclusion monotonic if the functions F j(X), j
1, . . . n, are inclusion monotonic.
Range
The set of real points (i.e., vectors) x belonging to an interval
vector X with components Xi, i 1, . . ., n, form an n-dimensional parallelepiped with sides parallel to the coordinate
axes. This is why an interval vector is often referred to as a
box. Another important concept closely related to the interval
extension of a real function is the range of the function over
a box. The range f(X) of f over X is an interval defined by the
set f(X) f(x): x X. Obviously, the range is the union of
all function values f(x) for all x from X. Enclosing the range
of a multivariate function by an interval is a fundamental
problem encountered in numerous applications. It is a standard problem in the field of robustness analysis. It is proved
that
f (X ) F (X )
(7)
(8)
677
(9)
(10)
678
(11)
where A and b is a real (n n) matrix and a real vector, respectively. In many applications (tolerance analysis is a typical example), the elements of A and/or the components of b
are not precisely known. If we know an interval matrix AI
bounding A and an interval vector B bounding b, we can replace the system in Eq. (11) by the family of linear systems
Ax = b,
A AI ,
bB
(12)
(13)
x=A
b,
AA,
I
b B}
This set has a very complicated shape and therefore is impractical to use. Instead, it is common practice to settle for an
interval vector X which contains S. In some cases we would,
that still
however, like to find the narrowest interval vector X
contains S. The vector X is called the interval solution of Eq.
is called the optimal solution. Figure 1 shows
(13) whereas X
for the case
a set S and the corresponding optimal solution X
with MIx LAI and R LB. Now Eq. (14) is solved by the
interval Gaussian elimination method. The preconditioning
method involves, however, about six times as many operations as ordinary interval Gaussian elimination.
The GaussSeidel Iteration. If a crude initial enclosure X
(X1, . . ., Xn) for S is known, it is possible to solve the modified
Eq. (14) more efficiently. The ith equation of Eq. (14) is
Mi1 x1 + . . . + Min xn = Ri
Solving for xi and replacing the other components by their
interval bounds, we obtain the new bound
n
Yi = Ri
Mi j X j /Mii
(15)
x2
j=1
j = i
~
X
The intersection
Xi = Xi Yi
0
(14)
x1
(16)
679
bc + ],
0
Awz x = bw
(17a)
Tz x 0
(17b)
w W}
X iR
w W}
max{xw
i ,
(18)
(19)
X iL = min{xw
i ,
(20)
k0
(21a)
(21b)
(22)
680
globally, that is, to find and bound all of the solution vectors
of Eq. (22) in a given box X(0). For noninterval methods, it is
sometimes difficult to find one solution, quite difficult to find
all solutions, and most often impossible to know whether all
solutions are found. In contrast, it is a straightforward matter
to find all solutions in a given box by interval methods in a
finite number of iterations, proving automatically, at the
same time, that there is no other solution in the initial box.
Various interval Newton methods exist for solving Eq. (22)
globally. Similarly to the case of a function of one variable,
they all iteratively solve a linear interval approximation of
Eq. (22). They differ in the choice of the linearization and the
way the linearized equations are solved. Most often, Eq. (22)
is linearized in the following way. Let J(x) denote the Jacobian matrix of f(x). Similarly to the scalar case [see Eq. (19)],
it can be shown that
f (y) = f (x) + J( )(y x)
(23)
(24)
TOLERANCES OF LINEAR CIRCUITS
k0
(25)
(26)
(27)
(28a)
with
r
A=
,
0
i
y=
,
v
u
b=
0
(28b)
where r is a diagonal matrix formed by the branch resistances r , is the (reduced) incidence matrix, and i and u are
the vectors of the branch currents r and source voltages u ,
u U p
(29)
(30a)
with
AI =
i
y=
,
v
(30b)
Wi =
U
B=
0
where R and U are the interval counterparts of r and u, respectively. It is important to emphasize that all components
of R and U are independent intervals. This requirement is
crucial because most of the existing interval methods solve
only such linear interval systems exactly.
sgn(A1
c )i ,
681
i = 1, . . . n,
Wi = sgn(A1
c )i , i = n + 1, . . ., 2n
1
where (A1
c )i is the ith row of Ac . Thus, Y is found by solving
the auxiliary Eq. (17) only 2n times.
In this case, the input parameters xi additionally include inductances L (mutual inductances M) and capacitances C. We
assume that we are interested in one single output variable y
and that the relationship y f(x) between y and the parameter vector x (x1, . . ., xn) is explicitly known. Typically, y is
the rms value of some output voltage or transfer function and
(0)
(0)
(X(0)
xi X(0)
i [i, i], x X
1 , . . . Xn ). Thus, the worstcase tolerance problem considered is formulated as follows:
given the multivariate function f(x) in a given box X(0), find
the range f(X(0)) of f over the box X(0).
Let f(X(0)) [f L, f R]. The endpoint f L is sought as the global
solution of the following minimization problem:
f L = min f (x1 , . . . xn ),
i xi i , i = 1, . . ., n
(31a)
Similarly
f R = min[ f (x1 , . . . xn )],
i xi i ,
i = 1, . . ., n (31b)
Three interval methods for solving Eqs. (31) have been suggested in (5): the zero-order method (using no derivatives of
f), the first-order method, and the second-order method (resorting to first- and second-order derivatives, respectively).
They are all based on an algorithm due to Skelboe (13).
Skelboes algorithm (for bounding f L):
1. Set X X(0).
2. Bisect X along its widest side into two subboxes X and
X of equal width.
3. Evaluate F L(X) and F L(X).
+
e5
r6
r3
1
r3
+
r4
r1
r2
e1
e2
e7
r10
r11
r7
r8
Figure 2. Tolerance analysis of all branch currents and node voltages of the dc circuit shown for a 2% tolerance on the circuit resistors.
682
L
+
i3
i1
s
R2
+
C1
i2
C
1
1
R2 C 2
LC
(32)
is positive for all R RI, L LI, and C CI. Then the solution
i3(t) is given by the formula
1
v
1+
(ek 1 t ek 2 t )
i3 (t) =
R
2CR
where is defined by Eq. (32) and k1, k2 are given by k1,2
1/(2RC) . Let p (R, L, C, V) and P (RI, LI, CI, VI).
The interval I3(t) is determined by the range of i3(t) f(t, p)
when p P. This is done by using some of the methods for ac
tolerance analysis with global optimization.
Based on this example, it is straightforward to present the
explicit formulation of the transient analysis of circuits with
interval data. Let p (p1, . . ., pn) denote the parameter vector which determines the (scalar) transient x(t, p), and let
p P (P1, . . ., Pn). We assume that the circuit is stable for
all p P. This assumption is verified by interval analysis
methods [see (6), Chap. 4]. Then the set of time functions
X (t) = {x(t, p): p P,
t [0, )}
C2
R1
This is an alternate explicit form for a special dynamic tolerance problem when x(t, p) is the response to a step excitation
and the circuit has zero initial conditions. In this case [Kolev
(6)]
x(t, p) =
Figure 3. Worse-case tolerance analysis of the voltage transfer function amplitude of a low-pass active filter for various tolerances on the
circuit elements.
r(, p)
sin t d
(33)
forth in (46)]. In this method the interval vector Y(k) participating in Eq. (25) is computed as follows:
Y = b(x) + x + [E J(X )](X x)
x = Ax + b(t),
t [0, ],
<
t [0, ]
x(0) = c(p)
(34)
where aij(p), bi(p) and ci(p), i, j 1, . . ., n, are generally nonlinear functions of p. Therefore, the solution x(t, p) of Eq. (34),
which is now a vector, also depends on p. Once again, we assume that the circuit is stable for all possible p P. The
tolerance problem is to determine the solution vector X(t)
[X1(t), . . ., Xn(t)] which corresponds to x(t, p) when p P.
This problem is extremely difficult to solve. Therefore, it is
simplified in practice by assuming that aij, bi, and ci are independent and lie in some intervals aIij, bIi , cIi (these intervals are
in fact some extensions or the ranges of aij(p), bi(p), and ci(p),
respectively, in P). Numerical examples with n varying from
2 to 5 are given in (6, Examples 5.55.9).
GLOBAL ANALYSIS OF NONLINEAR dc CIRCUITS
We consider the problem of finding all dc operating points
(global dc analysis problem) of nonlinear electric circuits for
the case where the nonlinear elements are modeled by continuously differentiable functions.
(36)
where E is the identity matrix. In the third version M3 suggested by Alefeld and Herzberger (4), the Jacobian matrix
J(X) is represented as the sum of two matrices as follows:
Time-Domain Formulation
In this formulation, the transients are described implicitly by
a system of differential equation (in vector form)
683
(37)
As seen from Eqs. (36) and (37), the last two methods circumvent the necessity of solving the linear interval Eq. (26) and
are therefore computationally more efficient than method M1.
Hybrid Form Representation
It is assumed that the circuit investigated allows the so-called
hybrid representation [Chua and Lin (8)], that is,
(x) Hx s = 0
(38)
(35a)
The components xi of x (branch currents, branch or nodal voltages) are bounded in practice within some admissible intervals, that is xi X(0)
i , i 1, . . ., n or in vector notation
x X (0)
(35b)
k0
i = 1, . . ., n}
Yi(k)
xi(k)
i (xi ) hii xi si
i1
hij X j(k+1)
j=1
n
hij X j(k)
D(Xi(k) )
(39a)
j=i+1
k0
(39b)
684
where is a T-periodic function in t, we seek all the T-periodic solutions of Eq. (40) when the initial conditions vector x0
belongs to a box X(0). An interval method for solving the problem, suggested by Kolev (6), is based on an equivalent transformation of the original problem to that of finding all fixed
points of the system x0 f(x0) in X(0). The latter is solved by
an interval method of zero order. In its present implementation, the method is rather time-consuming and is applicable
only to circuits of low dimension (n 3).
The challenging problem of establishing the uniqueness of
a T-periodic steady state in nonlinear electric circuits has also
been considered. A new result has been obtained for the special case where the function from Eq. (40) is of separable
form, that is x (x) b(t). It has the form of a sufficient
condition: the T-periodic solution is unique if an associated
interval matrix is stable. The latter problem is handled by
some of the methods for assessing robust stability.
ALTERNATIVE APPLICATIONS
The scope of the interval approach would be incomplete if we
do not include the so-called robust stability problem and some
aspects of the global analysis of dynamic nonlinear circuits.
ROBUST STABILITY
In the field of electrical, electronics and control engineering,
it is of paramount importance to guarantee the stability of
the circuit investigated (whatever its functions) even in the
presence of some uncertainties about the values of various
component parameters. Two basic approaches are known for
assessing the robust stability: (1) stability of polynomials with
interval parameters and (2) stability of interval matrices.
A famous theorem due to Kharitonov (14) establishes the
robust stability of polynomials in the simplest case where the
polynomial coefficients are independent intervals. Several attempts to extend Kharitonovs approach to more general stability problems have been made in recent years. In (15), Kharitonovs theorem is generalized to polynomials which have all
their zeros in a given sector of the complex plane. A second
extension which guarantees that the corresponding dynamic
system has only aperiodic behavior is obtained in (15). In a
more realistic formulation, the polynomial coefficients are
nonlinear functions of a certain number of physical parameters. The problem of assessing the robust stability or certain
stability margin in this case is equated to a corresponding
global minimization problem [see Kolev (6) and the references
cited there]. Interval methods are vastly superior to their
point counterparts in solving the latter problem.
Interesting results have also been obtained for the case
where the robust stability of the system studied is assessed
by the stability of an associated interval matrix [see Kolev (6)
and the references cited there]. The stability criteria suggested by Kolev (6) are simple and easy to implement on a
computer.
Finally, the interval extension of the Nyquist criterion in
(6) is a most effective means for robust stability analysis of
feedback circuits or systems. Indeed, the assessment of the
gain or phase margin of stability of the closed-loop system is
(40)
PERFORMANCE CHARACTERISTICS
Interval methods have proved reliable for solving numerous
problems arising in electrical and electronics engineering.
Some of these problems (such as global analysis of systems of
nonlinear equations, global optimization) which, in their most
general form, were previously intractable, are now routine
practice. A convincing example is the global analysis of dc
nonlinear circuits. Even in the simple case of resistive circuits
containing only one-port nonlinear elements, traditional
methods provide misleading conclusions concerning the total
number of dc operating points in the circuit studied. Thus,
Yamamura (16) suggests a method for finding all solutions of
piecewise-linear (PWL) resistive circuits and applies it for
global analysis of resistive circuits whose nonlinear elements
characteristics are described by continuously differentiable
functions. He illustrates his approach by several examples.
Example 3 deals with a circuit containing 10 tunnel diodes
described by a system of 10 nonlinear equations. Each tunnel
diode characteristic is approximated fairly well by 10 linear
segments. Yamamuras method locates seven dc operating
points in a given box X(0). Application of an interval method
[Kolev and Mladenov (17)] shows that this result is incorrect:
the total number of operating points for the same circuit and
the same box X(0) has been computationally proved equal to
nine and all operating points have been located within an accuracy of 104. In another example from (16) (Example 4 dealing with the Hopfield neural network that comes from a layout problem of printed boards), the number of solutons
changes from 15 to 19 when the number of the approximating
linear segments is increased from 30 to 100. In contrast, interval methods never go wrong.
685
Fi (X )(Xi mi )
(41)
iS
where S is the set of integers i such that F i(X) properly contains zero and ui xLi , vi xRi , if F i(X) 0, ui xRi , vi xLi if
F i(X) 0 and ui vi mi if i S. In Eq. (7) and Eq. (41),
the interval extensions F i(X) F i(X), . . . Xn) depend, generally, on all of the intervals Xi. Hansen (5) has introduced an
improvement in which part of the arguments become real
numbers. Because of inclusion monotonicity, this leads to narrower F i(X) and, hence, to narrower extensions. Further improvements (introduction of lower and upper poles, sequential evaluation of the derivatives, choice of the bisection
direction, etc.) are suggested by Kolev (6), secs. 2.2 and 2.4).
In accordance with the theoretical predictions, the numerical
evidence shows that the best mean-value forms lead to methods of enhanced efficiency.
Interval Slopes
Interval slopes were introduced in interval computations by
Krawczyk and Neumaier (19) for rational functions of a single
variable. Computation of interval slopes (in fact, of interval
extensions of the slopes) in the case of multivariate functions
is based on the so-called slope arithmetic [see (20)]. The extension of interval slopes to irrational functions is suggested
by Kolev (21). This permits a more efficient analysis of nonlinear dc circuits containing transistors (and diodes) if the EbersMoll model of transistors is used.
The slope of a function f of one variable x at x, z is defined
as
f [x, z] =
(42)
(43)
and the inclusion is usually proper. The above inclusion motivates the use of interval slopes rather than interval derivatives in all of the interval methods for nonlinear circuit analysis. The improved numerical efficiency of the approach based
on interval slopes is established experimentally in (17,1921)
where nonlinear systems involving up to 10 equations with
nine solutions are solved.
TRENDS FOR FUTURE DEVELOPMENT
Interval approach is expected to develop in two directions.
First, it is expected that the scope of the interval approach
will be enlarged. So far, interval approach covers basic problems relative to: (1) robustness analysis of linear circuits and
(2) global analysis of nonlinear circuits. Many other applications are, however, conceivable in the domain of circuit analysis, such as tolerance analysis of nonlinear circuits, robust
686
INVERTER THYRISTORS
LUBOMIR V. KOLEV
Technical University of Sofia
219
220
hierarchical analysis, and approximation techniques. Although the most traditional domain of symbolic computeraided design (CAD) is ac analysis of lumped, linear, time-invariant networks, the symbolic approach is present in various
domains of circuit design starting with the formulae for manual calculations or spreadsheets used in the design process.
Different applications have been developed based on the symbolic approach. These include speed-up of calculation in domains like circuit optimization and statistical analysis; design
automation; device characterization; and structural synthesis.
L = 3H
Vi
Vo
R = 2
C = 5F
(a)
L
Vi
Vo
R = 2
C
(b)
L
BASIC CONCEPTS
Most of the well-known programs of circuit simulation exist
in the numerical version. It means that a numerical value
should be given for any circuit parameter, and the simulated
circuit functions are presented as numerical tables or plots.
For instance, in ac analysis for each frequency point, the complex value of voltage or current is calculated. For symbolic
analysis, there is no need to specify element values when
analysis starts. Element parameters are present as symbols
in simulation results and are valid for any numerical value of
these parameters. The numerical results can thus be obtained
by simple function evaluation for a specified set of parameter values.
Symbolic results of circuit analysis may be generated in
different forms depending on whether all or only some of network elements are characterized by symbolic parameters.
For linear, lumped, and stationary (LLS) circuits, the symbolic network functions can be presented in the form of rational functions of complex frequency s
H(s) =
N(s, x1 , . . ., xn )
D(s, x1 , . . ., xn )
(1)
P(s, x1 , . . ., xn ) =
m
i=0
ni
xk
(2)
Vi
Vo
R
C
(c)
(5)
(6)
(7)
j=1 kKij
(3)
(4)
Methods of symbolic analysis started in the nineteenth century with Kirchhoff s work. Symbolic methods were intensively developed in the 1960s and 1970s in parallel with the
growing popularity of computer programs of circuit analysis. Different computer programs for direct symbolic analyses
were then developed. The limitation of direct methods came
from the exponential growth of the number of terms in generated expressions with circuit size. To overcome this problem, decomposition techniques were proposed. Instead of analyzing the network as a whole, the network is cut into
parts, each part is analyzed separately, and partial results
are combined to form a description of the whole network. An-
Element
Equations
Admittance
a
ib = y(vb va)
Directed graph
ia = y(va vb)
VCCS
a +
y
vb
va
a
y
a
c
io=yv
ia = 0 ib = 0
ic = y(va vb)
y
y
va
vc
y
b
id = y(vb va)
b
Coates graph
221
vb
vd
other approach to overcoming the complexity of symbolic results is the technique of approximation of symbolic expressions. In this case, the less significant terms of the function
are discarded. A controlled error of such truncated results is
admitted as a cost of important simplification of symbolic expressions. With the progress in the methods of symbolic function generation, new application domains emerged simultaneously. To the primary advantages of the symbolic approach
[e.g., better understanding of circuit operation (so-called insight into circuit behavior) or speed-up of circuit simulation
in repetitive analysis] were added new ones like automated
generation of analytic models, transistor sizing, exploration of
new topologies, and various automated design methods based
on the availability of symbolic description of circuit topology.
DIRECT SYMBOLIC METHODS
When symbolic functions are obtained directly from the representation of the whole network, we have the case of direct
symbolic analysis, which is also called flat analysis. A different approach is presented with the hierarchical analysis of
decomposed networks. This second approach is essentially
oriented for the analysis of larger circuits.
Two basic approaches are used to derive symbolic functions: graph-based methods and algebraic methods. For simplicity, only networks having the admittance representation
will be considered in the following presentation. The extension to any circuit topology can easily be done by introducing
auxiliary circuit elements in much the same way as adding
new variables allows modified nodal admittance (MNA). Different graph representations for two basic admittance elements of electrical networks are presented in Fig. 2.
A simple circuit representing a small signal model of bipolar transistor presented in Fig. 3 will be used as an example
to illustrate different methods of topological analysis.
gb
Graph-Based Methods
In the graph-based (or topological) methods, symbolic functions are derived by topological operations on some graph representation of the circuits. These operations consist mainly in
generation of specific subgraphs such as, for instance, spanning trees and multitrees or sets of paths and loops. Detailed
description of graph-based methods and an important list of
references is provided by Chen (1).
Pair of Conjugate Graphs. A currentvoltage graph is the
most common circuit representation using a pair of conjugate graphs.
For a circuit composed of admittance-type elements [passive admittance elements and voltage-controlled current
source (VCCS) only] a currentvoltage pair of graphs (GI, GV)
is determined as follows:
1. The sets of nodes (identical for two graphs) correspond
to circuit nodes.
2. The passive element with an admittance y connected
between nodes i and j is represented by a pair of
branches with weight y. In both graphs, these branches
have the same direction [e.g., from node i to j (or from j
to i)].
3. The VCCS source with the controlling voltage between
nodes i () and j () and the current source between
nodes k (outgoing) and p (incoming) is represented by a
branch from i to j in the voltage graph and a conjugate
branch from k to p in the current graph. The weight of
this branch is equal to the transconductance controlling
coefficient (gm).
The pair of currentvoltage graphs for the circuit from Fig. 3
is presented in Fig. 4.
C
g mV
V
g
g0
222
tT
Yii =
sign(t) val(t)
tTi,0
Yij = (1)i+ j
(8)
sign(t) val(t)
tTij ,0
Y
Y11
(9)
y1 ( y2 y3 + y2 y4 + y5 y3 + y3 y4 )
y1 y3 + y1 y4 + y2 y3 + y2 y4 + y3 y4 + y3 y5
g [( g + sC )sC + ( g + sC) g0 + sC gm + sC g0 ]
= b
gb sC + gb g0 + ( g + sC )sC
+( g + sC) g0 + sC gm + sC g0
Y =
val(t)
tT
Yii =
Yin =
val(t)
tTi,0
(10)
Directed Graphs. The main goal of directed graph formalism was to eliminate cumbersome sign calculations necessary
in the conjugate graph approach. In the directed graph
Yij = (1)i+ j
(11)
val(t)
tTij,0
y2 gm
y1
y2
y1
y3
y3 + gm
223
y4 y + g
4
m
(a)
y1
y1
y2
y2
y4 + gm
y1
y4 + gm
y2 gm
y3
(b)
Figure 5. (a) Directed graph of bipolar transistor model and (b) its
set of directed trees.
(12)
Tij =
(13)
where 1 (sum of all loop weights) (sum of all secondorder loop weights) (sum of all third-order loop weights)
= (1)l p sign(PW )
(14)
where
ord(x1 , x2 , . . ., xk ) =
Y =
sign(p)val(p) = sign(P)
pP
Yii =
(1)l p val(p)
pP
sign(p)val(p) = sign(P{(i,i)} )
pP{ (i,i )}
Yij = (1)i+ j
(1)l p val(p)
pP{ (i,i )}
pP{ (i, j )}
(1)1 p val(p)
(15)
pP{ii, j )}
224
y 1 + y 2+ y 3
y1
the two-port scheme. The admittance matrix Y of the augmented network can be expressed as follows:
y3 + y4
y1
y3
y1
y3 + gm
1 ys
2
0
Y =Y + .
..
y's
2
Vo
g'mVo
0
Figure 7. Augmented network.
2
gm
0
3
gm
0
..
.
4
0
...
(16)
(17)
1
1
.
.
.
1
s0
s1
..
.
sn
...
..
.
sn0
P(s0 )
a0
sn1
a1 P(s1 )
=
.. .. ..
. . .
...
snn
an
(18)
P(sn )
G1
225
G2
(a)
(19)
The extraction process consists of determining the polynomials P0, P1, . . ., P12. . .k. For each polynomial, a corresponding
cofactor can be identified by deleting some rows and columns
in the admittance matrix and setting to zero some of the parameters. After this operation, the cofactor can be determined
with numerical methods. This approach provides an important advantage when many network branches are characterized by numerical values. Of course, when more and more
elements are represented by symbols, the process can attain
similar or even greater complexity than topological methods.
The following conclusions can be obtained by comparing
the direct methods presented here:
1. Topological methods are most suitable to obtain fully
symbolic functions of small networks.
2. Parameter-extraction methods are most suitable for obtaining partially symbolic network functions where only
a small part of the network elements are characterized
by symbolic parameters.
3. Interpolation methods can be used for large networks
when the complex frequency s is the only symbolic parameter.
B1
B2
(b)
Figure 8. (a) Graph bisection and (b) its block graph.
two parts and the block graph of this bisection are presented
in Fig. 8.
The complexity of symbolic analysis of decomposed network depends not only on the size of blocks, but also on the
complexity of the block graph. In the case of larger networks,
a simple (one-level) decomposition may be ineffective. It may
result in either elementary blocks that are too large or a block
graph that is too complex. When simple decomposition is applied to subgraphs, we deal with hierarchical decomposition.
An example of hierarchical decomposition is presented in
Fig. 9. The graph G1 was first decomposed into two parts G2
and G3. In the following step, G2 was decomposed into G4, G5
and G6, and G3 into G7 and G8.
The hierarchical decomposition structure can be illustrated by a tree of decomposition. If a subgraph Gk was ob-
G1
G2
G3
G4
G7
G5
G8
G6
Figure 9. Hierarchical decomposition.
226
G1
G2
G4
G5
G3
G6
G7
^
VDD1
227
log Zo
Io = 0.5 mA
^
VDD2
^
R2 = 10 k
^
Q1
Vo^
Zo^
1 gm2
g01 g1
^
CB = 100pF
1/g01
^
Q2
Vi +
g2
CB
^
R1 = 500
gm2
CB
VSS
log f
g2
g1
of
bipolar
cascode
stage
109
set of numerical values, as obtained with numerical simulation, is presented in Fig. 12 (bipolar transistors are modeled
with r, gm, and go elements only). Although overall behavior
of the function can be apprehended, it is difficult to predict
the influence of various elements and in particular of the capacitor CB on the output impedance even in the case of such
a simple circuit. Fully symbolic analysis of this network produces a result in the form of the rational function with 36
symbolic terms in the numerator and 14 terms in denominator. Interpretation of such function is not easy. If a 10% maximal error (see next paragraph for precise definition) is accepted, the simplified function has the following form:
Zo(10%) =
(20)
(21)
gm1
g 1 g01
g
z
= 2
CB
g g
p
= m2 2
g1 CB
g
gm1 gm2
Zo (high f) =
= m2 (low f )
g 1 g01 g1
g1
Zo (low f )
=
108
107
(22)
The general form of impedance magnitude as well as the influence of different parameters is summarized in Fig. 13.
106
101
102
103
104
105
106
107
108
109
228
(23)
H x
x H
(24)
H is a rational function with numerator and denominator being linear functions with respect to x and can be presented as
H=
N + xNx
N
= 0
D
D0 + xDx
(25)
N
Dx
D
(26)
networks can be described using voltages and charges of capacitors. Using e and o superscripts for even and odd circuit
configurations, the following equations in the z domain describe circuit behavior:
Be I e = 0
De V e = 0
I e = C(V e z1V o )
Bo I o = 0
DoV o = 0
I o = C(V o z1V e )
K.Ch.L.
K.V.L.
(27)
(28)
229
The function
P(z) = P10 (z)S + P01 (z)(1 S)
(29)
230
SYMBOL INTERFERENCE
231
16. F. Fernandez et al. (eds.), Symbolic Analysis Techniques. Applications to Analog Design Automation, Piscataway, NJ: IEEE
Press, 1997.
17. R. Sommer et al., Equation-based symbolic approximation by matrix reduction with quantitative error prediction, Alta FrequenzaRivista di Elettronica, Vol. 5: 2937, 1993.
18. M. Bon and A. Konczykowska, A topological analysis program for
switched-capacitor networks with symbolic capacitors and
switching functions, Proc. ECCTD, Warsaw, 1980, pp. 159164.
19. C. Borchers, R. Sommer, and E. Henning, On the symbolic calculation of nonlinear circuits, Proc. ISCAS, Atlanta, GA, 1996, pp.
719722.
20. G. Gielen, P. Wambacq, and W. Sansen, Symbolic analysis methods and applications for analog circuits: A tutorial overview, Proc.
IEEE, 82: 287304, 1994.
21. L. P. Huelsman, Personal computer symbolic analysis programs
for undergraduate engineering courses, Proc. ISCAS, Portland,
OR, 1989, pp. 798801.
22. A. Konczykowska, Methods and tools for characterisation, modelling and optimisation of electrical devices, Proc. World CongressManufacturing Technology Towards 2000, Cairns, Australia, 1997, pp. 4150.
23. A. Konczykowska and M. Bon, Automated design software for
switched-capacitor ICs with symbolic simulator SCYMBAL,
Proc. 25th Design Autom. Conf., Anaheim, 1988, pp. 363368.
24. R. Sommer, R. Kamitz, and E. Horneber, Qualitative reasoning
in the analog design expert system EASY, Proc. ECCTD, Copenhagen, 1991, pp. 387394.
25. G. Di Domenico et al., BRAINSA Symbolic Solver for Electronic
Circuits, Proc. SMACD Workshop, Bagneux, 1991.
AGNIESZKA KONCZYKOWSKA
National Center for
Telecommunications Studies
(CNET)
449
(1)
450
s
20
(2)
(3)
Im[H( j)]
d
d
arg[H( j)] =
tan1
d
d
Re[H( j)]
(4)
(5)
and the polynomial N(s) must be either pure even or pure odd
(i.e., its zeros must be either pure imaginary or occur in complex quadruplets); furthermore, its degree may not be greater
than that of D(s).
While the first condition is not necessary for digital and
active RC implementations, assuming that it is satisfied does
not restrict the generality at all, since we can always include
an amplifying stage anywhere in the structure and since the
function must necessarily be bounded on the imaginary axis;
hence we shall assume this bound to be unity.
For digital filters, the degree of N(s) is not restricted, but
again for simplicity we shall assume compliance, because otherwise difficulties arise. For IIR digital filters with numerators of degree greater than that of the denominators, please
see Ref. 1.
Finally, N(s) being pure even or pure odd is not strictly
necessary, since zeros may occur in the right half plane and
we can always pair them with zeros in the left half plane and
compensate for this by having poles at the same locations. In
any case, these types of zeros are found useful only in compensating for delay distortion and, as such, can and will be
treated separately. However, no zeros inside the left half
plane are allowed for passive RLC and microwave circuits,
without matching right-half-plane zeros.
As far as IIR digital and active RC circuits are concerned,
N(s) is not restricted to being pure even or odd. Nevertheless,
we shall assume that it is (except if the microwave filter contains unit elements), for the simple reason that it makes for
a unified treatment of all filter kinds and, furthermore, there
does not seem to be any advantage in assuming otherwise.
1
1 + ( j) ( j)
(6)
(7)
(8)
Given an arbitrary F(s) and an even or odd N(s), one can easily find D(s) such that all of its roots are in the left half of the
s plane.
Butterworth Filters. Butterworth filters are one of the oldest
and simplest solutions to the filter problem. The characteristic function for lowpass filters can be written as
(s) = (s/p )n
(9)
451
n = 1 to 6
1
Magnitude
0.8
0.6
n=1
2
0.4
3
4
5
0.2
6
0.25
0.5
0.75
1
1.25
Normalized frequency
1.5
100.1a p 1
100.1a s 1
and n
ln(L)
;
2ln(p /s )
2 = 100.1a p 1
(10)
(s/p )
2n
= (1)
n+1
/ = e
2
2n
= 0 which yields
j (n+1+2k)
/ 2
Hence, assuming 1,
sk = p e j (n+1+2k)/2n
(n + 1 + 2k)
(n + 1 + 2k)
+ j sin
= p cos
2n
2n
(13)
but this does not yield closed-form solutions for the transfer
function poles and will be treated later under the numerical
approximation methods. As an example, Fig. 2 shows a sixthorder filter with 40% bandwidth and m 6 (the value we get
with the preceding transformation) as well as m 3. The
second case, which puts three transmission zeros at zero frequency and nine zeros at infinity, yields a much more symmetrical response.
Chebyshev Filters. Chebyshev filters have the low-pass
characteristic function
(s) = Tn (s/p ) = cosh[n cosh
(s/p )]
(14)
(11)
and those inside the left half plane are the poles we need.
For other than lowpass filter types, we use the well-known
frequency transformation procedure by replacing the normalized frequency s/p by
p /s
(s2 + 02 )/s
s/(s2 + 02 )
2
Figure 1. Butterworth transfer function.
L=
1.75
(12)
In the latter two expressions 0 (AB)1/2 is the center frequency of the pass (stop) band and (B A) is the pass
The stopband is monotonic, and if we need a loss as at frequency s, then the necessary degree may be computed from
cosh
cosh
L1
(s /p )
where
cosh
(x) = ln(x +
x2 1)
(15)
and L is given by Eq. (10). Fig. 3 shows the computed response of a few low-pass filters with n 1 to 6 and about 1 dB
passband ripple. For other filter types, the transformations of
Eq. (12) are used again. In the bandpass case, the characteristic function will have nth order poles at both zero and infinite
frequencies; a more general case would distribute these unequally.
452
0.8
Magnitude
m=3
m=6
0.6
0.4
0.2
0.25
0.5
(s/p ) = cosh
sk /p = cosh{(1/n)[sinh
1
+
= ln
= sinh
1+
1
2
1.75
(1/) + j (1 + 2k)/2]}
1
(1 + 2k)
1 1
cosh
sinh
2n
n
1
(1 + 2k)
1 1
sinh
sinh
j sin
2n
n
= cos
(s/p )] = j/
( j/)
1.5
and consequently
and therefore
n cosh
0.75
1
1.25
Normalized frequency
(16)
Inverse Chebyshev Filters. Inverse Chebyshev filters are obtained simply by using the characteristic function
+ j (1 + 2k)/2
(1/) + j (1 + 2k)/2
(17)
for lowpass filters. We note that this function will vary be-
n = 1 to 6
1
n=1
Magnitude
0.8
0.6
3
0.4
0.2
5
6
0
Figure 3. Chebyshev transfer function.
0.25
0.5
0.75
1
1.25
Normalized frequency
1.5
1.75
453
n = 1 to 5
1
Magnitude
0.8
0.6
3
0.4
2
0.2
n=1
0.5
1.5
2
2.5
Normalized frequency
100.1a s 1
(18)
where = cd(uK, k)
(19)
10a p /10 1
=L
10a s /10 1
(20)
4
Figure 4. Inverse Chebyshev transfer function.
3.5
(21)
using the method of arithmetic-geometric mean (2). These expressions correspond to our usual normalization /p;
other normalizations yield slightly different expressions.
The function in Eq. (19) yields a normalized rational fraction of the form
(s) =
n/2 s2 +
2
zj
j=1
z j = cd
where
1 + 2p j s2
(2 j 1)K
n
,k
(22)
and
p j = k
z j
s2
s2
1
2p1 s2
or
s2 s2 2z1
or a combination, where p1 and z1 are the lowest of the values, may be used to shift the highest pole to infinity or the
lowest zero to zero, respectively, but with an attendant increase in the transition bandwidth (3). Note also that the natural modes can again be calculated in closed form, but this is
usually ignored, since the computation of these poles will
need extensive numerical computation in any case and therefore direct root extraction methods are just as convenient.
The j values of Eq. (22), can be readily computed by using
the ascending Landen transformation (2), which converts the
elliptic functions into hyperbolic functions, or the descending
one, which converts the elliptic functions into circular ones.
A particularly detailed description of elliptic functions in the
design of filters is available in Ref. 4. Fig. 5 shows the magnitude of an elliptic low-pass transfer function of degree 7, with
10% transition bandwidth. These functions are not easy to
454
Magnitude
0.8
0.6
0.4
0.2
0.25
0.5
0.75
1
1.25
Normalized frequency
compute, and if one has no access to some filter design software (5), then many tables of Butterworth, Chebyshev, and
elliptic transfer functions (and element values) can be found,
the most extensive being that in Ref. 6, followed closely by
those in Refs. 7 and 8. Inverse Chebyshev functions are tabulated in Ref. 8.
Rather than using Eq. (21), we may calculate the necessary degree for a set of filter specifications by the (approximate, but very accurate) closed-form expressions
L
1 1 k1/2
L
1
1+
; 2 =
and
=
16
2
2 1 + k1/2
n f (1 ) f (2 ) where
f ()
= (1/ ) ln( + 2 5 + 15 9 + 150 13 )
(23)
1.5
1.75
zero frequency is 0 n/0, where 0 is the normalization frequency. Fig. 6 shows the magnitude of the Bessel transfer
function for degrees 1 through 6, and the corresponding delay
curves are shown in Fig. 7. These functions were all normalized to 0 1. Tables of Bessel polynomials can be found in
many texts (see Ref. 10, for instance).
These characteristics can be combined with an equalminima type stopband, using the technique of Temes and Gyi
(11) (see also Ref. 12) described in detail in Appendix A.
As shown in Fig. 6, the resulting filters have an increasing
loss in the passband; therefore it would be desirable to combine this delay with a flat passband of specified flatness. Consider the general low-pass transfer function H(s)
N(s)/D(s), where D(s) is given and we wish to select an even
N(s) such that the passband (i.e., the region around 0) is
flat. If D(s) is of the form
D(s) = d0 + d1 s + d2 s2 + d3 s3 + + dn sn
(25)
(26)
gj =
2j
(1)k dk d2 jk
(27)
k=0
(24)
m0 = ( g 0 )
1/2
1
and mi =
2
gi
i1
mk mik
(29)
k=1
455
n = 1 to 6
1
0.8
Magnitude
n=1
0.6
0.4
0.2
0.5
1.5
2
2.5
Normalized frequency
3.5
(30)
H0
k
k=0 bk z
where Bn(s) is the nth order Bessel polynomial and the overall
degree will be 2n 1 and Ev. . . designates the even part
of the polynomial inside the curly brackets. For the derivation
and the even degree case, refer to the literature. As a comparison, Fig. 9 shows a ninth-degree filter designed by the pre-
H(z) =
n
bk = (1)k
where
n
n!
2 + i
k!(n k)! i=0 2 + k + i
(31)
n = 1 to 6
1
Magnitude
0.8
0.6
6
5
4
0.4
3
2
0.2
n=1
4
6
Normalized frequency
10
Figure 7. Bessel (linear phase) transfer function.
456
n=7
1
80
70
Delay
0.8
60
0.6
Delay
Loss
50
(c) Equal minima
40
0.4
30
20
0.2
(b) Fourth order flat
10
0
Figure 8. Bessel transfer function with various numerators.
0.5
H0 =
n
k=0
bk =
(2n)!
1
2n
n!
i=n+1 (2 + i)
(32)
1
1.5
2
Normalized frequency
2.5
1 st0 /2
1 + st0 /2
(33)
N=9
30
1.25
Rhodes delay
25
Rhodes loss
.75
Delay
Loss in dB
20
15
.5
10
.25
0
Figure 9. Low-pass with both flat loss and flat
delay.
.5
1.5
2
2.5
Normalized frequency
3.5
457
n = 9, t = 5
3
80
70
Delay
2
Loss
60
1
Delay
Loss
50
40
30
20
1
10
0
0.2
0.4
0.6
Normalized frequency
0.8
2
Figure 10. Maximally flat delay digital filter.
also obtain flat delay for high-pass filters, which in the microwave case are also bandpasses. The way to do this is to invert
the singularities of a low-pass filter by changing the signs of
the real parts of the poles and zeros in the z domain. For
instance, doing that to the basic filter displayed in Fig. 11, we
get the high-pass shown in Fig. 12.
Thiran has also formulated the problem for obtaining
equal-ripple type delay in digital low-pass filters (16), but the
equations presented have to be solved iteratively since no
closed-form solution is known.
Mainly as a curiosity, we must also mention that there is
a class of microwave filters with exactly linear phase. This is
true for a transfer function of the form (17):
H(s) =
N(s)
(1 + s)d
(34)
n = 9, t = 5
100
Delay
80
(a)
Constant
numerator
(b)
Fourth order flat
3
Delay
60
Loss
(c)
Equal
minima
40
20
0
0
0.2
0.4
0.6
Normalized frequency
0.8
458
N = 9, T = 5
100
80
4
Delay
60
40
20
Delay in s
Loss in dB
Loss
0
0
.2
.4
.6
Normalized frequency
.8
Bn (s)
Bn (s)
or H(z) =
zn Bn (z1 )
Bn (z)
(35)
where Bn(s) is the nth degree Bessel polynomial and Bn(z) the
equivalent Thiran polynomial. The resulting delay at zero frequency will be twice that calculated previously, and the magnitude of H(s) and H(z) will be unity, of course, at real frequencies.
N=7
100
Loss in dB
80
Highpass
(bandpass)
60
Fourth order
flat highpass
40
Lowpass
Fourth order
flat lowpass
20
0
Figure 13. Constant delay microwave filter transfer functions.
.2
.4
.6
Normalized frequency
.8
ITERATIVE SOLUTIONS
All of the results presented so far are closed-form solutions
(i.e., solutions that can be computed exactly in a finite number of steps). In many situations, we do not have closed-form
solutions and must rely on iterative optimization procedures.
We will find many different procedures useful in different circumstances. No general-purpose procedure has been found yet
that can be applied to all problems with guaranteed success.
z0 =
A2 )/(s2
B2 )
(36)
(z2 + z2 )d/2
(z) = 0 d/2 0
2
2
j=1 (z z j )
(37)
d
2
j=1
d2
zj
(38)
(39)
Now it is very simple to modify the zj values and the multiplier 0 to obtain the required stopband behavior.
This procedure yields an even degree N(s); for the odd degree case we need to modify the (z) function slightly. We
have to replace one of the factors in the denominator by
459
(1 z2 )(z2 2 )
(40)
z0 =
1
d
d
1
2
j=1
d2
zj
(41)
This will yield the same overall even degree d, but the numerator polynomial N(s) will be odd and of degree d 1. Odd
overall degree is also possible by the use of what is called
parametric design and will be considered under that heading
later.
Equal-Ripple Passband Loss
Let us now consider the equal-ripple type passband. We first
recognize that the variable z is pure imaginary in the passband; hence the function
(z j + z)/(z j z)
(42)
e j =
d (z + z)
j
j=1
(z j z)
(43)
1
cos = (e j + e j ) =
2
d
j=1 (z j
d
+ z)2 + j=1 (z j z)2
d
2
2
j=1 (z j z )
(44)
460
This function is going to vary between 1 and 1 in the passband, and it is an even rational function of z (the odd terms in
the numerator cancel) and therefore will be an even rational
function of s after substitution. The proper characteristic
function therefore is
(z) = cos
(45)
(1 + z)(z + )
(46)
(1 z)(z )
It is clear that will still vary between 0 and d in the passband, and once we substitute z as per Eq. (36) above, the resulting () will still have an even numerator of degree d and
now an odd denominator of degree n d 1. For a microwave filter that contains u unit elements, we must further
include the factor
z
+z
zu z
u
u2
(47)
where zu is given by
2A + 1
2B + 1
z2u =
(48)
(49)
(50)
and
e =
d
i=1
coth
i
2
() = cosh =
2
d
d
+
coth i
tanh i
2
2
i=1
i=1
(51)
!
(52)
very good approximation [this approximation is not necessary; we can simply solve Eq. (53) for ]
a
= 8.686 ln(/2) + 8.686
i=1
a
= 8.686 ln + 8.686 ln cosh
(53)
ln coth i
2
(54)
and since we can usually neglect the 1 next to the characteristic function, we can have
i =
ak
k = 1, 2, . . .
(55)
461
which gives us the two (in fact, a double) real roots in the s
domain. The resulting characteristic function yields a filter
that is called even parametric. To find out how much this approximation is going to affect the equal-ripple property of the
transfer function, let us express the relative error in the passband, where z is pure imaginary (z jy):
( + y )
2
error =
a2 a2
1 2
2
+y
(a21 + y2 )(a22 + y2 )
a2 a2
+ 1 2 2 a21 a22 y2
(a21 + y2 )(a22 + y2 )
(59)
Parametric Bandpass Filters. As mentioned previously, bandpass filters designed by the methods outlined always turn out
to be of even degree. In some instances it would be desirable
to have an odd degree filter, which means a characteristic
function with an odd degree numerator (i.e., a root on the real
s axis). Also, for generating an LC structure with the absolute
minimum number of inductors, we often need a characteristic
function numerator with two real axis zeros. The explanation
of this fact will have to wait until the article on the LC implementation of bandpass filters.
In any case, in the equal-ripple type passband approximation procedure, both of these objectives can be achieved (22)
by the introduction of another factor
r z
+z
z
+z
or
(56)
<<1
Since its exact value is of minor importance, we usually select
1/2. Let us consider now the effect of this additional factor. First note that these factors have the difference terms in
their numerator, not in the denominator, as all the others.
Let us consider the second case first, where we must also have
an odd multiplicity of transmission zeros at both zero and infinite frequencies [i.e., we have the factor of Eq. (46) in the
definition of the characteristic function]. This characteristic
function will now have a factor (2 z2) in the denominator,
while the numerator can be written in the form
( z)2 (1 + z)( + z) (z j + z)2
j
+ ( + z) (1 z)( z)
(z j z)2
(57)
a2 a2
1 2
2
z2
max error =
2 + a1 a2
(a1 + a2 )
2
1
(60)
Assuming a passband ripple of 0.5 dB, this introduces an error that is less than 0.001 dB. This filter can be implemented
using only two inductors and four capacitors, instead of the
three inductors and three capacitors needed for the nonparametric case. For more complex filters and narrower passbands, the error will further decrease rapidly, because the
values of a1, a2 and get closer and closer.
To get the odd parametric case, we introduce the squareroot factor specified previously and note that one of the multiplicities of the transmission zeros at zero and infinity must
be odd, the other even. Again expanding the characteristic
function, we see that the denominator will contain the factor
2 z2, while the numerator can be written in the form
( z)( + z)n z (1 + z)n i
(z j + z)2
j
(a21 z2 )(a22 z2 )
=
2 z2
(58)
(z j z)2
(61)
(a2 z2 )
( z2 )( 2 z2 )
2
(62)
462
(a2 z2 )
( 2 z2 )(1 z2 )
(63)
s B2 s2
in the first case and
A s2
B2 s2
(64)
similarly for the other case, yielding the real root we need in
the characteristic function. Again the approximation is very
accurate and gets better with increasing degree.
For the maximally flat case, the situation is much simpler;
we may just modify the function to read
(z) = 0
in the second case, where A and B are both positive and very
close and so are A and B. Now we can again simplify:
A s2 A
= s
B
B2 s2
preferably in factored form. This will provide us with substantially better control over numerical accuracy and a direct control over the question of stability. Here we are basically reduced to the two possibilities of the least pth, or the minimax
optimization procedures of classical optimization theory.
There are some other methods (the Pade method comes to
mind as an example), but none of them has been found to be
of general use.
The basic options we have here depend on our choice of
error function. The most often cited such error function we
must minimize is of the form
E = (1 )
w(i )(Hr (i ) H(i )) p
(65)
and get an even parametric case, where a is again in the forbidden region, and just introduce a linear factor in terms of s
for the odd parametric case.
The algorithm to locate the movable transmission zeros
can readily be modified to handle these parametric cases as
well.
Finally, for completeness we may mention that an even
parametric approximation is possible if the multiplicities of
the zeros at zero and infinite frequencies are both even, but
the resulting transfer function turns out to be useless.
Low-Q Approximations. All the standard approximating
functions (Butterworth, Chebyshev, or elliptic) have some of
their poles (those closest to the passband edges) too close to
the imaginary ( j) axis, which may cause difficulties in active RC implementations. This can be alleviated by replacing
the two or three poles closest to the imaginary axis by a multiple pole with multiplicity two or three, which will not be
quite so close to the axis. To maintain the nature of the approximation, the other parameters must, naturally, be readjusted also. This needs an iterative approach, which is of no
particular interest to us here except to mention that the resulting functions have been extensively tabulated in Refs.
2325.
Arbitrary Loss Shape
When we come to the question of completely arbitrary loss
shapes, we have fewer tools to simplify the problem. We must
go back to the original equation [Eq. (1)] and deal with that,
v(i )(r (i ) (i )) p
(66)
(67)
or a similar one for the delay, over the whole frequency range.
See Appendix B for a brief description of several of the more
useful optimization strategies in use today for this problem.
Constant Delay Approximation
Consider first the problem of constant delay. The maximally
flat approximation has a closed-form solution, which was described previously. Equal-ripple approximation of a constant
delay is possible, using the following approximation technique.
There is a closed-form solution to the problem of interpolating a linear phase function at equidistant points (13). Assuming that the points are multiples of the step and the
phase is required to be proportional to , the interpolating
polynomials can be obtained by the recursion formula:
tan
(s2 + (n)2 )
P (s, )
(2n + 1)(2n 1) n1
(68)
that while the delay will not be equal ripple, it will definitely
have the correct number of extrema, and these are going to
be close to the interpolation points. Consequently, it is a relatively easy matter of locating these extrema and then using
an iterative procedure to make them all equal. Such a procedure has been implemented and takes very few (three to five)
iterations to converge. The resulting polynomial will be the
denominator D(s) of the transfer function, and we can still
select the numerator to shape the loss in the pass- or stopband. In particular, both the equal minima solution of TemesGyi as well as the flat passband solution, outlined for the
maximally flat case, are available. Fig. 14 shows a seventhdegree equal-ripple delay function over the frequency range
from 0 to 7.5, normalized to unity average passband delay.
This can be combined with a constant numerator, or a fourthdegree numerator yielding flat passband loss, obtained by the
procedure outlined previously. Finally, the third possibility is
a sixth-degree numerator yielding an equal-minima type stopband from normalized frequency 6.5 calculated using the
TemesGyi procedure and providing a minimum loss of about
48.9 dB, all shown in Fig. 15.
The polynomials of Eq. (68) can, of course, be used directly,
since the delay deviation from a constant, while not exactly
equal ripple, will be found satisfactory in most cases. Everything that we have said about equal-ripple delay functions
will work equally well with these polynomials. Additional
methods of simultaneous approximation of linear (or arbitrary) phase and flat magnitude may be found in Ref. 13.
Naturally, this procedure works for low-pass filters, but for
bandpasses, there is no closed-form interpolating polynomial
available, and due to the arbitrary intercept point of the linear phase line, we have another variable to be concerned
about. An approximate procedure for bandwidths of about
25% or less is to shift the low-pass poles and zeros by the
amount 0 parallel to the j axis, where 0 is the center of
the new bandpass filter. Clearly, all finite singularities of the
lowpass must be smaller than 20. The number of zeros of the
lowpass at infinity will be doubled and (if there is more than
one) are split up such that the bandpass has about three
times as many zeros at infinity as at zero. The resulting filter
463
will have the same delay as the low-pass and, in addition, will
be close to having an arithmetically symmetrical frequency
response (17). A direct iterative approximation method has
been described for equal-ripple delay bandpass design by Ulbrich and Piloty (see Ref. 26, which also contains tabulated
results for low-pass and bandpass filters).
Delay Lines. As explained previously in the discussion of
Bessel polynomials, these results may also be used to obtain
a delay line (i.e., a transfer function with H 1) by simply
using a numerator polynomial N(s) D(s) yielding twice
the delay.
Delay Equalization. Transfer functions of the form of Eq. (1)
will have a phase characteristics that will usually be far from
linear. This can be seen from the fact that minimum phase
transfer functions (those that have no zeros in the right half
of the s plane) have a unique relationship between loss and
phase (27). This can be expressed in the form
() =
a(x)
dx
x2 2
(69)
+ 0
A
ln
| 0 |
(70)
1
2A0
( 0 )2
(71)
1.005
Delay
0.995
0.99
0.985
0.98
0.975
3
4
5
Normalized frequency
464
100
Constant numerator
80
Loss (dB)
Equal
minima
60
40
Fourth order flat
20
0
Figure 15. Equal-ripple delay transfer function
with various numerators.
2.5
v(i )(r (i ) (i )) p
(72)
1 a s + b s2
k
k
2
1
+
a
s
+
b
k
ks
k
(73)
where all coefficients are positive and sometimes (in the case
of a lowpass function) we may have a linear factor (1
a0s)/(1 a0s) as well. The magnitude of H is unity for all
frequencies, while the delay can be written as
() =
2Qk [1 + (/k )2 ]
2
+
1 + (/0 )2
[1 (/k )2 ]2 + Q2k (/k )2
k
(74)
7.5
10
12.5
Normalized frequency
15
17.5
20
0 + z1 2k + 1k z1 + z2
1 + 0 z1 k 1 + 1k z1 + 2k z2
(75)
r2 1
r2 1
+
2
1 + 2r cos( ) + r
1 + 2r cos( + ) + r2
(76)
465
9
8
Normalized delay
7
6
5
4
3
2
1
0
0.25
0.5
0.75
1.25
1.5
1.75
Normalized frequency
Formulation
FIR digital filters have to be treated differently than IIR filters. IIR filters have a rational transfer function and, as such,
can be obtained from analog filter functions, as we have done
previously. FIR filters, on the other hand, are represented by
a polynomial in z ejT and have no analog equivalent (except
the special case mentioned previously). The significant advantage of FIR filters over their IIR counterparts is that FIR filters may have exactly linear phase. This is easily observable
if the coefficients of the polynomial have even or odd symmetry:
H(z) =
ak zk
with aNk = ak
or aNk = ak
(77)
H() = e
jNT /2
aN/2 +
N/2
2ak1 cos T (k N/2)
(78a)
k=1
N/2
(78b)
(78c)
k=1
H() = e jNT /2
k=0
(N1)/2
k=0
9
8
7
Delay
6
5
4
3
2
1
0
0.25
0.5
0.75
Normalized frequency
1.25
466
0.7
Delay
0.6
0.5
r = 0.4
0
Figure 18. Delay of digital second-order delay sections.
0.2
(N1)/2
Windowed Design. The ideal low-pass filter transfer function is of the form
k cosk
(81)
k=0
Case 1:
Case 2:
Case 3:
Case 4:
1
sin()
cos(/2)
sin(/2)
M
M
M
M
sin n
c
c n
with hd (0) =
(83)
(84)
(80)
where
k cos(k) =
(82)
= 0 for c <
which is, of course, of infinite length. We can, however, truncate it to a symmetrical set of finite length:
H(s) = 1 for 0 c
(79)
k=0
(78d)
0.8
k=0
P() =
0.4
0.6
Normalized frequency
= N/2
= N/2 1
= (N 1)/2
= (N 3)/2
Approximation
There are basically two methods of FIR filter design in use at
the present time. One is the windowed design, and the other
is the equal-ripple approximation method. The windowed
h(n)
= h(n)w(n)
(85)
where w(n) is a window function and h(n) are the coefficients specified previously. We have seen more than 30 different window functions proposed (29) and will mention here
only a few. All equations are valid for odd N values; for even
N they must be modified slightly.
|2n|
N+1
(86)
(87)
4n
2n
+ 0.08 cos
N1
N1
(88)
n
N+1
467
(95)
The Taylor window is a simplified version of this that attempts to hold a subset of the sidelobes constant and permits
the rest to decrease at 6 dB per octave. For the exact formulation of these and many other windows, please see the references.
For other than low-pass filters, one must appropriately
modify the h(n) function before applying the windowing. For
instance, for a bandpass filter with passband from A to B,
the ideal impulse response is
(89)
hd (n) =
1
A
[sin(B n) sin(A n)] with hd (0) = B
n
(96)
0.0
for as < 21 dB
Remez Algorithm (Equal-Ripple Design). Returning to Eqs.
0.5824(as 21)0.4 + 0.07886(as 21)
(80)
and (81) for the equal-ripple design, we have the un=
(91)
for 21 dB < as < 50 dB
known k coefficients of the trigonometric polynomial P() to
for as > 50 dB
0.1102(as 8.7)
determine, and the best procedure for this purpose is the Remez exchange algorithm. The formulation of the problem is
where I0(x) is the modified zeroth-order Bessel function and based on the error function
is a selectable parameter.
The Gaussian window is
E() = W ()[Hr () H()] = W ()[Hr () Q()P()]
(97)
2
= W ()Q()[Hr ()/Q() P()]
w(n) = exp[2(an/(N 1)) ]
(92)
where a is a selectable parameter.
For the Chebyshev (also called DolphChebyshev) and
Taylor windows, w(n) will also be a cosine series, where the
coefficients are calculated by evaluating the Chebyshev polynomial at N equidistant points along the unit circle and subsequently calculating its inverse discrete Fourier transform.
This attempts to make all sidelobes to be about equal and of
specified height. The equation that defines the weights is as
follows:
M
where W is the usual weight function and P is the only unknown. Naturally, we must also select the case and therewith
the Q function, especially since some filter types can only be
implemented with some of the cases. For instance, lowpass
filters may not be implemented in a case where Q is a sine
function. Using the Remez algorithm, also described in Appendix B, the first step is to select a set of frequencies i, one
more than the number of free parameters in P(), and set the
value of E(k) in an alternating manner. Solving for the
trigonometric polynomial P, we obtain the expression
(93)
n=M
P(i ) =
Hr (i )
= Ai Bi = Ci
Q(i )
W (i )Q(i )
(98)
468
51 taps
90
80
70
60
Delay
50
40
30
20
10
0
10
0.2
0.4
0.6
Normalized frequency
0.8
(a)
particular, these equations can be written in matrix form, using Eq. (81):
cos2 1
cos3 1 . . .
1 cos 1
1 cos
cos2 2
cos3 2 . . .
cosM 1
B1
0
A1
cosM 2
B2
1 A 2
M
M+1
AM+2
cosM M+2 (1)M+2BM+2
A similar matrix equation may be written for the k coefficients, if we replace the powers of cosine by the multiple angle
forms of the cosine function. This linear set of M 2 equations in M 2 unknowns is not solved directly, because that
would be time consuming. Instead, we first calculate , for
which we can find a closed-form expression:
M+2
q A
=
M+2k=0 k k
k
k=0 (1) qk Bk
where qk =
M+2
1
cos
cos i
k
i=0,
= k
(100)
Once this is computed, the remaining equations can be obtained by deleting the last row and the last column from the
preceding matrix equation and replacing the right side by the
column containing Ci Ai Bi. This forms an interpolation
51 taps
100
80
Loss
60
40
20
0.2
0.4
0.6
Normalized frequency
(b)
0.8
469
51 taps
100
80
Loss
60
40
20
0.2
0.4
0.6
Normalized frequency
0.8
(c)
P() =
M+1
lk ()Ck
k=0
M+1
i=0,
= k (cos
lk () = M=1
where
i=0,
= k (cos
cos i )
k cos i )
(101)
Next we evaluate the function E() on a dense set of frequencies to locate the true extrema i and replace the previous
frequencies by these new i values. Repeating the process
leads to the true minimax approximation in a very few steps.
Naturally, the procedure needs additional safeguards, especially concerning the treatment of extra ripples that may
occur and, of course, the convergence criteria and numerical
problems, if any. Nevertheless, a program has been available
in the public domain for some time now (31) and produces
excellent results. In this method there is no need to distinguish between low-pass, high-pass, or bandpass filters. Indeed, the procedure works for any number of pass- and stopbands. Also note that the requirements need not be flat; any
specified shape can be accommodated.
Fig. 20 shows a 51 tap long low-pass filter designed by this
method and requesting a passband up to 0.4 and a stopband
from 0.475 to 1.0, the Nyquist rate. The filter has less than 1
dB passband loss ripple, and the minimum stopband loss is
51 taps
100
80
Loss
60
40
20
0.2
0.4
0.6
Normalized frequency
(d)
0.8
1
Figure 19(d). Loss of a Hamming window.
470
51 taps
100
80
Loss
60
40
20
0.2
i=1
(102)
w(i )[Hr (i ) Q(i )P(i )]
0.8
(e)
E=
0.4
0.6
Normalized frequency
i=1
where H() is now given by Eqs. (80) and (81), we can see
that E is a quadratic function of all the unknown coefficients
2
(103)
100
80
Loss
60
40
20
0.2
0.4
0.6
Normalized frequency
(f)
0.8
471
51 taps
100
80
Loss
60
40
20
0.2
0.4
0.6
Normalized frequency
0.8
(g)
(104)
(105)
This is indeed a slight generalization of the method of frequency sampling and can be used for FIR filter design. Natu-
(106)
We can now set the last error term to zero and solve this
equation, because the matrix on the left (QF)T(QF) is an M
1 by M 1 square matrix. We leave the details for the literature (32,33). We must be careful about using this algorithm,
51 taps
100
80
Loss
60
40
20
0.2
0.4
0.6
Normalized frequency
(h)
0.8
1
Figure 19(h). Characteristics of a Taylor window.
472
51 taps (0.40.475)
90
80
70
60
Loss
50
40
30
20
10
0
10
Figure 20. Equal-ripple (Remez) FIR filter characteristics.
0.2
since the procedure can get numerically ill conditioned. Instead, we recommend the use of the methods in the LINPACK
program package (34) or the method of singular-value decomposition (33).
This method can also be applied to the case of nonlinear
phases, and it is one of the methods most often used in that
case.
Closed-Form Solutions. We may mention two special cases,
in which we can obtain closed-form expressions for the FIR
filter. Both use the Chebyshev polynomials Tn(x) we have already used (35). Since Tn(x) varies between 1 if x is in the
range 1 x 1, we can simply replace x by an expression
in terms of cos(). If we need a low-pass with an equal-ripple
passband, we select
x=
(1 + cos p ) 2 cos
1 cos p
(107)
(108)
(109)
2 cos + 1 cos s
1 + cos s
0.8
K L1
1 cos n
1 + cos
dn
2
2
n=0
L K
n
1
1 + cos
1 cos
1
dn
2
2
n=0
H() =
0.4
0.6
Normalized frequency
(110)
(112)
(K 1 + n)!
(K 1)!n!
or d n =
(L 1 + n)!
(L 1)!n!
(113)
473
Equal-ripple passband
0.8
0.6
0.4
Equal-ripple stopband
0.2
0.2
0.4
0.6
Normalized frequency
0.8
high-order filters designed by this method will have a number of coefficients at the end with very small values. Consequently, these filters are practical for medium complexity
(N 50) only.
Attempts have been made for developing algorithms for
the design of FIR filters with flat passband and equal-ripple
stopband or vice versa. Today, few of these methods are in
general use.
Additional algorithms have been developed for cascading
two or more functions to generate more selective filters and
their design, for which we refer the reader to the literature
(38).
TIME-DOMAIN APPROXIMATION
Aj
H(s) d+1
=
s
s pj
j=1
(114)
a(t) =
Returning to Eq. (1) for the overall transfer function and assuming that we are interested in the step response of the fil-
d+1
Aje p jt
(116)
j=1
K = 11, L = 8
Magnitude
0.8
0.6
0.4
0.2
0.2
0.4
0.6
Normalized frequency
0.8
474
b + b1 z1 + + bM zM
N(z)
= 0
H(z) =
=
h(n)zn
D(z)
1 + a1 z1 + + aN zN
n=0
(117)
where the h(n) values are given and the ai and bi coefficients
are to be determined. If we truncate the right side to K
M N 1 terms and cross multiply, we can compare coefficients of zk and obtain the following set of linear equations
[denoting h(n) by hn for simplicity]:
b0
h0
b h
1 1
b2 h2
. .
. .
=
bM hM
0 h
M+1
. .
. .
0
hM+N
0
h0
h1
0
0
h0
...
...
...
hM1
hM
hM2
hM1
...
...
hM+N1
hM+N2
...
0
0
0
.
.
a
1
a2
hMN
hMN+1
a
.
N
.
hM
(118)
D(s) =
d
(s pk )
(A.1)
k=1
1 + (s/s )2
(A.2)
which will be pure imaginary in the stopband from s to infinity. If we compute the transformed values of the pk poles
as
zk =
1 + (pk /s )2
(A.3)
(A.4)
1 j/2
1
(e
+ e j/2 ) =
2
2
d
Ev
k=1 (zk + z)
= d
(z2k z2 )
k=1
cos /2 =
d
z
k=1
d
z
+
zk + z k=1
k
z
+z
zk z
(A.5)
..
.
hM+N1a1 + hM+N2a2 + hM+N3a3 + + hM aN = hM+N
(119)
This set of N equations in the N unknown ai denominator
coefficients can be solved if the (square) matrix on the left is
nonsingular. Once this is done, we can go back to the first
M 1 equations and solve them for the numerator coefficients. If the matrix is singular, this indicates that the problem may be solved by a lower-degree H(z) function.
The problem with this method is that we have no control
over the values of h(n) beyond n M N 1 and, more
significantly, we have no idea if the resulting transfer function will turn out to be stable. The first of these can be somewhat alleviated by adding additional equations to those in Eq.
(119) and solve this (overdetermined) set of equations using
least squares techniques.
2
d
Ev k=1 (zk + z)
cos2 (/2) =
d
2
2
k=1 (zk z )
(A.6)
Ev
d
(zk + z)
k=1
and converting them back to s. The available minimum stopband loss can be computed simply by calculating the magnitude of N(s)/D(s) at s, assuming that the magnitude at s 0
is set to unity. Alternatively, we can evaluate the expression
n+1
1
( f (xi ) f ave )2
n + 1 i=1
The general optimization problem can be formulated as follows. The overall error function is a general, nonlinear function of the transfer function poles, zeros, and possibly a multiplier:
E = f (x1 , x2 , x3 , . . . xn ) = f (xx )
(B.1)
1/2
eps
(B.2)
where f ave is the average of all the function values and eps is
the specified tolerance. This simply means that the function
values are now so close as to make any distinction between
them meaningless.
This procedure is fast and cheap in terms of computational
expenses. Since the polyhedron is changing its shape and size
during the iteration, it is able to follow the terrain fairly well
and has been found to be effective in starting the optimization.
The Gradient Method. The gradient method needs the computation of the first set of partial derivatives:
f (x) =
475
f f
f
,
,...
x1 x2
xn
T
(B.3)
either analytically or approximately (numerically). The superscript T indicates transposition. Since the direction f is
where the value of f would increase the fastest, we go in the
opposite direction and search for the minimum along
x = x 0 f
(B.4)
(B.5)
2 f
xi x j
(B.6)
476
(B.7)
(B.8)
Gk+1 = Gk
pk pTk
(Gk yk )(Gk yk )T
+
yTk Gk yk
yTk pk
(B.9)
half of the s plane leaves the loss unchanged, and that is the
only restriction we need to satisfy. For delay requirements,
however, the poles may sometimes wander over to the righthalf plane, which is not permitted. We must then increase the
additional flat delay required to force these poles back into
the left half of the s plane. More complex constrained optimization techniques exist, but if we restrict our techniques to
optimizing the transfer function itself, these are usually not
necessary.
Minimax Approximation
All of the preceding methods are applicable if the error function is of the form of Eq. (66). For the minimax formulation
of Eq. (67), we have basically two options. One is based on the
fact that if the value of the exponent p in Eq. (66) tends to
very large values, the approximation in fact approaches the
minimax criteria. The other option is the application of the
Remez algorithm.
Remez Algorithm. The idea behind this algorithm is very
simple (44), and it is based on the alternation theorem: If
P() is a linear combination of M cosine functions,
P() =
M
k cos(k)
(B.10)
k=0
(B.11)
477
N = 3, 4, 5, 6, 7
40
35
Equal ripple to 12 dB
30
Loss
25
20
15
10
5
0
0.5
1.5
2
2.5
Normalized frequency
3.5
4
Figure 23. Loss characteristics of Gaussian filters.
Gaussian Filter
and
In certain situations, one would like to have a filter characteristic that approximates the Gaussian shape: H()2
exp[(/0)2]. One can again do this in various ways; the simplest one approximating this shape in the maximally flat
sense is to use the characteristic function
n=1
s 2n
0
n!
(C.1)
[a0 + a1 P1 (x)
(x + 1)[a0 + a1 P1 (x)
(C.4)
(C.5)
2
a1 /3 = a3 /7 = = a(n2)/2/(n 1) =
n(n + 2)
a0 = a2 = = a(n4)/2 = 0
Reference 45 contains tables of these polynomials. Fig. 24
shows the fifth-order Butterworth and Papoulis filter characteristics. For comparison, we also included the fifth-order
Chebyshev function with 1 dB ripple, but scaled to the same
3 dB point as the others. The Chebyshev is, of course, the
fastest rising but it is not monotonic.
ButterworthThomson Filter
P1 (x) = x;
(C.2)
2
P0 (x) = 1;
2 2 1
Papoulis (46) has found the function that provides a loss that
rises the fastest among all the monotonically increasing
transfer functions with a constant numerator function. One
can derive the denominator polynomial of such a function as
follows (see Refs. 46 and 47). For n odd
() () =
() () =
Papoulis Filter
2 2 1
2
a0 = a2 /5 = = a(n2)/2 /(n 1) =
n(n + 2)
and
2k + 1
k
xP (x)
P (x)
Pk+1 (x) =
k+1 k
k + 1 k1
(C.3)
Filter designers have found that the Butterworth characteristics are desirable from the loss point of view but have undesirable delay performance. The Bessel functions, on the other
hand, have the opposite behavior. It follows naturally that
someone would try to combine the two, yielding the ButterworthThomson filter. (In this context, Thomsons name is be-
N=5
10
Papoulis
8
Butterworth
Loss
2
Chebyshev
0
Figure 24. Comparison of polynomial low-pass
transfer functions.
0.25
0.5
0.75
1
Normalized frequency
1.25
1.5
2.5
2.5
60
50
Loss
40
30
20
10
0
Figure 25. Loss characteristics of Butterworth
Thomson filters.
0.5
1.5
2
Normalized frequency
1.2
Delay
0.8
0.6
0.4
0.2
0
Figure 26. Delay characteristics of Butterworth
Thomson filters.
0.5
478
1.5
2
Normalized frequency
k = 1, 2, . . . n
k = 1, 2, . . . n
(C.6)
where
479
12. R. Unbehauen, Low-pass filters with predetermined phase or delay and Chebyshev stopband attenuation, IEEE Trans. Circuit
Theory, CT-15: 337341, 1968.
13. J. D. Rhodes, Theory of Electrical Filters, New York: Wiley, 1976.
14. J. P. Thiran, Recursive digital filters with maximally flat group
delay, IEEE Trans. Circuit Theory, CT-18: 659664, 1971.
15. A. Fettweis, A simple design of maximally flat delay digital filters, IEEE Trans. Audio Electroacoust., AU-20: 112114, 1972.
16. J. P. Thiran, Equal-ripple delay recursive digital filters, IEEE
Trans. Circuit Theory, CT-18: 664669, 1971.
17. G. Szentirmai, The design of arithmetically symmetrical bandpass filters, IEEE Trans. Circuit Theory, CT-10: 367375, 1963.
18. B. R. Smith and G. C. Temes, An iterative approximation procedure for automatic filter synthesis, IEEE Trans. Circuit Theory,
CT-12: 107112, 1965.
19. H. C. Bell, private communication.
(C.7)
20. H. C. Bell, Bandwidth adjustment in iterative approximation procedures, IEEE Trans. Circuits Syst., CAS-25: 951954, 1978.
21. R. W. Daniels, Approximation Methods for Electronic Filter Design, New York: McGraw-Hill, 1974.
rk =
(rTk )m
and k =
kB
m(kB
kT )
2n 1
2 2n
e
to bring their magnitude close to unity. This quantity is an
approximation to the nth root of the constant term in the
polynomial and is based on Stirlings approximation of n!, but
it is very good even for low degrees. Also, this renormalization
will change the normalized delay at zero frequency from unity
to this value. Figures 25 and 26 show the loss and delay of
the sixth-order ButterworthThomson filters with m values
in the range 0.0 (0.25) 1.0.
BIBLIOGRAPHY
1. T. Saramaki, Design of optimum recursive digital filters with
zeros on the unit circle, IEEE Trans. Acoust. Speech Signal Process., ASSP-31: 450458, 1983.
2. M. Abramowitz and I. A. Stegun, Handbook of Mathematical
Functions, Washington, DC: National Bureau of Standards, 1964.
3. S. Darlington, Synthesis of reactance 4-poles which produce prescribed insertion loss characteristics, J. Math. Physics, 18: 257
353, 1939.
4. H. J. Orchard and A. N. Willson, Jr., Elliptic functions for filter
design, IEEE Trans. Circuits Syst. I, CAS-44: 273287, 1997.
5. G. Szentirmai, Computer-aided design methods in filter design,
in J. T. Taylor and Q. Huang (Eds.), CRC Handbook of Electrical
Filters, Boca Raton, FL: CRC Press, 1996.
6. R. Saal, Handbook of Filter Design, Berlin, Germany: AEG-Telefunken, 1979.
7. J. K. Skwirzynski, Design Theory and Data for Electrical Filters,
New York: Van Nostrand-Reinhold, 1965.
8. E. Christian and E. Eisenmann, Filter Design Tables and Graphs,
New York: Wiley, 1966.
9. L. Storch, Synthesis of constant-time-delay ladder networks using Bessel polynomials, Proc. IRE, 42: 16661675, 1954.
10. A. I. Zverev, Handbook of Filter Synthesis, New York: Wiley, 1967.
11. G. C. Temes and M. Gyi, Design of filters with arbitrary passband
and Chebyshev stopband attenuation, 1967 IEEE Int. Conf. Rec.,
pp. 212.
480
GEORGE SZENTIRMAI
DGS Associates Inc.
CIRCUIT OPTIMIZATION
The behavior of integrated circuits is influenced by the manner in which the circuit is built. For circuits that
are constrained to meet performance and time-to-market requirements, the use of automated techniques is
essential to manage the complexity. These parameters include the number of functional units in a behavioral
specification, the logic structure of the network, the layout topologies used, and many other factors. The behavior
of the circuit can be influenced by a number of such variable parameters, and a designer must carefully select
the correct parameters that meet the requirements with a reasonable overhead and in time to meet market
deadlines.
Optimization theory plays a large role in helping this process. There is a large body of literature on
optimizing linear functions, nonlinear functions, combinatorial functions, etc., that can be successfully applied
to these problems if appropriate models are used to fit the problem into any of these paradigms. It is important
to note that in addition to finding a model that fits into a known optimization problem form, it is imperative
that the model should be a good reflection of reality. This chapter assumes the presence of such models and
shows how they may be applied to circuit optimization problems.
A brief intuitive feel for optimization can be provided by the following example. The problem of optimization can be thought of as a multidimensional version of trying to find the lowest or highest point on a
topographical map. The possible solutions here are locations, specified as x and y coordinates, each of which
is associated with a particular height. In general, the map would be specified by a function and the number
of dimensions would be the number of parameters on which the function depends. Unconstrained optimization does not limit the search space at all, while constrained optimization limits the search to a specific area
(for example, finding the lowest point in the state of Colorado). Continuous optimization allows all possible
solutions, while discrete optimization permits only a few discrete points as solutions (for example, find the
highest point in Colorado that lies within the limits of a city with a population of over 10,000). Multicriterion
optimization attempts to find a balance of two objectives that should be optimized (for example, attempting
to find the highest point in the state of Colorado that has the least snowfall). The criteria, as is easily seen
from the example, can often be conflicting, and the problem has to be restated in a form that makes it more
unambiguous.
In this article, we will first provide a survey of optimization algorithms, followed by a set of examples that
illustrate the application of some of these algorithms on circuit optimization problems.
Optimization Algorithms
In this section, we survey a number of commonly used approaches for optimization. The vastness of this field
makes it infeasible to enumerate or describe all of the methods. While we will treat many prominent methods
in this chapter, several other methods, such as Newtons and modified Newton or quasi-Newton methods and
conjugate gradient methods, which are often useful in engineering optimization, are not covered here. For these
and more, the reader is referred to a standard text on optimization, such as Refs. 1 and 2.
1
CIRCUIT OPTIMIZATION
For the circuit designer, it is often not necessary or desirable to implement a complicated optimization
algorithm when an optimized public domain or commercially available piece of software is available for the
same purpose. However, understanding the underlying algorithms often helps a user to better utilize the
capabilities of the optimizer. Some prominent examples of such software include the public-domain tool LPSOL
(3) and the commercial tool CPLEX for linear programming and tools such as MINOS (4) and LANCELOT
(5) for nonlinear programming. Another valuable resource is Ref. 6, which provides a brief explanation and C
code for many common computational tasks, including optimization; related books in the same series address
numerical recipes in other programming languages.
Nonlinear Optimization Problems. The standard form of a constrained nonlinear optimization
problem is
representing the minimization of a function f of n variables under constraints specified by inequalities determined by functions g = [g1 gm ]T f and gi are, in general, nonlinear functions, so that the linear programming
problem is a special case of the above. The parameters x may, for example, represent circuit parameters, and
f (x) and gi (x) may correspond to circuit performance functions. In this equation, as in the rest of this paper, we
will denote a real vector space of k dimensions by Rk .
Note that inequalities can be handled under this paradigm by multiplying each side by 1, and
equalities by representing them as a pair of inequalities. The maximization of an objective function function
f (x) can be achieved by minimizing f (x).
The set F = {x | g(x) 0} that satisfies the constraints on the nonlinear optimization problem is known
as the feasible set, or the feasible region. If F is empty (nonempty), then the optimization is said to be
unconstrained (constrained).
Several mathematical programming techniques can be used to solve the optimization problem above;
some of these are outlined here. For further details, the reader is referred to a standard text on optimization,
such as Refs. 1 and 2. Another excellent source for optimization techniques and their applications to integrated
circuit (IC) design is a survey paper by Brayton, Hachtel, and Sangiovanni-Vincentelli (7).
The formulation above may not directly be applicable to real-life design problems, where often multiple
conflicting objectives must be optimized. In such a case, one frequently uses techniques that map on the problem
to the form in Eq. (1) (see section entitled Multicriterion Optimization and Pareto Criticality).
Basic Denitions. Apart from being able to evaluate a function, it is very important to determine
information about its variations. Such information is typically captured in the derivatives of the function, if the
function is smooth. In particular, the first and second derivatives play a large role in optimization. We define
two terms in this context with respect to a continuous function f (x), where x = [x1 x2 xn ]T .
Definition.
The gradient of a continuous and differentiable function f (x), denoted as f (x), is given by the 1 n
vector
CIRCUIT OPTIMIZATION
Definition. The Hessian (sometimes also referred to as the Jacobian) of a continuous and twice differentiable
function, denoted as 2 f (x), is given by the n n matrix
In any discussion on optimization, it is virtually essential to understand the idea of a convex function and a
convex set, since these have special properties, and it is desirable to formulate problems as convex programming
problems, wherever it is possible to do so without an undue loss in modeling accuracy. (Unfortunately, it is not
always possible to do so)
Definition.
A set C in Rn is said to be a convex set if, for every x1 , x2 C, and every real number , 0 1, the
point x1 + (1 )x2 C.
This definition can be interpreted geometrically as stating that a set is convex if, given two points in the
set, every point on the line segment joining the two points is also a member of the set. Examples of convex and
nonconvex sets are shown in Fig. 1.
Two examples of convex sets are the following geometric bodies:
(1) An ellipsoid E(x,B ,r) centered at point x is given by the equation
If B is a scalar multiple of the unit matrix, then the ellipsoid is called a hypersphere.
CIRCUIT OPTIMIZATION
(2) A (convex) polytope is defined as an intersection of half-spaces and is given by the equation
The convex hull is the smallest convex set that contains the m points. An example of the convex hull of
five points in the plane is shown by the shaded region in Fig. 2. If the set of points xi is of finite cardinality
(i.e., m is finite), then the convex hull is a polytope. Hence, a polytope is also often described as the convex hull
of its vertices.
Definition.
A function f defined on a convex set is said to be a convex function if, for every x1 ,x2 , and every , 0
1,
f is said to be strictly convex if the inequality in Eq. (7) is strict for 0 < < 1.
Geometrically, a function is convex if the line joining two points on its graph is always above the graph.
Examples of convex and nonconvex functions on Rn are shown in Fig. 3.
CIRCUIT OPTIMIZATION
Definition.
A function g defined on a convex set is said to be a concave function if the function f = g is convex.
The function g is strictly concave if g is strictly convex.
Definition.
The convex programming problem is stated as follows:
where f is a convex function and S is a convex set. This problem has the property that any local minimum of f
over S is a global minimum.
Definition.
A posynomial is a function h of a positive variable x Rn that has the form
CIRCUIT OPTIMIZATION
where the exponents ij R+ and the coefficients j R+ , and Gk 1,i (x) is a generalized posynomial of
order k1.
Two features of the definition are noteworthy, and we explicitly point them out. First, while the exponents
ij are unrestricted real numbers for G0 , they must necessarily be nonnegative for Gk , k > 0. Second, any
generalized posynomial of order k 1 is, by definition, also a generalized posynomial of order l k. Therefore,
in Eq. (12), Gk 1,i may be any generalized posynomial whose order is no greater than k1. As in the case of
regular posynomials, the mapping (xi ) = (ezi ) transforms a generalized posynomial of any order in the x space
to a convex function in the z space.
Constrained Optimization Methods. Most problems in integrated circuit design involve the minimization or maximization of a cost function subject to certain constraints. In this section, a few prominent
techniques for constrained optimization are presented. The reader is referred to Refs. 1,2, and 6 for details on
unconstrained optimization.
Linear Programming. Linear programming is a special case of nonlinear optimization, and is the convex
programming problem where the objective and constraints are all linear functions. The standard form of the
problem is stated as
Although the requirement on the nonnegativity of x may appear to be a limitation at first, this does not mean
that negative variables cannot be represented. For a variable xi that may be negative, we may simply use the
substitution xi = si1 si2 , where si1 , si2 0.
It can be shown that any solution to a linear program must necessarily occur at a vertex of the constraining
polytope. The most commonly used technique for the solution of linear programs, the simplex method (1), is
based on this principle. The computational complexity of this method can show an exponential behavior for
pathological cases, but for most practical problems, it has been observed to grow linearly with the number
of variables and sublinearly with the number of constraints. Algorithms with polynomial time worst-case
complexity do exist; these include Karmarkars method (10) and the Shor-Khachiyan ellipsoidal method (11).
The computational complexity of the latter, however, is often seen to be impractical from a practical standpoint.
Every linear program is associated with a dual linear program. Duality is a symmetric relationship, so
that the dual of the dual provides the primal. While it is generally true that any linear or nonlinear optimization
problem has a dual form, only in case of a linear program is it always true that the optimal value of the dual
is identical to the optimal value of the primal (original) problem. For a general nonlinear program, if we treat
the primal as the minimization problem and the dual as the maximization problem, then the optimal value of
the dual is less than or equal to that of the primal. The gap is referred to as the duality gap. For the primal
CIRCUIT OPTIMIZATION
If the values of x in Eq. (13) are restricted to the set of integers, the problem is referred to as an integer
linear programming problem. It is important to note that integer linear programming is a harder problem
than linear programming over a continuous space and that none of the existing algorithms for solving these
problems shows polynomial time behavior, either in theory or empirically in practice, for a general integer linear
program. However, for some special problem structures, such as shortest paths and network flows, polynomial
time solutions do exist.
Network Flows. Network flow problems are a specific instance of a linear program that have an
interpretation with respect to a graph construction. A network is a directed graph with two special vertices,
namely, a source s and a sink t, such that every other vertex in the graph lies on a directed path from s to t.
Each edges e = (i,j) in the network is associated with a maximum nonnegative capacity, uij . In the absence
of an edge, the capacity is considered to be zero. A flow through this network is a function that satisfies the
following requirements.
Capacity constraints. For each edge e = (i,j), xij uij , where uij is a constant.
Flow conservation. For each vertex i, i
/ s, t, the total inflow equals the total outflow, that is,
The value of a flow f is given by f = e = (s,i) xsi = e = (j,t) xjt , and an objective function that is often used
is to maximize f . Several problems can be formulated as maximum flow problems, and it is useful to obtain an
intuitive feel for the problem statement. We may think of the source as a water pump of unlimited capacity, the
sink as a reservoir of unlimited capacity, and the edges as pipes that have a limitation on how much water they
may let through in a unit time. The problem of maximizing the flow is then that of determining the maximum
volume of water per unit time that the entire network of pipes can let through.
A related problem is that of finding the minimum cut of a network. A cut is defined as a partition that
divides the vertex set into two parts, X and Y, such that s X, t Y, and X Y = 0. A minimum cut is one that
minimizes the sum of the capacities of edges from X to Y. Intuitively, it is easy to see that the volume of water
per unit time from the previous paragraph is limited by the bottleneck of the problem, which is the minimum
cut (this fact is also provable). This leads to the following result, called the max-flow min-cut theorem: The
value f of the maximum flow in a network is identical to the capacity of its minimum cut.
CIRCUIT OPTIMIZATION
Interestingly, the problem of maximizing the flow in network with n vertices can be represented by a
linear program as follows:
which is referred to a maximum flow problem. The special structure of this linear program can be exploited to
provide fast polynomial-time solutions to the various network flow problems. For details, the reader is referred
to Ref. 12.
A related problem is the minimum cost network flow, which can be stated as follows:
where cij and bi are constants and the xij s constitute the variables for this problem. Again, polynomial-time
algorithms for solving this problem exist. A practically efficient algorithm that is not guaranteed to converge
in polynomial time, but is empirically seen to, is an efficient adaptation of the simplex algorithm for linear
programming, called the network simplex algorithm (12).
An interesting property of the network flow problems is that if the edge capacities are all integers (and
so are the bi s in the case of minimum cost flow problems), then an optimum integer solution exists and can be
found in polynomial time. Therefore, for this specific structure, it is possible to solve the integer linear program
in polynomial time, though this is not possible for general problem statements.
Lagrange Multiplier Methods. The Lagrangian multiplier methods are closely related to the first-order
Kuhn-Tucker necessary conditions on optimality, which state that given an optimization problem of the form
in Eq. (1), if f and g are differentiable at x , then there is a vector Rm , ()i 0, such that
These correspond to m + 1 equations in m + 1 variables; the solution to these provides the solution to the
optimization problem. The variables are known as the Lagrange multipliers. Note that since gi (x ) 0, and
because of the nonnegativity constraint on the Lagrange multipliers , it follows from Eq. (19) that ()i = 0 for
inactive constraints (constraints with gi (x) < 0).
CIRCUIT OPTIMIZATION
Penalty Function Methods. Penalty function methods (13) convert the constrained optimization problem
in Eq. (1) into an equivalent unconstrained optimization problem, since such problems are easier to solve than
constrained problems, as
where P(x) : Rn R is known as a penalty function and c is a constant. The value of P(x) is zero within the
feasible region, and positive outside the region, with the value becoming larger as one moves farther from the
feasible region; one possible choice when the gi (x)s are continuous is given by
For large c, it is clear that the minimum point of Eq. (20) will be in a region where P is small. Thus, as c is
increased, it is expected that the corresponding solution point will approach the feasible region and minimize f .
As c , the solution of the penalty function method converges to a solution of the constrained optimization
problem.
In practice, if one were to begin with a high value of c, one may not have very good convergence properties.
The value of c is increased in each iteration until c is high and the solution converges.
Method of Feasible Directions. The method of feasible directions is an optimization algorithm that
improves the objective function without violating the constraints. Given a point x, a direction d is feasible if
there is a step size > 0 such that x + d F 0 , where F is the feasible region. More informally,
this means that one can take a step of size up to along the direction d without leaving the feasible region.
The method of feasible direction attempts to choose a value of in a feasible direction d such that the objective
function f is minimized along the direction, and is such that x + d is feasible.
One common technique that uses the method of feasible directions is as follows. A feasible direction at x
is found by solving the following linear program:
where the second set of constraints are chosen for all gi b, where b serves to incorporate the effects of
near-active constraints to avoid the phenomenon of jamming (also known as zigzagging) (1). The value of b
is brought closer to 0 as the optimization progresses. One common method that is used as a normalization
requirement is to set dT d = 1; others are given in Ref. 14. This constraint is nonlinear and nonconvex and is
not added to the linear program as an additional constraint; rather, it is exercised by normalizing the direction
d after the linear program has been solved. An appropriate step size in this direction is then chosen by solving
a one-dimensional optimization problem.
Feasible direction methods are popular in finding engineering solutions because the value of x at each
iteration is feasible, the algorithm can be stopped at any time without waiting for the algorithm to converge,
and the best solution found so far can be used.
10
CIRCUIT OPTIMIZATION
It is extremely unlikely in a real application that all of the f i s will be optimal at the same point, and hence
one must trade off the values of the f i s in a search for the best design point.
In this context, we note that at a point x, we are interested in taking a step in a direction d, d, = 1,
so that
A Pareto critical point is defined as a point x where no such small step of size less than exists in any direction.
If a point is Pareto critical for any step size from the point x, then x is a Pareto point. The notion of a Pareto
critical point is, therefore, similar to that of a local minimum, and that of a Pareto point is similar to a global
minimum. In computational optimization, one is concerned with the problem of finding a local minimum since,
except in special cases, it is the best that one can be guaranteed of finding without an exhaustive search. If the
set of all Pareto critical points is Pc , and the set of Pareto points is P, then clearly P Pc . In general, there
could be an infinite number of Pareto points, but the best circuit design must necessarily occur at a Pareto
point x P.
In Fig. 4, the level curves of two objective functions are plotted in R2 f 1 is nonlinear and has a minimum
at x f 2 is linear and decreases as both x1 and x2 decrease. The Pareto critical set, Pc , is given by the dashed
curve. At a few of the points, the unit normal to the level lines of f 1 and f 2 , that is, the negative gradients of f 1
and f 2 , is shown. From the figure, it can be seen that if the unit normals at point x are not equal and opposite,
then the unit normals will have a common downhill direction allowing a simultaneous decrease in f 1 and f 2 ,
and hence, x would not be a Pareto critical point. Therefore, a Pareto critical point is one where the gradients
of f 1 and f 2 are opposite in direction, that is, f 1 = f 2 , where is some scale factor.
In higher dimensions, a Pareto critical point is characterized by the existence of a set of weights, wi > 0
1 i m, such that
Some of the common methods that are used for multicriterion optimization are discussed in the following
sections.
Weighted-sum optimization. The multiple objectives, f 1 (x), . . ., f m (x) are combined as
CIRCUIT OPTIMIZATION
11
c
Fig. 4. Exact conflict at a Pareto critical point (1981
IEEE) (7).
At any local minimum point of F(x), the relation in Eq. (26) is seen to be valid, and hence, x Pc . In
general, P
= Pc , but it can be shown that when each f i is a convex function, then P = Pc ; if so, it can also be
shown that all Pareto points can be obtained by optimizing the function F in Eq. (27). However, for nonconvex
functions, there are points x P that cannot be obtained by the weighted sum optimization since Eq. (26) is
only a necessary condition for the minimum of F. A characterization of the Pareto points that can be obtained
by this technique is provided in Ref. 7.
In practice, the wi s must be chosen to reflect the magnitudes of the f i s. For example, if one of the objectives
is a voltage quantity the typical value of which is a few volts, and another is a capacitor value that is typically
a few picofarads, the weight corresponding to the capacitor value would be roughly 1012 times that for the
voltage, in order to ensure that each objective has a reasonable contribution to the objective function value.
The designer may further weigh the relative importance of each objective in choosing the wi s. This objective
may be combined with additional constraints to give a formulation of the type in Eq. (1).
Minmax optimization. The following objective function is used for Eq. (1):
where the weights wi > 0 are chosen as in the case of weighted sums optimization.
The above can equivalently be written as the following constrained optimization problem:
12
CIRCUIT OPTIMIZATION
Minimizing the objective function described by Eq. (28) with different sets of wi values can be used to obtain
all Pareto points (7).
Since this method can, unlike the weighted-sum optimization method, be used to find all Pareto critical
points, it would seem to be a more natural setting for obtaining Pareto points than the weighted-sum minimization. However, when the f i s are convex, the weighted-sum approach is preferred since it is an unconstrained
minimization and is computationally easier than a constrained optimization. It must be noted that when the
f i s are not all convex, the minmax objective function is nonconvex, and finding all local minima is a nontrivial
process for any method.
Discrete Optimization
Simulated Annealing. The simulated annealing algorithm (15) is an approach that is very suitable for
combinatorial optimization problems in which the number of possible solutions is very large, and most iterative
improvement algorithms are liable to be stuck in a local minimum that is not globally optimal. In this section,
we treat the simulated annealing algorithm as a procedure for combinatorial optimization. While extensions
for continuous nonlinear optimization do exist, they are typically used to a lesser degree.
The basic operation during simulated annealing is a move, which is an alteration in the current solution,
most often a minor perturbation. Like a greedy iterative algorithm, simulated annealing accepts a move to the
perturbed solution if it resulted in a lower cost; unlike a greedy approach, however, simulated annealing may
sometimes also accept a move if it has a larger cost than the current solution. This last property permits the
algorithm to perform hill-climbing that helps it to exit from local minima in a quest for the global minimum.
The metaphor that is used here is the process of annealing a metal, where at high temperatures, the atoms
may move freely and randomly in the metal. As the metal cools, the motion of the atoms becomes increasingly
restricted and localized and hence less random. As the metal cools sufficiently slowly, the totality of these
peregrinations lead to a state in which the atoms have been permitted to explore their freedoms and settle into
the minimum energy state with the lowest cost.
In a similar manner, the simulated annealing approach performs a set of iterations in an outer loop that
changes a parameter that is referred to as the temperature. Within this outer loop lies an inner loop in which
a number of moves are made at any given temperature, and the temperature is gradually reduced from a high
value to a low value according to a specified cooling schedule, which dictates how the temperature is changed
from one iteration of this outer loop to the next. For high values of the temperature, almost all moves are
accepted, regardless of whether they increase or decrease the cost of the solution. As the temperature becomes
lower, cost-increasing moves are rejected with larger probabilities. The probability of acceptance is determined
by the Metropolis function, defined as
where Cost is the increase in cost due to the move. At any given temperature, if Cost 0, the move is
accepted; if not, it is accepted with a probability of M (Cost, T), which clearly has the desired behavior. It is
worth noting that 0 < M(Cost, T) < 1.
In practice, the acceptance of the move is determined by generating a random number under a uniform
distribution; note that the probability that a uniformly distributed random variable is less than p, 0 p 1 is
given by p. Therefore, if the number is less than M(Cost, T), then the move is accepted.
The behavior of simulated annealing can be modeled using a Markov chain, where the next state after
a move is dependent only on the current state and not on the history of how that state was attained. Using
such models, it has been shown that simulated annealing will asymptotically find the optimal solution to
CIRCUIT OPTIMIZATION
13
a combinatorial problem. While such a proof is of limited comfort since an engineer can seldom wait until
time reaches infinity, it is definitely true that the procedure, while slower than most other methods, has been
successful in finding better solutions than several of its competing deterministic approaches for many problems.
An interesting feature of simulated annealing is that it is independent of the initial solution since at a high
temperature, almost all moves are accepted, and consequently the initial configuration is soon lost.
Genetic Algorithms. Genetic algorithms (16) are another set of nondeterministic algorithms that
mimic the process of evolution to search for an optimal solution. Genetic algorithms begin with an arbitrary
initial set of solutions, of varying costs, referred to as the population. Each individual in the population is
characterized by a set of symbols, referred to as genes, and the set of genes that identify an individual are
called chromosomes. In each iteration, or a generation, a segment of the population is altered through a set
of random transformations, referred to as crossover, mutation, and inversion. New candidates are created by
applying these transformations to one or more members of the population, with a fitness function being used
to calibrate their ability to survive. In any generation, the fitness function for all members of the population is
computed, and only the fittest survive.
Like simulated annealing, genetic algorithms also operate by permitting solutions that are worse than
the best, with the difference that they maintain a number of solutions in the population instead of only one,
and the manner in which moves are made is different. The likelihood that a solution would survive is dictated
by the fitness of other competing solutions that are created in each generation.
The individual operations may be briefly described as follows. A crossover operation takes the genes of
two parents and generates an offspring by combining the genes of each parent. A mutation operation, on the
other hand, operates only on a single individual, and produces spontaneous random changes in an individual
by altering a subset of these genes. Finally, an inversion operation takes a single chromosome and alters a
randomly chosen segment of the chromosome by flipping it. Note that the inversion operation does not alter
the set of genes associated with that individual solution but merely modifies the order. The significance of the
crossover operation is similar to birth, which allows the chromosomes of two parents to be selectively combined.
On the other hand, since crossover operations within a restricted population could result in inbreeding, the
mutation operation plays the vital role of introducing new external sets of genes that are not to be found
in the current population. Alternatively, mutation may reintroduce sets of genes that were rejected as being
incompatible with some other genes, but that could provide good solutions when combined with others. The
role of inversion is simply to permit the genes within a given solution to be permuted to help enlarge the space
of possible solutions.
14
CIRCUIT OPTIMIZATION
where c is a constant. Of all of these, the first form is perhaps the most useful practical form, since a designers
objective is typically to meet a timing constraint dictated by a system clock.
Delay Modeling. We examine the delay modeling procedure used in the program TILOS (Timed Logic
Synthesizer) at the transistor, gate, and circuit levels. Most existing transistor-sizing algorithms use minor
variations on this theme.
A MOS transistor is modeled as a voltage-controlled switch with an on-resistance, Ron , between drain and
source, and three grounded capacitances, Cd , Cs , and Cg , at the drain, source, and gate terminals, respectively,
as shown in Fig. 5. The behaviors of the resistance and capacitances associated with a MOS transistor of
channel width x are modeled as
Other more accurate models that have good analytic properties are discussed in Ref. 9.
At the gate level, delays are calculated in the following manner. For each transistor in a pull-up or pulldown network of a complex CMOS gate, the largest resistive path from the transistor to the gate output is
computed, as well as the largest resistive path from the transistor to a supply rail. Thus, for each transistor,
the network is transformed into an RC line, and its Elmore time constant (18,19) is computed and is taken to be
the gate delay. While finding the Elmore delay, the capacitances that lie between the switching transistor and
the supply rail are assumed to be at the voltage level of the supply rail at the time of the switching transition
and do not contribute to the Elmore delay.
Each Ri is inversely proportional to the corresponding transistor size xi , and each Ci is some constant (for
wire capacitance) plus a term proportional to the width of each transistor the gate, drain, or source of which is
connected to node i. Thus, the delay can be written as a sum of terms formed by a product of resistance terms
of the type A/x1 + A/x2 and capacitance terms of the type Bx2 + Cx3 + D, which yields a posynomial function
of x1 , x2 , and x3 .
At the circuit level, the PERT technique (20) is used to find the circuit delay. A gate is said to be ready
for processing when the signal arrival time information is available for all of its inputs. Initially, since signal
arrival times are known only at the primary inputs, only those gates that are fed solely by primary inputs are
CIRCUIT OPTIMIZATION
15
ready for processing. These are placed in a queue and are scheduled for processing. In the iterative process,
the gate at the head of the queue is scheduled for processing. Each processing step consists of
Finding the latest arriving input to the gate, which triggers the output transitionthis involves finding
the maximum of all worst-case arrival times of inputs to the gate
Adding the delay of the gate to the latest arriving input time, to obtain the worst-case transition time at
the output
Checking all of the gate that the current gate fans out to, to find out whether it is ready for processingif
so, the gate is added to the tail of the queue
The iterations end when the queue is empty. The critical path, defined as the path between an input and
an output with the maximum delay, can be found by successively tracing back, beginning from the primary
output with the latest transition time, and walking back along the latest arriving fan-in of the current gate,
until a primary input is reached.
In the case of CMOS circuits, the rise and fall delay transitions are calculated separately. For inverting
CMOS gates, the latest arriving input rise (fall) transition triggers off a fall (rise) transition at the output. This
can easily be incorporated into the PERT method previously described, by maintaining two numbers, tr and
tf , for each gate, corresponding to the worst-case rise (high transition) and fall (low transition) delays from a
primary input. To obtain the value of tf at an output, the largest value of tr at an input node is added to the
worst-case fall transition time of the gate; the computation of tr is analogous. For noninverting gates, tr and tf
are obtained by adding the rise (fall) transition time to the worst-case input rise (fall) transition time. Since
each gate delay is a posynomial, and the circuit delay found by the PERT technique is a sum of gate delays,
the circuit delay is also a posynomial function of the transistor sizes.
The Area Model. The exact area of a circuit cannot easily be represented as a function of transistor
sizes. This is unfortunate, since a closed functional form facilitates the application of optimization techniques.
As an approximation, the following formula is used by many transistor sizing algorithms, to estimate the active
circuit area:
where xi is the size of the ith transistor and n is the number of transistors in the circuit. In other words, the
area is approximated as the sum of the sizes of transistors in the circuit, which, from the definition equation
(10), is clearly a posynomial function of the xi s.
The Sensitivity-based TILOS Algorithm. The algorithm that was implemented in TILOS (17,21) was
the first used to recognize the fact that the area and delay can be represented as posynomial functions of the
transistor sizes. The algorithm is heuristic and proceeds as follows.
An initial solution is assumed in which all transistors are at the minimum allowable size. In each iteration,
the critical path for the circuit is first determined. Let N be the primary output node on the critical path. The
algorithm then walks backward along the critical path, starting from N. Whenever an output node of a gate,
Gatei , is visited, TILOS examines the largest resistive path between V DD (ground) and the output node if
Gatei s tr (tf ) causes the timing failure at N. This path contains a set of transistors connected between output
and a supply node, including the transistor on the critical path. We classify the transistors as
The critical transistor, that is, the transistor with a gate terminal on the critical path
The supporting transistors, that is, transistors along the largest resistive path from the critical transistor
to the power supply (V DD or ground)
The blocking transistors, that is, transistors along the highest resistance path from the critical transistor
to the logic gate output
16
CIRCUIT OPTIMIZATION
TILOS finds the sensitivity, which is the reduction in circuit delay per increment of transistor size, for
each critical, blocking, and supporting transistor. Since the effect of changing a transistor size on the path
delay is very localized, as it affects only the present gate, its fan-ins, and its fan-outs under the delay model
discussed here, the sensitivities can be calculated very efficiently. The size of the transistor with the greatest
sensitivity is increased by multiplying it by a constant, BUMPSIZE, a user-settable parameter that defaults
to 1.5. The process above is repeated until all constraints are met, implying that a solution is found, or the
minimum delay state has been passed, and any increase in transistor sizes would make it slower instead of
faster, in which case TILOS cannot find a solution.
Since each iteration changes exactly one transistor size, the timing analysis method can employ incremental simulation techniques to update delay information from the previous iteration. This substantially reduces
the amount of time spent in critical path detection.
Note that increasing the size of a transistor with negative sensitivity only means that the delay along the
current critical path can be reduced by changing the size of this transistor, and does not necessarily mean that
the circuit delay can be reduced; the circuit delay is the maximum of all path delays in the circuit, and a change
in the size of this transistor could increase the delay along some other path, making a new path critical. This
is the rationale behind increasing the size of the most sensitive transistor by only a small factor.
Transistor Sizing Using the Method of Feasible Directions. Shyu et al. (22) proposed a two-stage
optimization approach to solve the transistor sizing problem. The delay estimation algorithm is identical to
that used in TILOS. The algorithm can be summarized in the following pseudocode:
In the first stage, the TILOS heuristic is used to generate an initial solution. The heuristic finds a solution
that satisfies the constraints, and only the sized-up transistors are used as design parameters. Although TILOS
is not guaranteed to find an optimal solution, it can serve as an initial guess solution for an iterative technique.
In the second stage of the optimization process, the problem is converted into a mathematical optimization
problem, and is solved by a method of feasible directions (MFD) algorithm described earlier, using the feasible
solution generated in the first stage as an initial guess.
To reduce the computation, a sequence of problems with a smaller number of design parameters is solved.
At first, the transistors on the worst-delay paths (usually more than one) are selected as design parameters.
If, with the selected transistors, the optimizer fails to meet the delay constraints and some new paths become
the worst-delay paths, the algorithm augments the design parameters with the transistors on those paths and
restarts the process. However, while this procedure reduces the run time of the algorithm, one faces the risk of
finding a suboptimal solution since only a subset of the design parameters is used in each step.
CIRCUIT OPTIMIZATION
17
The MFD optimization method proceeds by finding a search direction d, a vector in the n-dimensional
space of the design parameters, based on the gradients of the cost function and some of the constraint functions.
Once the search direction has been computed, a step along this direction is taken, so that the decrease in the
cost and constraint functions is large enough. The computation stops when the length of this step is sufficiently
small.
Since this algorithm has the feature that once the feasible region (the set of transistor sizes for which all
delay constraints are satisfied) is entered, all subsequent improvements will remain feasible, and the algorithm
can be terminated at any time with a feasible solution.
For convergence, the MFD requires that the objective and constraint functions be continuously differentiable. However, since the circuit delay is defined as the maximum of all path delays, the delay constraint
functions are usually not differentiable. To cope with the nondifferentiability of the constraint functions, a
modification of the MFD is used that employs the concept of the generalized gradient (23). The idea is to use a
convex combination of the gradients of the active or nearly active constraints near a discontinuity. For details
of the scheme, the reader is referred to Ref. 22.
Lagrangian Multiplier Approaches. As can be seen from the approaches studied so far, the problem of
transistor sizing can be formulated as a constrained nonlinear programming problem. Hence, the method of
Lagrangian multipliers, described earlier, is applicable. Early approaches that used Lagrangian multipliers
(24,25) rely on the user to provide critical path information, which may be impractical since critical paths are
liable to change as sizing progresses. An alternative solution to transistor-size optimization using Lagrangian
multipliers was presented by Marple. This technique uses a different area model and employs the idea of
introducing intermediate variables to reduce the number of delay constraints from an exponential number to
a number that is linear in the circuit size.
This technique begins with a prespecified layout and performs the optimization using an area model for
that layout. While such an approach has the disadvantage that it may not result in the minimal area over all
layouts, it still maintains the feature that the area and delay constraints are posynomials. Apart from the delay
constraints, there also exist some area constraints, modeled by constraint graphs that are commonly used in
layout compaction (26). These constraints maintain the minimum spacing between objects in the final layout,
as specified by design rules.
The delay of the circuit is modeled by a delay graph D(V,E), where V is the set of nodes (gates) in D, and
E is the set of arcs (connections among gates) in D. This is the same graph on which the PERT analysis is to
be carried out. Let mi represent the worst-case delay at the output of gate i from the primary inputs. Then for
each gate, the delay constraint is expressed as
where gate i fan-in (gate j) and dj is the delay of gate j. Thus, the number of delay constraints is reduced
from a number that could, in the worst case, be exponential in | V |, to one that is linear in | E |, using | V |
additional variables. These techniques are implemented in Refs. 27 and 28.
A more recent work (29) uses the idea of Lagrangian relaxation to solve the problem. The essential idea
is to minimize, using the notation of the section entitled Lagrange Multiplier Methods, the function f (x) +
T g(x) for a fixed value for the Lagrange multiplier vector . After this is done, the value of the is updated,
and the procedure is continued until convergence. The results using this approach were found to be extremely
fast.
Two-step Optimization. Since the number of variables in the transistor-sizing problem, which equals
the number of transistors in a combinational segment, is typically too large for most optimization algorithms
to handle efficiently, many algorithms choose a simpler route by performing the optimization in two steps.
Examples of algorithms that use this idea to solve the transistor-sizing problem are iCOACH (30) and MOSIZ
(31).
18
CIRCUIT OPTIMIZATION
In the first step in MOSIZ, each gate is mapped onto an equivalent macromodeling primitive, such as
an inverter. The transistor-sizing problem on this simplified circuit is then solved. Note that the number of
variables is substantially reduced when each gate is replaced by a simple primitive with fewer transistors. The
delay of each equivalent inverter, with the transistor sizes obtained above, is taken as the timing budget for
the gate represented by that inverter, and the gate is optimized under the timing budget.
iCOACH uses macromodels for timing analysis of the circuit and has the capability of handling dynamic
circuits. The optimizer employs a heuristic to estimate an improvement factor for each gate, which is related
to the sensitivity of the gate. The improvement factor depends on the fan-in count, fan-out count, and the
worst-case resistive path to the relevant supply rail. The improvement factor is then used to allocate a timing
budget to each gate. In the second step, for each gate, a smaller transistor-sizing problem is solved, in which the
areadelay product of the gate is minimized, subject to its delay being within its timing budget. The number
of variables for each such problem equals the number of transistors within the gate, which is typically a small
number. The optimization method used here is Rosenbrocks rotating coordinate scheme (32).
The two steps are repeated iteratively until the solution converges. While this technique has the obvious
advantage of reducing the number of design parameters to be optimized, it suffers from the disadvantage that
the solution may be nonoptimal. This stems from the simplifications introduced by the timing budget allocation;
the timing budget allocated to each gate may not be the same as the delay of the gate for the optimal solution.
A more recent approach (33) performs a set of provably optimal iterations between the delay budgeting
phase and the gate optimization phase. The method proceeds through two phases that are iteratively repeated:
first, fixing the set of transistor sizes and finding a set of delay budgets, under a maximum delay perturbation,
that would minimize the circuit area, and then finding the optimal sizes for each gate corresponding to those
budgets. The method is found to be very fast in practice.
The Convex Programming-based Approach. The algorithm in iCONTRAST (34) solves the underlying
optimization problem exactly. The objective of the algorithm is to solve the transistor-sizing problem in Eq. (28),
where both the area and the delay are posynomial functions of the vector x of transistor sizes. The procedure
described below may easily be extended to solve the formulations in Eqs. (29) and (30) as well; however, these
formulations are not as useful to the designer. The variable transformation (xi ) = (ezi ) maps the problem in Eq.
(28) to
The delay of a circuit is defined to be the maximum of the delays of all paths in the circuit. Hence, it can be
formulated as the maximum of posynomial functions of x. This is mapped by the above transformation onto a
function D(z) that is a maximum of convex functions; a maximum of convex functions is also a convex function.
The area function is also a posynomial in x, and is transformed into a convex function by the same mapping.
Therefore, the optimization problem defined in Eq. (28) is mapped to a convex programming problem, that is, a
problem of minimizing a convex function over a convex constraint set. Due to the unimodal property of convex
functions over convex sets, any local minimum of Eq. (28) is also a global minimum. A convex programming
method (35) is then used to find the unique global minimum of the optimization problem.
Concluding Remarks. The list of algorithms presented above are among the most prominent used for
transistor sizing. For further details and a more complete survey, the reader is referred to Ref. 19. For a related
problem, the gate-sizing problem for selecting optimal gate sizes from a standard cell library, the reader is
referred to Refs. 36,37,38.
The reader must be cautioned here that the actual optimization problem in transistor sizing is not exactly
a posynomial programming problem. The use of Elmore delay models (which are accurate within about 20%) to
CIRCUIT OPTIMIZATION
19
approximate the circuit delay, and the use of approximate area models allows the problem to be formulated as
a convex program, and hence although one may solve this optimization problem exactly, one still must endure
the inaccuracies of the modeling functions. In practice, in most cases, this is not a serious problem.
Design Centering. The design-centering problem is described in this section, and this discussion
provides some insight into the formulation of the problem as a linear program and as a convex program.
Problem Description. While manufacturing a circuit, it is inevitable that process variations will cause
design parameters, such as component values, to waver from their nominal values. As a result, the manufactured circuit may no longer meet some behavioral specifications, such as requirements on the delay, gain, and
bandwidth, that it has been designed to satisfy. The procedure of design centering attempts to select the nominal values of design parameters so as to ensure that the behavior of the circuit remains within specifications,
with the greatest probability. In other words, the aim of design centering is to ensure that the manufacturing
yield is maximized.
The values of n design parameters may be ordered as an n-tuple that represents a point in Rn . A point
is feasible if the corresponding values for the design parameters satisfy the behavioral specifications on the
circuit. The feasible region (or the region of acceptability), Rf Rn , is defined as the set of all design points for
which the circuit satisfies all behavioral specifications.
The random variations in the values of the design parameters are modeled by a probability density
function, (z) : Rn [0,1], with a mean corresponding to the nominal value of the design parameters. The
yield of the circuit Y as a function of the mean x is given by
The design center is the point x at which the yield, Y(x), is maximized. There have traditionally been
two approaches to solving this problem: one based on geometrical methods and another based on statistical
sampling. In addition, several methods that hybridize these approaches also exist.
A common assumption made by geometrical design centering algorithms is that Rf is a convex bounded
body. Geometrical algorithms recognize that the evaluation of the integral in Eq. (39) is computationally
difficult and generally proceed as follows: the feasible region in the space of design parameters, that is, the
region where the behavioral specifications are satisfied, is approximated by a known geometrical body, such as
a polytope or an ellipsoid. The center of this body is then approximated and is taken to be the design center.
The Simplicial Approximation Method. The simplicial approximation method (39) is a method for approximating a feasible region by a polytope and finding its center. This method proceeds in the following
steps:
(1) Determine a set of m n + 1 points on the boundary of Rf .
(2) Find the convex hull (see the section entitled Basic Definitions) of these points and use this polyhedron
as the initial approximation to Rf . In the two-dimensional example in Fig. 6(a), the points 1, 2, and 3 are
chosen in step (a), and their convex hull is the triangle with vertices 1, 2, and 3. Set k = 0.
(3) Inscribe the largest n-dimensional hypersphere in this approximating polyhedron and take its center as
the first estimate of the design center. This process involves the solution of a linear program. In Fig. 6(a),
this is the hypersphere C0 .
(4) Find the midpoint of the largest face of the polyhedron, that is, the face in which the largest (n1)dimensional hypersphere can be inscribed. In Fig. 6(a), the largest face is 23, the face in which the largest
one-dimensional hypersphere can be inscribed.
20
CIRCUIT OPTIMIZATION
c
Fig. 6. The simplicial approximation method (1977
IEEE) (39).
(5) Find a new boundary point on Rf by searching along the outward normal of the largest face found in step
(d) extending from the midpoint of this face. This is carried out by performing a line search. In Fig. 6(a),
point 4 is thus identified.
(6) Inflate the polyhedron by forming the convex hull of all previous points, plus the new point generated in
step (e). This corresponds to the quadrilateral vertices 1, 2, 3, and 4 in Fig. 6(a).
(7) Find the center of the largest hypersphere inscribed in the new polyhedron found in step (f). This involves
the solution of a linear program. Set k = k + 1, and go to step (d). In Fig. 6(a), this is the circle C1 .
Further iterations are shown in Fig. 6(b). The process is terminated when the sequence of radii of the
inscribed hypersphere converges.
The procedure of inscribing the largest hypersphere in the polytope proceeds as follows. Given a polytope
specified by Eq. (5), if the ai s are chosen to be unit vectors, then the distance of a point x from each hyperplane
of the polytope is given by r = aT i x bi .
The center x and radius r of the largest hypersphere that can be inscribed within the polytope P are then
given by the solution of the following linear program:
Since the number of unknowns of this linear program is typically less than the number of constraints, it is
more desirable to solve its dual (1). A similar technique can be used to inscribe the largest hypersphere in a
face of the polytope; for details, see Ref. 39. For a generalization of the simplicial approximation method for
the inscription of maximal norm bodies to handle joint probability density functions with (nearly) convex level
contours, see Ref. 40.
If the above design-centering procedure is applied to a rectangular feasible region, the best possible
results may not be obtained by inscribing a hypersphere. For elongated feasible regions, it is more appropriate to
determine the design center by inscribing an ellipsoid rather than a hypersphere. The simplicial approximation
handles this problem by scaling the axes so that the lower and upper bounds for each parameter differ by the
same magnitude, and it is shown in Ref. 39 that one may inscribe the largest ellipsoid by inscribing the largest
hypersphere in a transformed polytope. This procedure succeeds in factoring in reasonably the fact that feasible
CIRCUIT OPTIMIZATION
21
c
Fig. 7. The ellipsoidal method (1991
IEEE) (41).
regions may be elongated; however, it considers only a limited set of ellipsoids that have their axes aligned
with the coordinate axis, as candidates for inscription within the polytope.
The Ellipsoidal Method. This method, proposed in Ref. 41, is based on principles similar to those used by
the Shor-Khachiyan ellipsoidal algorithm for linear programming (11). This algorithm attempts to approximate
the feasible region by an ellipsoid, and takes the center of the approximating ellipsoid as the design center.
It proceeds by generating a sequence of ellipsoids, each smaller than the last, until the procedure converges.
Like other methods, this procedure assumes that an initial feasible point is provided by the designer. The steps
involved in the procedure are as follows (see also Fig. 7):
(1) Begin with an ellipsoid E0 that is large enough to contain the desired solution. Set j = 0.
(2) From the center of the current ellipsoid, choose a search direction, and perform a binary search to identify a
boundary point along that direction. One convenient set of search directions are the parameter directions,
searching along the ith, i = 1, 2, . . ., n in a cycle, and repeating the cycle, provided the current ellipsoid
center is feasible. If not, a linear search is conducted along a line from the current center to the given
feasible point.
(3) A supporting hyperplance (1) at the boundary point can be used to generate a smaller ellipsoid, Ej+1 , that is
guaranteed to contain the feasible region Rf , if Rf is convex. The equation of Ej+1 is provided by an update
procedure described in Ref. 41.
(4) Increment j, and go to step (a) unless the convergence criterion is met. The convergence criterion is triggered
when the volume is reduced by less a given factor, . Upon convergence, the center of the ellipsoid is taken
to be the design center.
Convexity-based Approaches. In the technique presented in Ref. 42, the feasible region, Rf Rn , is
first approximated by a polytope described by Eq. (5). The algorithm begins with an initial feasible point, z0
Rf . An n-dimensional box, namely, {z Rn | zmin zi zmax }, containing Rf is chosen as the initial polytope P0 .
In each iteration, n orthogonal search directions, d1 , d2 . . . dn are chosen (possible search directions include
22
CIRCUIT OPTIMIZATION
c
Fig. 8. Polytope approximation for the convexity-based methods (1994
IEEE) (42).
the n coordinate directions). A binary search is conducted from z0 to identify a boundary point zbi of Rf , for
each direction di . If zbi is relatively deep in the interior of P, then the tangent plane to Rf at zbi is added to
the set of constraining hyperplanes in Eq. (5). A similar procedure is carried out along the direction di . Once
all of the hyperplanes have been generated, the approximate center of the new polytope is calculated, using a
method described in Ref. 42. Then z0 is reset to be this center, and the above process is repeated.
Therefore, unlike the simplicial approximation method that tries to expand the polytope outwards, this
method starts with a large polytope and attempts to add constraints to shrink it inwards. The result of polytope
approximation on an ellipsoidal feasible region is illustrated in Fig. 8.
When the probability density functions that represent variations in the design parameters are Gaussian
in nature, the design-centering problem can be posed as a convex programming problem. The joint Gaussian
probability density function of n independent random variables z = (z1 , . . ., zn ) with mean x = (x1 , . . ., xn ) and
variance = (1 . . ., n ) is given by
This is easily seen to be a log-concave function of x and z, that is, the logarithm of the function x (z) is
concave in x and z. Also, note that arbitrary covariance matrices can be handled, since a symmetric matrix
may be converted into a diagonal form by a simple linear (orthogonal) transformation. The design centering
CIRCUIT OPTIMIZATION
23
c
Fig. 9. Two different retimings for the same circuit (1998
IEEE) (60).
where P is the polytope approximation to the feasible region Rf . It is a known fact that the integral of a logconcave function over a convex region is also a log-concave function. Thus, the yield function Y(x) is log-concave,
and the above problem reduces to a problem of maximizing a log-concave function over a convex set. Hence,
this can be transformed into a convex programming problem. A convex programming algorithm (35) is then
applied to solve the optimization problem.
Concluding Remarks. The list of algorithms above is by no means exhaustive but provides a general
flavor for how optimization methods are used in geometrical design centering. The reader is referred to Refs. 43,
44,45,46 for further information about statistical design. In conclusion, it is appropriate to list a few drawbacks
associated with geometrical methods: first, it is not always true that the feasible region will be convex; second,
although some methods such as the simplicial approximation assume the center of the ellipsoid to be the design
center, this is not accurate, as the precise design center can change depending on the probability distributions
of the variables; and third, geometric methods suffer from the so-called curse of dimensionality, whereby the
computational complexity of these algorithms increases greatly with the number of variables.
Retiming.
Introduction. Retiming is a procedure that involves the relocation of flip-flops (FFs) across logic gates
to allow the circuit to be operated under a faster clock. The chief idea is that while the FFs are relocated
internally, the circuit must remain unchanged from an input-output perspective. Specifically, every path must
have an identical latency (number of FF stages) in the original and in the retimed circuit. An example of a
simple circuit under two different retimings is shown in Fig. 9.
The technique was first proposed by Leiserson, Rose, and Saxe (47,48), where the algorithmic basis
of retiming circuits with edge-triggered FFs was described without specifically focusing on implementational
aspects. Several papers have been published since then, such as Refs. 49,50,51,52,53,54,55,56, primarily dealing
with algorithmic issues and extending the LeisersonRoseSaxe method to handle variations of the original
LeisersonRoseSaxe problem.
24
CIRCUIT OPTIMIZATION
Notation. A sequential circuit can be represented by a directed graph G(V, E), where each vertex v
corresponds to a gate, and a directed edge euv represents a connection from the output of gate u to the input of
gate v, through zero or more registers. Each edge has a weight w(euv ), which is the number of registers between
the output of gate u and the input of gate v. Each vertex has a constant delay d(v). A special vertex, the host
vertex, is introduced in the graph, with edges from the host vertex to all primary inputs of the circuit and edges
from all primary outputs to the host vertex.
A retiming is a labeling of the vertices r : V Z, where Z is the set of integers. The weight of an edge euv
after retiming, denoted by wr (euv ) is given by
The retiming label r(v) for a vertex v represents the number of registers moved from its output towards its
inputs. One may define the weight of any path p originating at vertex u and terminating at vertex v (represented
as u v), w(p), as the sum of the weights on the edges on p, and its delay d(p) as the sum of the weights of
the vertices on p. A path with w(p) = 0 corresponds to a purely combinational path with no registers on it;
therefore, the clock period can be calculated as
Another important concept used in the LeisersonRoseSaxe approach is that of the W and D matrices
that are defined as follows:
The matrices are defined for all pairs of vertices (u, v) such that there exists a path p : u v that does not
include the host vertex. W(u, v) denotes the minimum latency, in clock cycles, for the data flowing from u to v
and D(u, v) gives the maximum delay from u to v for the minimum latency.
The retiming problem as posed by Leiserson, Rose, and Saxe can be framed in the following two ways:
(1) The minimum period retiming problem, in which FFs are relocated to obtain a circuit with the minimum
clock period, without any consideration to the area penalty due to an increase in the number of registers.
Retiming for a specified clock period is a special case of this problem.
(2) The constrained minimum area retiming problem, in which FFs are relocated to achieve a given target clock
period with the minimum register count. Constrained minimum area retiming is a much harder problem
than minimum period retiming. Unconstrained minimum area retiming (i.e., retiming for minimum area
without any regard for the final clock period) is a special case of minimum area retiming and can be solved
efficiently because the time-consuming step of generating the period constraints (to be defined later) is not
required.
CIRCUIT OPTIMIZATION
25
Minimum period retiming. The minimum period retiming problem can be stated as follows:
Note that this is not a linear program, since the second set of constraints depends on P. However, it can be
solved efficiently by a binary search on the value of P. For a given value of P, there is no objective function, and
the task is simply to find a feasible solution that satisfies all of the constraints, which are easily verified to be
linear in the r variables.
The search could proceed as follows: starting with an initial interval, [Pmin , Pmax ], test to see whether the
constraints are satisfiable for Pmid = Pmin + Pmax /2. If so, reduce the search interval to [Pmin , Pmid ]; otherwise,
reduce it to [Pmid , Pmax ]. The search is completed when the interval is sufficiently small. The binary search
approach is supported by the (provable) observation that if no such solution exists for a given value of P, then
no solution exists for any smaller value of P.
Therefore, at each step of the binary search, we must find a feasible point that satisfies a set of linear
constraints. While this may be solved as a linear program, we can observe that each constraint has a very
specific form: it says that the difference between two variables should be no larger than a constant. Such a
system is referred to as a system of difference constraints (57) and can be solved using graph traversal methods.
Specifically, for each constraint of the type
one may build a constraint graph with one vertex for each r variable, with an edge from vertex v to vertex u
with a weight of c. The solution to this system then corresponds to the shortest path in the graph from the
host node, whose r variable is used as a reference and set to 0. For a general set of edge weights cuv which
may be larger or smaller than 0, the Bellman-Ford algorithm (57) may be applied to this graph to find the
shortest paths. Note that there may be many feasible solutions to the set of inequalities, and the Bellman-Ford
algorithm only identifies one of these.
Minimum area retiming. For minimum period retiming or for a retiming for any period, there are, in
general, a number of solutions that correspond to different ways of using up the slacks in the constraint graph.
The minimum area retiming problem for a target period P finds the solution that has the smallest number of
FFs. It can be formulated as the following linear program:
where FI(v) and FO(v) represent the fan-in and fan-out sets of the gate v.
The significance of the objective function and the constraints is as follows (the reader is referred to Ref.
48 for details).
26
CIRCUIT OPTIMIZATION
The objective function represents the number of registers added to the retimed circuit in relation to the
original circuit.
The first constraint ensures that the weight euv of each edge (i.e., the number of registers between the
output of gate u and the input of gate v) after retiming is nonnegative. We will refer to these constraints as
circuit constraints.
The second constraint ensures that after retiming, each path whose delay is larger than the clock period
has at least one register on it. These constraints, being dependent on the clock period, are often referred to
as period constraints.
It is easily verified, using the relations in Eqs. (13), (14), and (17), that the dual of this problem is an
instance of a minimum-cost network flow problem.
Concluding Remarks. Some recent algorithms have presented fast and practical solutions to the retiming problem for large circuits. These include Refs. 58,59,60,61 and proceed primarily by pruning the number of
constraints in the problem using insights available from a deeper study of the problem. Other approaches (62,63)
provide a framework for incorporating long-path and short-path constraints together in a single formulation.
Placement. During the layout of a circuit, it is common for the preliminary layout of each module, such
as a gate, to be designed independently. Subsequently, depending on the load to be driven, the module may be
altered through sizing or other synthesis transformations. The placement problem involves the determination
of locations for a set of modules that have a fixed size. The modules may be joined by a set of nets, each of which
is connected to two or more modules. This connection point for a module is at a location that is fixed relative
to a reference point on the module, such as the bottom left corner. The objective of the placement problem may
be to minimize the packing area and to optimize the wire length or congestion or delay in the circuit.
While many algorithms for placement have been proposed (see, for example Refs. 64,65, and 26 for a
survey), in this section, we will concentrate on two algorithms that use simulated annealing and genetic
algorithms, respectively. The placement problem is amenable to being tackled using these approaches since
it is inherently a combinatorial problem that has a very large search space, which is practically difficult to
optimize over.
Placement by Simulated Annealing. One of the most successful placement algorithms for placement,
which is often used as a benchmark against which to compare other placers, is based on the application of simulated annealing. The TimberWolf algorithm (66) is directed towards standard cell-based applications, where
cells are arranged in rows so that all cells in a row have the same height but possibly different widths (26).
The skeleton of the algorithm progressing according to the simulated annealing procedure described
earlier. At each temperature, a fixed number of moves is made. A move may consist of one of three actions:
(i) moving a single cell to a new location in the same row or a different row, (ii) swapping two cells, and (iii)
mirroring a cell while leaving its height and location unchanged. The first two types make up a majority of the
moves, a relatively smaller number of the last type of move are introduced, and the ratio of the first type of
move to the second is a parameter that is found to provide the best performance at values significantly larger
than 1 (typically 3 to 8). The distance that a cell can move is bounded by a temperature-limited range limiter,
which reduces this distance logarithmically with the temperature.
The cost function is a weighted sum of three components:
(1) The wire length cost, estimated as the sum, over all nets, of the semiperimeter of the bounding box of each
net (with the option of weighting horizontal and vertical spans differently)
(2) A term that penalizes overlaps between cells, taken as the sum of the squares of overlaps between between
all pairs of cells
(3) A term that penalizes nonuniformity of row lengths, taken as a sum, over all rows, of the absolute value of
the difference between an expected row length and the actual row length
CIRCUIT OPTIMIZATION
27
The cooling schedule for annealing is taken by multiplying the temperature T i at the ith outer loop
iteration by a factor (T i ) to obtain the temperature for the (i + 1)th iteration. The value of is typically set
to a lower value (such as 0.8) for the upper and lower ranges of the temperature schedule and a higher value
(such as 0.95) for the middle range.
Genetic Algorithms for Placement. The work in Ref. 67 presents an excellent example of the application of a genetic algorithm on the standard cell placement problem. The general framework is the same as described earlier. The genes correspond to ordered triples that define the cell identities and their x and y locations.
The crossover operation combines the genes of two parents to obtain an offspring chromosome. For a layout
with n cells, the process of crossover can be performed by choosing the first k < n cells from one chromosome, for
some random value of k, and appending the remaining n k to the end of the string that identifies the offspring
cell. Note that the ordering of the genes in the chromosome is important since two parents with the same
genes but differently ordered chromosomes could lead to a different offspring even for the same value of k.
The mutation operation is a unary operation, and exchanges the locations of two cells. This corresponds to
altering the genes in the chromosome so that their (x,y) locations are swapped. Finally, the inversion operation
does not alter the placement correspond to a chromosome, but simply inverts the order of genes in a substring
of the chromosome. Note that this permits a larger variation in the possible offspring that may be produced as
a result of future crossover operations.
The fitness function is calculated as the inverse of the sum of the semiperimeters of all nets, with the
horizontal and vertical directions being weighted differently. In each generation a set of crossover, mutation,
and inversion operations are performed according to a specified rate. The crossover and mutation operations
could potentially result in cell overlaps and are followed by a step that realigns the cells to remove these
overlaps in the offspring. Following this step, the fitness function is evaluated for each offspring. Finally, a
selection method is applied to cull the set of offspring to maintain the fittest of these in the population using
either a deterministic or a random criterion, so as to maintain a constant population. The procedure continues
for a certain number of generations, after which the fittest solution is selected.
Conclusion
The potential for applying optimization methods to circuit optimization is vast and has been shown to provide efficient optimal solutions. A good solution combines accurate models with an efficient optimizer, and
compromising too much on either can lead to unusable solution. This article has presented a survey of such
optimization algorithms and examples of their application to circuit optimization problems. Prominent conferences in this area, such as the ACM/IEEE Design Automation Conference and the IEEE/ACM International
Conference on Computer-Aided Design and journals such as the IEEE Transactions on Computer-Aided Design, the IEEE Transactions on VLSI Systems, and the ACM Transactions on Design Automation of Electronic
Systems publish the most recent advances in this area and are good references for further reading.
Acknowledgments
This effort was supported in part by the Semiconductor Research Corporation under Contract No. 99-TJ-692
and by the National Science Foundation under Grant No. CCR-9800992.
BIBLIOGRAPHY
1. D. G. Luenberger Linear and Nonlinear Programming, 2nd ed., Reading, MA: Addison-Wesley, 1984.
2. P. E. Gill W. Murray M. H. Wright Numerical Linear Algebra and Optimization, Reading, MA: Addison-Wesley, 1991,
Vol. 1.
28
CIRCUIT OPTIMIZATION
CIRCUIT OPTIMIZATION
29
33. V. Sundararajan S. S. Sapatnekar K. K. Parhi MINFLOTRANSIT: Min-cost flow based transistor sizing tool, inProc.
ACM/IEEE Design Automation Conf., 2000.
34. S. S. Sapatnekar V. B. Rao P. M. Vaidya S. M. Kang An exact solution to the transistor sizing problem for CMOS circuits
using convex optimization, IEEE Trans. Comput.-Aided Des.12: 16211634, 1993.
35. P. M. Vaidya A new algorithm for minimizing convex functions over convex sets, Proc. IEEE Found. Comput. Sci., 1989
(Oct.): 332337.
36. W. Chuang S. S. Sapatnekar I. N. Hajj Delay and area optimization for discrete gate sizes under double-sided timing
constraints, inProc. IEEE Custom Integrated Circuits Conf., 1993, pp. 9.4.19.4.4.
37. P. K. Chan Algorithms for library-specific sizing of combinational logic, inProc. ACM/IEEE Design Automation Conf.,
1990, pp. 353356.
38. S. Lin M. Marek-Sadowska E. S. Kuh Delay and area optimization in standard-cell design, inProc. ACM/IEEE Design
Automation Conf., 1990, pp. 349352.
39. S. W. Director G. D. Hachtel The simplicial approximation approach to design centering, IEEE Trans. Circuits
Syst.,CAS-24: 363372, 1977.
40. R. K. Brayton S. W. Director G. D. Hachtel Yield maximization and worst-case design with arbitrary statistical distributions, IEEE Trans. Circuits Syst.,27: 756764, 1980.
41. H. L. Abdel-Malek A.-K. S. O. Hassan The ellipsoidal technique for design centering and region approximation, IEEE
Trans. Comput.-Aided Des.,10: 10061014, 1991.
42. S. S. Sapatnekar P. M. Vaidya S. M. Kang Convexity-based algorithms for design centering, inProc. IEEE/ACM Int.
Conf. Comput.-Aided Design, 1993, pp. 206209.
43. S. W. Director P. Feldmann K. Krishna Statistical integrated circuit design, IEEE J. Solid-State Circuits,28: 193202,
1993.
44. M. D. Meehan J. Purviance Yield and Reliability in Microwave Circuit and System Design, Boston, MA: Artech House,
1993.
45. S. W. Director W. Maly A. J. Strojwas VLSI Design for Manufacturing: Yield Enhancement, Boston, MA: Kluwer
Academic, 1990.
46. R. Spence R. S. Soin Tolerance Design of Integrated Circuits, Reading, MA: Addison-Wesley, 1988.
47. C. Leiserson F. Rose J. B. Saxe Optimizing synchronous circuitry by retiming, inProc. of the 3rd Caltech Conf. on VLSI,
1983, pp. 87116.
48. C. E. Leiserson J. B. Saxe Retiming synchronous circuitry, Algorithmica,6: 535, 1991.
49. N. Shenoy R. K. Brayton A. Sangiovanni-Vincentelli Retiming of circuits with single phase transparent latches, inProc.
IEEE Int. Conf. Comput. Design, 1991, pp. 8689.
50. A. Ishii C. E. Leiserson M. C. Papaefthymiou Optimizing two-phase, level-clocked circuitry, inAdv. Res. VLSI Parallel
Systems: Proc. 1992 Brown/MIT Conf., 1992, pp. 246264.
51. H.-G. Martin Retiming by combination of relocation and clock delay adjustment, inEur. Design Automation Conf., 1993,
pp. 384389.
52. T. Soyata E. G. Friedman J. H. Mulligan, Jr., Integration of clock skew and register delays into a retiming algorithm,
inProc. IEEE Int. Symp. Circuits Syst., 1993, pp. 14831486.
53. A. T. Ishii Retiming gated-clocks and precharged circuit structures, inProc. IEEE/ACM Int. Conf. Comput.-Aided
Design, 1993, pp. 300307.
54. B. Lockyear C. Ebeling Optimal retiming of level-clocked circuits using symmetric clock schedules, IEEE Trans.
Comput.-Aided Des., 10971109, 1994.
55. T. Soyata E. G. Friedman Retiming with non-zero clock skew, variable register and interconnect delay, inProc.
IEEE/ACM Int. Conf. Comput.-Aided Design, 1994, pp. 234241.
56. K. N. Lalgudi M. Papaefthymiou DeLaY: An efficient tool for retiming with realistic delay modeling, inProc. ACM/IEEE
Design Automation Conf., 1995, pp. 304309.
57. T. H. Cormen C. E. Leiserson R. L. Rivest Introduction to Algorithms, New York: McGraw-Hill, 1990.
58. N. Shenoy R. Rudell Efficient implementation of retiming, inProc. IEEE/ACM Int. Conf. Comput.-Aided Design, 1994,
pp. 226233.
59. R. B. Deokar S. S. Sapatnekar A fresh look at retiming via clock skew optimization, inProc. ACM/IEEE Design
Automation Conf., 1995, pp. 310315.
60. N. Maheshwari S. S. Sapatnekar Efficient retiming of large circuits, IEEE Trans. VLSI Syst.6: 7483, 1998.
30
CIRCUIT OPTIMIZATION
61. N. Maheshwari S. S. Sapatnekar Optimizing large multiphase level-clocked circuits, IEEE Trans. Comput.-Aided
Des.,18: 12491264, 1999.
62. M. Papaefthymiou Asymptotically efficient retiming under setup and hold constraints, inProc. IEEE/ACM Int. Conf.
Comput.-Aided Design, 1998, pp. 288295.
63. V. Sundararajan S. S. Sapatnekar K. K. Parhi Marsh: Minimum area retiming with setup and hold constraints, inProc.
IEEE/ACM Int. Conf. Comput.-Aided Design, 1999, pp. 26.
64. M. Sarrafzadeh C. K. Wong An Introduction to VLSI Physical Design, New York: McGraw-Hill, 1996.
65. S. M. Sait H. Youssef VLSI Physical Design Automation: Theory and Practice, New York: IEEE, 1995.
66. C. Sechen A. L. Sangiovanni-Vincentelli TimberWolf3.2: A new standard cell placement and global routing package,
inProc. ACM/IEEE Design Automation Conf., 1986, pp. 432439.
67. K. Shahookar P. Mazumder A genetic approach to standard cell placement using meta-genetic parameter optimizations,
IEEE Trans. Comput.-Aided Des.,9: 500511, 1990.
SACHIN S. SAPATNEKAR
University of Minnesota
254
SIGNAL AMPLIFIERS
SIGNAL AMPLIFIERS
OPEN-LOOP AMPLIFIERS
Amplification is needed whenever a signal (coming from a
transducer, an antenna, etc.) is too small to be efficiently processed. A signal amplifier is primarily intended to operate on
very small input signals with the aim of increasing the signal
energy. For instance, a voltage amplifier works with input
signals in the range of millivolts or even microvolts, and has
to provide a power gain. This last property distinguishes a
voltage amplifier from a transformer. A transformer, in fact,
can provide an output voltage greater than the input (primary) voltage, but the output power never exceeds the power
supplied by the signal source. The smallest signal which can
be detected and amplified is limited by the noise performance
of the amplifier. In fact, noise masks the signal so that recovery may not be possible. Linearity is another fundamental reJ. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
SIGNAL AMPLIFIERS
Ii
the circuit ground. Depending on the signal type to be amplified and on the desired type of output, amplifiers can be classified into four categories:
Io
Vi
Vo
(a)
Ii
Vi
Io
Vo
(b)
Figure 1. (a) Amplifier symbol; (b) amplifier with a common terminal (ground).
(1)
where xi and xo are the input and the output signals, respectively, which can be either voltage or currents, and A is a
constant representing the magnitude of the amplification,
usually termed the amplifier gain.
In general, an amplifier is a two-port network, which can
be represented by the circuit symbol in Fig. 1(a), showing the
input and output ports as well as the signal flow direction.
The amplifier model considered is unilateral since the signal
flow is unidirectional. This usually leads to a good approximation of real-life amplifiers which, however, also exhibit an undesired reverse transmission. Figure 1(b) illustrates a usual
situation where a common terminal between the input and
the output port exists and is used as a reference point called
ro
Vi
ri
AvoVi
Vo
(c)
(2)
FEEDBACK AMPLIFIERS
Although open-loop amplifiers have their own specific range
of applications (e.g., RF amplifiers are always open-loop circuits), an important class of amplifier is constituted by feedback stabilized amplifiers.
Negative feedback is widely used in the design of amplifiers, since it allows the gain to be stabilized with respect to
Io
ri Ai0Ii
ro
(b)
ro
ri
ri
RL
Avo
r i + Rs
RL + r o
Ii
(a)
Ii
255
RtoVi
Ii
Vo
Vi
ri Gt0Vi
(d)
ro
Figure 2. Circuit models for (a) voltage
amplifier; (b) current amplifier; (c) transresistance amplifier; and (d) transconductance amplifier.
256
SIGNAL AMPLIFIERS
xo
A
=
xs
1 + fA
(3)
(4)
More specifically, to evaluate the three terms, we have to relate a controlled source quantity, xo, to the controlling quantity, xc, by the parameter P (i.e., xo Pxc) and to follow the
steps below:
1. Switch off the critical controlled source setting P 0
and, to achieve the direct transmission term, G0, compute the transfer function between the input and output.
xs +
xi
xo
1 + TR (RS , RL )
1 + T (RS , RL )
(5)
(6)
SIGNAL AMPLIFIERS
1 + T (0, RL )
1 + T (, RL )
(7)
Ro = Rool
1 + T (RS , 0)
1 + T (RS , )
(8)
where Riol, and Rool, are the corresponding driving point input
and output resistances with the critical parameter P equal to
zero, and T(0, RL), T(, RL), T(RS, 0), and T(RS, ) are the
return ratios under the conditions specified for the source resistance, RS, and load resistance, RL.
FEEDBACK AMPLIFIER CONFIGURATIONS (13)
It follows from the previous discussion that the characteristics of the four amplifier types can be improved with the use
of negative feedback. For each amplifier we have to sample
the output signal by a suitable network and transmit a portion of this signal back to the input.
There are four basic types of single-loop feedback amplifiers analyzed below: (1) series-shunt, (2) shunt-series, (3)
shunt-shunt, and (4) series-series. The four typical amplifiers
are only implemented with bipolar transistors. However,
257
vo
T2
RL
T1
RC1
+
vs
Ro
Rs
Ri
RF
RE
(a)
iC1
+
vo
Rs
r 1 v 1
vs
RC1
gm1v 1
r 2
v 2
ro2
RL
gm2 v 2
Ri
Ro
RF
RE
(b)
258
SIGNAL AMPLIFIERS
RL
ic1
gm1 r 1
=
i
RL + RF gm1 r 1 + 1
T1
Rs
+
vs
RF
vo
(RF + RL )RE
r + RS
(RF + RL )RE + 1
gm1 r 1 + 1
RL
RL + RF
(14)
Se
RL
Ri
T = gm2
Ro
(9)
T1
vo
v S g
m2 =0
RL
RL
ve
RL + RF v S
RL + RF
Rool = RF +
r 1 + RS
R RF
gm1 r 1 + 1 E
(11)
RL
i
RL + RF
(13)
v 2
RF
RE
RL
(a)
Rs
r 1
ro1
v 1
(12)
RC1 r 2
RS
(10)
(15)
RL
(R r ) g
RL + RF C1 2 m2
where ve is the voltage on the emitter of T1. Thus, including the term RL /(RL RF), which takes into account
the voltage partition at the output of the voltage buffer,
we get the gain, G0, under the special condition of zero
feedback
G0 =
v 2
i
= (RC1 r 2 )gm2 c1
i
i
v 2
RC1 r 2
gm1v 1
(RF + RL) RE
i'
(b)
Figure 6. (a) Ac schematic for the evaluation of the return ratio for
the circuit in Fig. 4(a). On nullifying the input signal and replacing
the controlled generator of T2 with an independent current source i,
T1 becomes a common-base transistor. (b) Small-signal circuit of (a).
SIGNAL AMPLIFIERS
RF
vo
TR =
vel
259
RE
gm2 v 2
R + RF
= gm2 E
(RC1 r 2 )
i
RE
(22)
RL
(i.e., the typical virtual short-circuit condition). According to Fig. 7, which follows from these considerations,
G =
vo
v S g
=1+
m2
RF
RE
(16)
RL
T (0, RL ) =
(R r ) g
RL + RF C1 2 m2
T (, RL ) = T (RS , 0) = 0
T1
(17a)
RS
+
(17b)
Ri = Riol 1 +
Ro =
RL
(R r ) g
RL + RF C1 2 m2
(17c)
RE
(18)
(a)
(19)
iz1
+
vs
RS
r 1 v 1
RC1
r 2 v 2
gm1v 1
Ri
i
ve
RF
RE
(b)
R r
gm1 r 1 RC1 r 2
v C1 2 vS
1 + gm1 r 1 RE RF S
RE RF
(20)
vS
RF
(21)
i=
Rool
1 + (RC1 r 2 ) gm2
RF
vs
RC1 r 2
Figure 8. (a) Ac schematic for the evaluation of the null return ratio
for the circuit in Fig. 4(a). The controlled generator of T2 is replaced
by an independent current source i. (b) Small-signal circuit of Fig.
8(a).
260
SIGNAL AMPLIFIERS
T2
io
T1
RL
RC1
Ro
RS
is
RF
Ri
RE
(a)
is
RS
r 1
v 1
ro1
r 2
RC1
io
v 2
ro2
gm1v 1
gm2v 2
RL
Ro
Ri
RF
RE
(b)
Figure 9. (a) Ac schematic of shunt-series feedback amplifier; (b) small-signal equivalent circuit
of the shunt-series-shunt feedback amplifier in (a), obtained by replacing each transistor with its
small-signal model.
RS r 1
is
RF + RS r 1
(23)
(24)
The circuit in Fig. 10 represents a common base configuration; from it one obtains
RS
RS r 1
io
gm2 r 2
Go =
=
R
+
r
iS g =0
g
r
+
1
R
2
m2 2
F + RS r 1
RS + C1
m1
gm2 r 2 + 1
RS r 1
(25)
RF + RS r 1
which is always lower than one. The corresponding input and output resistance, Riol, and Rool, are given by
Riol =
r 2 + RC1
R
gm2 r 2 + 1 S
(26)
(27)
RS r 1
gm1 RC1
r 2
R
r
S 1 + RF
gm2 r 2 + 1 +
RE (RF + RS r 1 )
RS r 1
g R
(28)
RS r 1 + RF m1 C1
T=
gm2 r 2
SIGNAL AMPLIFIERS
ses, one can model the circuit with the one shown in
Fig. 12, and by inspection we find that the current entering into the emitter of transistor T2 is equal to
io
T2
RL
RC1
ie2 =
1+
RF
Rs r 1
iS
(29)
io
RC1
v 2
(a)
r 2
RF
RE
is
RE
261
ro2
RL
gm1v 2
T (0, RL ) = 0
R'S
T (, RL ) =
i's
T (RS , 0) =
(b)
Figure 10. (a) Ac schematic for the evaluation of the direct transmission term for the circuit in Fig. 9(a). On having nullified the input
signal and the transconductance of T1, the circuit acquires a commonbase configuration. (b) Small-signal equivalent circuit of the circuit in
(a). The current generator iS and resistor RS represent the Norton
equivalent seen by the emitter of T2.
T2
T (RS , ) =
(31)
r 1
g R
r 1 + RF m1 C1
(32)
RS r 1
g R
RS r 1 + RF m1 C1
(33)
RS r 1
g
RS r 1 + RF m1
{RC1 [r 2 + RE (RF + RS r 1 )]}
io
RC1
RL
v 2
r 2
i = gm1 v 1
RC1
RS
ro2
RL
gm1 v 2
ie2
RF
RE
(34)
r 1
v 1
RF
RE
is
Figure 11. Ac schematic for the evaluation of the return ratio for
the circuit in Fig. 9. On replacing the controlled generator of T1 with
an independent current source i, T1 becomes an emitter follower.
262
SIGNAL AMPLIFIERS
vo
RF
T1
T2
T3
Ro
RS
is
Ri
RC1
RC2
RL
(a)
RF
is
r 1 v 1
RS
RC1
r 2
vo
v 2
gm1v 1
r 3 v 3
RC2
gm3v 3
RL
gm2v 2
Ri
Ro
(b)
Figure 13. (a) Ac schematic of shunt-shunt feedback amplifier; (b) small-signal equivalent circuit of the shunt-shunt feedback amplifier, obtained by replacing each transistor in (a) with its
small-signal model.
vo
Rfo =
is g
=
m1 =0
RS r 1
R
RS r 1 + RF + RL L
(35)
(36)
Rool = RF + RS r 1
(37)
G0 =
RL
T = gm1 (RC1 r 2 ) gm2 (RC2 r 3 ) gm3
R r
RL + RF + RS r 1 S 1
(38)
and the asymptotic transresistance is
Rf =
vo
i s g
m1
= RF
(39)
io
v S g
m2 =0
1
RF
(40)
RC2 + r 3
Riol = r 1 + ( gm1 r 1 + 1) RE1 RF + RE2
gm3 r 3 + 1
(41)
Rool = ro3 + ( gm3 ro3 + 1) (r 3 + RC2 )RE2
RS + r 3
(42)
RF + RE2
gm3 r 3 + 1
T = RC1 gm2
G =
io
vS g
RC2
RF
m2
(43)
1
RF
1
+
+
RE1
RE2
RE1 RE2
(44)
SIGNAL AMPLIFIERS
T1
RS
T2
T3
263
is
+
vs
Ro
RC1
Ri
RC2
Ri
RF
RE1
RE2
Figure 14. Ac schematic of series-series feedback amplifier.
Since the loop gain generally has a very high value, the
closed-loop transconductance is almost equal to the asymptotic one, G. Moreover, the particular return ratios needed
to calculate the input and output resistance, assuming ro1 to
be a very high resistance, are
T (0, RL ) = T (RS , 0) = RC1 gm2
gC2
RF
T (, RL ) 0
(45)
(46)
A(s) =
RC2
RE2
RC2 + r 3 + RE2 RF RE2 + RF
(47)
s
1+
p1
A0
1+
s
p2
(51)
GF (s) =
In order show the increase in bandwidth of a feedback amplifier, consider an amplifier having the following single-pole
transfer function
A(s) =
A0
s
1+
p1
(48)
GF (s) =
GF0
GF0
s
s
1+
1+
(1 + f A0 )p1
T0 p1
(49)
A0
G
1 + f A0
(50)
(52)
GF0
s2
1+2
s+ 2
0
0
p1 p2 (1 + f A0 )
p1 + p2
1
20
2 T0
r p r p
1
p2
p1
(53)
(54)
Normalizing the closed-loop transfer function to 0, the frequency and step responses for different values of are those
plotted in Fig. 15(a) and Fig. 15(b), respectively. The behavior
is overdamped, critically damped, or underdamped if the
value is greater than, equal to, or lower than 1, respectively.
The underdamped condition (i.e., with two complex poles) is
critical since overshoot occurs in both the frequency and the
time domain and, to keep the peak in both the frequency and
step responses below the desired value, the parameter must
be properly set.
According to Eq. (54), to avoid overshoot one needs an amplifier, A, with widely spaced poles. More specifically, in order
to avoid an excess of underdamping, open-loop amplifiers are
designed with a dominant-pole behavior and a second pole at
a frequency higher than the gain-bandwidth product, GBW, of
the return ratio transfer function (i.e., p2 T0 p1). Thus it is
264
SIGNAL AMPLIFIERS
10
= 180 arctg
= 0.1
= 0.3
= 0.7
K tan
=1
0.1
10
(a)
2
0 = p1 KT0 (1 + T0 ) GBW K
1 1 + KT0
K
=
2
2
KT0
1 + s +
(59)
s2
K
(60)
= 0.7
=1
GF0
GF (s ) =
= 0.3
= 0.5
(58)
= 0.1
0.5
(57)
1
/ 0
1.5
(56)
Since for a dominant-pole amplifier the gainbandwidth product, GBW, is about equal to the transition frequency, T, and
arctg (1 /T) 0, from Eqs. (55) and (56) one obtains
= 0.5
0.1
10
15
20
0t
cp = GBW
(b)
K=
p2
p2
=
GBW
T0 p1
(55)
K2
2
(61)
GBW 4K K 2
D = e
K
4K
(62)
(63)
SIGNAL AMPLIFIERS
265
10
K = 0.04
2
GBW
K=1
K = 1.96
tan()
K=4
0.1
0.1
1
1
3
1
1
=0
GBW
2 3
(66)
K = 0.36
tan()
1
1
3
(67)
It is worth noting that compensation of a three-stage amplifier can be performed like that of a two-pole amplifier, where
the equivalent time constant of the second pole is equal to the
sum of the second and third pole time constants of the threepole amplifier.
10
/ GBW
(a)
2
K = 0.04
1.5
K = 0.36
K = 1.96
K=1
Open-Loop Amplifier
K=4
0.5
10
GBWt
15
20
(b)
CC
Cr
A(s) =
s
1+
p1
A0
s
1+
p2
s
1+
p3
(64)
+ arctg 3 90
GBW
GBW
(65)
vo
Reqi
Gmeq1vo
Ci
Reqo
v'
Co
Gmeq2v'
266
SIGNAL AMPLIFIERS
T (s) = T0
1+
1
p1
1
+
s
zr
1
p2
s+
s2
p1 p2
(68)
where
T0 = Gmeq1 Reqi Gmeq2 Reqo
(69)
Gmeq2
Cr
(70)
and the lower pole frequency, p1, and the higher pole frequency, p2, derive implicitly from
1
1
+
= Reqo (Co + Cr ) + Reqi [Ci + (1 + Gmeq2 Reqo )Cr ] (71)
p1
p2
and
C
1
= Reqi ReqoCo Ci + 1 + i Cr
p1 p2
Co
(72)
p1
1
Reqo (Co + Cr ) + Reqi [Ci + (1 + Gmeq2 Reqo )Cr ]
1
Reqi [Ci + (1 + Gmeq2 Reqo )Cr ]
(73a)
C
ReqoCo Ci + 1 + i Cr
Co
p2
P1c
p2c
1
Roeqi Gmeq2 ReqoCp
Gmeq2
(74a)
(74b)
Co + Ci
where the capacitance Cp, which is the sum of Cr and Cc, has
been assumed to be greater than Ci or Co. After compensation
the value of the second pole given by Eq. (74b) finds an intuitive justification. At the frequency at which it occurs, the capacitance Cp can be considered short-circuited, and Eq. (74b)
can be simply obtained by inspection of the circuit in Fig. 17.
From Eqs. (69) and (74a), the gain-bandwidth product is
GBW =
Bmeq1
Cp
(75)
Gmeq2
Cp
Gmeq1 Co + Ci
(76)
SIGNAL AMPLIFIERS
zr =
Figure 18(b) shows the compensation branch with a voltage buffer. Use of an ideal voltage buffer (i.e., with zero output resistance) to compensate the right half-plane zero gives
the same second pole as Eq. (74b) without the dependence on
the interstage capacitance, Ci and, hence, about the same
GBW. On the other hand, the finite output resistance of a real
voltage buffer leads to a left half-plane zero, which can be
efficiently exploited to perform a pole-zero compensation and
to increase the amplifier gain-bandwidth (24). Following this
last compensation strategy the second pole is given by
p2 =
Gmeq1
(78)
K(Co + Ci )
Gmeq2 CC Cb
(79)
Ci + Cb
Co
GBW
(77)
1
Rc Cc
Gmeq2
267
Cb
+
2
sG G
meq1
meq1
Gmeq2
(80)
K(Ci + Cb )Co
The resulting GBW has a higher value than that given by Eq.
(78), and, apart from the small contribution of Cb, is inversely
dependent on the geometric mean of Ci Cb and Co.
Compensation based on a current buffer, as shown in Fig.
18(c), is very efficient both for the gain-bandwidth (25,26) and
the PSRR performance (21,27,28). Moreover, unlike the voltage buffer, it does not have the drawback of reducing the amplifier output swing.
Considering an ideal current buffer in the compensation
branch, the second pole is given by
p2 =
Gmeq2
Co
Ci 1 +
CC
(81)
GBW
Gmeq1
2Gmeq2
B
RC
Av = 1
CC
CC
(b)
(a)
Ai = 1
B
CC
sG
Gmeq1
KCi +
(82)
meq1
Gmeq2
KCiCo
(c)
Figure 18. (a) Compensation network with nulling resistor. The
technique allows one to modify the zero zr according to Eq. (77). (b)
Compensation network with voltage buffer that breaks the feedforward path. (c) Compensation network with current buffer: another
way to break the feedforward path.
Rb =
1
2Gmeq1
(83)
allows compensation based on a current buffer to be optimized. Under the condition of Eq. (83) one obtains the follow-
268
SIGNAL AMPLIFIERS
GBW
given by
sGG
meq1
Gmeq1 2K 1
C +
2Gmeq2 2 + K i
meq1
2K 1
Gmeq2
2+K
1
2
HD2 f l =
CoCi
(84)
HD3 f l =
1
1 a2
1 a2 1
Xs =
Xo
2 a1 (1 + T0 )2
2 a21 1 + T0
1 a3
4 a1
2 f a22
2 f a22
1
1 a3
a3 (1 + T0 ) 2
a3 (1 + T0 ) 2
Xs =
Xo
(1 + T0 )3
4 a31
1 + T0
(89b)
(85)
Assuming that the incremental input voltage is a pure sinusoidal tone xi Xi cos(1t), one obtains the following output:
xo = b0 + b1 cos(1t) + b2 cos(21t) + b3 cos(31t)
(86)
a2 2
X
2 i
b1 = a1 Xi +
a
b2 = 2 Xi2
2
a3 3
X
b3 =
4 i
3
a X3
4 3 i
(90a)
2a22
a3
(90b)
b0 =
(89a)
(87a)
(87b)
(87c)
(87d)
HD2 f =
HD2o =
1 a2
|b2 |
1 a2
X =
Xo
|b1 |
2 a1 i
2 a21
(88a)
HD3o =
1 a3 2
|b3 |
1 a3 2
X =
X
|b1 |
4 a1 i
4 a31 o
(88b)
HD3 f =
1
2
1
4
1
a f 2N
To a1 2N
(91)
Xo
1
2
(a 2a22N ) ( f 3N 2 f 2N
)
To a21 3N
1
a2N f 2N Xo2
4
To a1
(92a)
(92b)
(93a)
(93b)
HD2fn
HD3fn
1 f 2 a21
1 f 2 a1
Xo
=
X =
2 (1 + T0 )2 S
2 (1 + T0 )
1
=
4
f 3 a31
2 f 22 a41
1
(1 + T0 ) 2
Xs =
(1 + T0 )3
4
(94a)
f 3 a1
2 f 22 a21
(1 + T0 ) 2
X0
1 + T0
(94b)
269
18. Y. Tsividis and P. Gray, An integrated NMOS operational amplifier with internal compensation, IEEE J. Solid-State Circuits, SC11: 748754, 1976.
19. G. Smaradoiu et al., CMOS pulse-code-modulation voice codec,
IEEE J. Solid-State Circuits, SC-13: 504510, 1978.
20. D. Senderowicz, P. Gray, and D. Hodges, High-performance
NMOS operational amplifier, IEEE J. Solid-State Circuits, SC13: 760766, 1978.
21. B. Ahuja, An improved frequency compensation technique for
CMOS operational amplifiers, IEEE J. Solid-State Circuits, SC18: 629633, 1983.
22. C. Makris and C. Toumazou, Current-mode active compensation
techniques, Electron. Lett., 26: 17921794, 1990.
23. W. Black, Jr., D. Allstot, and R. Reed, A high performance low
power CMOS channel filter, IEEE J. Solid-State Circuits, SC-15:
929938, 1980.
24. G. Palmisano and G. Palumbo, An optimized compensation strategy for two-stage CMOS Op Amps, IEEE Trans. Circuits Syst.,
42: 178182, 1995.
25. R. Castello, CMOS Buffer Amplifier, in J. Huijsing, R. van der
Plassche, and W. Sansen (eds.), Analog Circuit Design, Norwell,
MA: Kluwer, 1993, pp. 113138.
26. R. Reay and G. Kovacs, An unconditionally stable two-stage
CMOS amplifier, IEEE J. Solid-State Circuits, 30: 591594, 1995.
27. D. Ribner and M. Copeland, Design techniques for cascoded
CMOS op amps with improved PSRR and common-mode input
range, IEEE J. Solid-State Circuits, SC-19: 919925, 1984.
28. M. Steyaert and W. Sansen, Power supply rejection ratio in operational transconductance amplifier, IEEE J. Solid-State Circuits,
37: 10771084, 1990.
29. G. Palmisano and G. Palumbo, Two-stage CMOS OPAMPS based
on current buffer, IEEE Trans. Circuits Syst. I, 44: 257262, 1997.
30. R. Meyer and A. Wong, Blocking and desensitization in RF amplifier, IEEE J. Solid-State Circuits, 30: 944946, 1995.
31. D. Pederson and K. Mayaram, Analog Integrated Circuits for Communication: Principle, Simulation and Design, Norwell, MA:
Kluwer, 1991.
32. G. Palumbo and S. Pennisi, Harmonic distortion in nonlinear amplifier with nonlinear feedback, in print, Int. J. Circuit Theory
Appl., 26: 293299, 1998.
GAETANO PALUMBO
SALVATORE PENNISI
University of Catania
10. S. Rosenstark, A simplified method of feedback amplifier analysis, IEEE Trans. Educ., E-17: 192198, 1974.
11. J. Choma, Jr., Signal flow analysis of feedback networks, IEEE
Trans. Circ. Syst., 37: 455463, 1990.
12. R. Blackman, Effect of feedback on impedance, Bell Syst. Tech.
J., 22: 269277, 1943.
13. G. Palumbo and J. Choma, Jr., An overview of analog feedback,
Part II: Amplifier configurations in generic device technologies,
in print Analog Integ. Circuits Signal Process.
14. G. Palmisano and G. Palumbo, A novel representation for twopole feedback amplifiers, IEEE Trans. Educ., 41: 216218, 1998.
15. H. Yang and D. Allstot, Considerations for fast settling operational amplifiers, IEEE Trans. Circuits Syst., 37: 326334, 1990.
16. E. Cherry, A new result in negative feedback theory, and its application to audio power amplifiers, Int. J. Circuit Theory, 6: 265
288, 1978.
17. R. Eschauzier and J. Huijsing, Frequency Compensation Techniques for Low-Power Operational Amplifiers, Norwell, MA:
Kluwer, 1995.
where y(t) refers to the system output, u(t) refers to the system input, the independent variable t is time, and
the f denotes a mathematical relationship describing the nonlinear behavior: the system yields the output y(t)
when the system has a input u(t).
1
Fig. 1.
Fig. 2.
Generally, the nonlinear mapping f is very complicated, and there is no single technique suitable for the
analysis of all nonlinear behaviors. In order to appreciate the complexity associated with nonlinear systems, it
is best first to review the relative simplicity associated with linear systems. The main reason is that dynamic
system analysis relies heavily on linear models, due to their comprehensiveness and the availability of welldeveloped linear system theories.
A system (1) is called a linear system if it satisfies
We note two important features. One is that the sum of inputs results in the sum of the responses to the
individual inputs, and the other is that a multiple of an input results in the same multiple of the corresponding
output. An electric circuit containing a capacitor and a resistor is a common example used for explication. In
this article we will mainly focus on the theoretical aspect.
A dynamic system is called anticipatory or noncausal if its outputs depend on the past, present, and
future values of its inputs. A system is called nonanticipatory or causal if its outputs depend only on the past
and present values of its inputs. We say that a system is time-invariant if its properties are invariant to a shift
of time.
First let us consider the following linear system, which can be described as single-input, single-output,
time-invariant, and causal (1):
where h(t) is called the impulse response of the system. Without loss of generality, this system can be assumed
to satisfy the following assumptions: (1) h(t) is a real-valued function defined for t (, ) and piecewise
continuous except possibly at t = 0; (2) the input signal is a real-valued function defined for t (, )
and piecewise continuous. These conditions imply that the output signal is a continuous, real-valued function
defined for t (, ). In practical engineering, however, it is often assumed that h(t) is a real-valued function
defined for t (0, ), corresponding to causality. Considering only input signals that are zero prior to t = 0,
which allows the upper limit in Eq. (3) to be replaced by t, a change of the integration variable shows that Eq.
In this form the one-sidedness assumption on h(t) implies that the upper limit can be lowered to t, while a
one-sided assumption on u(t) enables the lower limit to be raised to 0. The representation (3) is usually favored
for time-invariant systems.
If the assumption that the system is time-invariant is dropped, the following inputoutput representation
applies. We replace h(t) with a real-valued function h(t, s) defined for t (, ), s (, ), with h(t, s) =
0 if s > t, that is,
It is straightforward to confirm that this represents a linear system in a general sense. In fact, the assumption
on h(t, s) implies causality; only the delay-invariance property has been dropped. Typically h(t, s) is allowed to
contain impulses for s = t, but otherwise is piecewise continuous for t s 0. The range of integration in Eq.
(5) can be narrowed as discussed before.
Comparison of Eqs. (4) and (5) highlights the fact that time-invariant linear systems are, in fact, special
cases of time-varying linear systems. Thus, the impulse function h(t, s) in (5) is called time-invariant if there
exists an impulse response h (t) such that
An easy way to check for time invariance of h(t, s) is to check the condition h(0, s t) = h(t, s). If this is satisfied,
then setting h (t) = h(0, t) verifies Eq. (6).
Fig. 3.
where u(t) is the system input, y(t) is the system output, and f () is an arbitrary nonlinear function. Figure
3 shows the corresponding inputoutput relationship. There are several reasons for the importance of this
differential algebraic form of the system equations. Apart from the notational simplicity, one can deal with
all systems by means of a compact notation instead of having to write a system of simultaneous differential
equations. Also, this representation is the one that most modern literature in the theory of differential equations
makes use of.
It is natural to represent the output in terms of the input as a series expansion
where the real-valued function of n variables hi (t1 ,t2 ,. . .,tn ), i = 0, 1, 2, . . ., is equal to zero if any ti < 0, that is to
say, the system is causal. Obviously the system is not linear, and it is a time-invariant system if hi (t1 ,t2 ,. . .,tn ) =
h i (t1 t2 tn ). Formally the expansion (8) is a generalization of the linear-variation-of-constants formula
(5). Clearly, this type of modeling problem for nonlinear systems may be expressed as follows: given a sequence
of inputoutput pairs, find a canonical model (8) whose inputoutput behavior generates the series of impulse
functions hi , i = 0, 1, 2, . . ..
The modeling process is rather straightforward if there are no further hypotheses on the analytic behavior
of f in Eq. (7) and there is a suitable definition of the canonical model. In general the problem is unsolvable,
but the following theorem (3) provides conditions under which the expansion (8) exists and is unique.
Theorem 1. If the nonlinear relationship f in Eq. (7) is an analytic vector field and Eq. (7) has a solution on
[0, T] with y(0) = h0 (0), then the inputoutput behavior of Eq. (7) has a unique representation expressed by
Eq. (8) on [0, T] (3).
Now it is quite clear that the condition of analyticity of the defining vector field is essential. The reason is
clear: analyticity forces a certain type of rigidity upon the system, namely, the system behavior is determined
by its response in an arbitrarily small open set. Fortunately, it is a property possessed by all systems defined
by sets of algebraic equations.
Volterra Representation
In this section, we introduce a special type of nonlinear series, Volterra series, which exhibit many important
features for nonlinear system modeling, control, and signal processing. Put in simple words, a Volterra series
contains symmetrical parameters, Volterra kernels, of order n, which are nth-order impulse responses, to
represent nonlinear dynamics. In most cases, we have to estimate the Volterra kernels. This is usually a
computationally rather complex procedure and is best performed offline.
Based on a real-valued function of n variables hn (t1 , t2 , . . ., tn ) defined for ti (, ), i = 1, 2, . . ., n, and
such that hn (t1 ,t2 ,. . .,tn ) = 0 if any ti < 0, we consider the following system response:
Equation (9) is a nonlinear model of degree n, in which application of the input au(t), where a is a scalar, yields
the output an y(t). The impulse function hn (t1 , t2 ,. . .,tn ) is called the model kernel.
If the input signal is one-sided, then all the upper limits can be replaced by t. A change of each variable
of integration shows that Eq. (9) can be rewritten in the following form:
In most science and engineering applications the above model is usually obtained from physical systems that are
structured in terms of interconnections of linear subsystems and simple nonlinearity, especially time-invariant
linear subsystems and nonlinearities that can be represented in terms of multipliers. Simply by tracing the
input signal through the system structure, it is easy to derive the system kernels from the subsystem kernels
for the interconnection-structured systems. The model (10) can also arise with a state-equation description of
a nonlinear system, which will be discussed in detail later on.
A model described by a finite sum of terms like those in Eq. (10),
is called an Nth-order Volterra model (4). It is worth noting that, as special cases, static nonlinear models
described by a polynomial or power series in the input,
or
are included in this Volterra model simply taking hn (t1 , t2 ,. . ., ti ) = ai (t1 )(t2 ) (ti ).
As a Volterra series is an infinite series, convergence conditions must be considered. Usually these
conditions include a bound on the time interval and a bound for u(t) on this interval, but the determination of
the bound is often difficult.
With reference to the derivation of the Volterra representation for a nonlinear model, it is reasonable to
consider the output y(t) of a nonlinear model at a particular time t as depending on all values of the input at
times prior to t, which implies that y(t) depends on u(t i) for all i 0. In other words, the present output
depends on all past input values. This viewpoint leads to the following idea. If u(t i) for all i 0 can be
described by a set of quantities u1 (t), u2 (t),. . ., then the output y(t) can be represented as a nonlinear function
of these quantities,
Suppose that t is fixed, and the input u(t i) is an element of the Hilbert space L2 (0, ) of square-integrable
functions, that is,
Also, suppose that w1 (t), w2 (t),. . . is an orthonormal basis for this space:
The value of the inputs at any time of the past is in the form
where
Equation (17) yields a characterization of the past of u(t) in terms of u1 (t), u2 (t),. . ., regardless of t. Expand the
function f (u1 (t), u2 (t),. . .) into a power series, so that the output at any time t is
In view of the definition of the kernel, this is the kind of representation that has been discussed.
The generalization of the Laplace transform to functions of variables is of importance for nonlinear system
modeling 5. This representation is very handy for characterizing model properties and for describing the model
inputoutput response. Given a time-invariant linear model, the transfer function of the model is the Laplace
transform of h(t),
For one-sided input signals, by using the convolution property of the Laplace transform, the inputoutput
response can be expressed as
or
where Y(s) and U(s) are the transforms of y(t) and u(t), respectively. If a model transfer function is given and the
input signal of interest has a simple transform U(s), then the utility of this representation for computing the
corresponding output signal is quite clear. Moreover, many system properties can be expressed rather simply
as properties of H(s). Consider that an nth-order nonlinear model with one-sided inputs is represented by
Because of the properties of the multivariable Laplace transform, there is no direct way of updating in a
form similar to Eq. (23). An indirect approach is therefore employed, writing Eq. (24) as the following pair of
equations:
Then, Eq. (25) can be written as a relationship between Laplace transforms in the form
Now let us look at the Laplace transform representation of Volterra models. It basically involves the collection of submodel transfer functions in Eq. (25). Obviously, response calculations are performed by summing
the responses of the submodels as calculated individually by association of variables:
This summation requires consideration of the convergence properties of an infinite series of time functions.
Usually convergence is crucially dependent on properties of the input signals, for example, bounds on their
amplitude. Using the growing exponential signals as inputs of Volterra models, it is easy to determine the
transfer function of the model.
The nonlinear Volterra models discussed above can be developed for discrete-time cases. There are differences, of course, but these mostly are differences in technical details or interpretation of the results. The
situation is similar to the linear case, where the continuous- and discrete-time theories look much the same.
Consider the following discrete-time system representation:
The input signal u(t) and the output signal y(t) are real sequences that are assumed to be zero for t < 0. The
kernel h(i0 , i1 , . . ., il ) is real, and assumed to be zero for any i0 , i1 , . . ., il < 0. It is straightforward to verify that
a system described by Eq. (29) is nth-order, time-invariant, and causal. It is noted that the upper limits on the
summations can be lowered to t.
Since, for any t, y(t) is given by a finite summation, problems like continuity and integrability in the
continuous-time case do not arise with regard to the representation in Eq. (29). Notice that direct transmission
terms are explicitly displayed in Eq. (29), and there is no need to consider impulsive kernels. The familiar sumover-permutations argument shows that the kernel in Eq. (29) can be replaced by the symmetric kernel hsym (i0 ,
i1 , . . ., in ) = 1/n! () h(i(1) , i(2) , . . ., i(n) ) without loss of generality. From the symmetric kernel representation,
a triangular-type kernel can be defined as htri (i0 , i1 , . . ., il ) = hsym (i0 , i1 , . . ., il )(i1 i2 , i2 i3 , . . ., il 1 il ) (6),
where the special multivariable step function takes the form
It is easy to verify that when n = 2, this setup yields consistent results in going from the symmetric kernel to
the triangular kernel and vice versa. The higher-degree cases are less easy but still straightforward.
The regular kernel representation is another special form of the system kernel. With the triangular kernel
representation
where
Also it is noticed that the upper limits of the summation in Eqs. (31) and (32) can be replaced by finite quantities.
Although only time-invariant systems are usually considered, a general representation of the form
is also very important. It is natural to follow the continuous-time case and call a kernel h(t, i0 , i1 , . . ., il )
time-invariant if h(0, i0 t, i1 t, . . ., il t) = h(t, i0 , i1 , . . ., il ). If this relationship holds, then setting g(i0 , i1 ,
10
which is equivalent to Eq. (34). Actually the above equation can be described as
Cubic Model.
Of course the convergence issue is important for Volterra models, but the basic approach to convergence
in the continuous-time case carries over directly (4).
In the frequency domain, the z-transform representation is used for Volterra systems in just the same
way that the Laplace transform is used in the continuous-time case (7). A transfer function for a nth-order
discrete-time system [Eq. (29)] is defined as the z-transform of a kernel for the system. That is,
11
Unfortunately, it seems to be impossible to represent the inputoutput relationship in Eq. (29) directly in terms
of U(z), Y(z), and H(z1 , z2 , . . ., zl ). The usual alternative is to rewrite Eq. (29) as a pair of equations
while the second equation is an association of variables that involves contour integrations of the following
form:
As far as the regular transfer function is concerned, the formulas in Eqs. (40) and (41) do not directly apply.
However, by suitably restricting the class of input signals, a much more explicit formula can be obtained.
By establishing a basic expression for the z-transform of the inputoutput relation (32), the regular transfer
function is cast in the following form:
State-Space Representation
A state-space representation is usually used for describing physical systems. If the state of a system is known,
then any output or quantity of interest with respect to certain performance indices can be achieved. To deter-
12
mine the state of a system as a function of time, we need a set of equations to relate the inputs state of the
system. One approach for obtaining that set of equations is to consider each state variable as an output, to
be determined via an nth-order differential or difference equation. Using the state-space approach, we will be
able to write n first-order differential or difference equations for the n state variables of the system. In general
they will be coupled equations, that is, they will have to be solved simultaneously. For nonlinear systems,
these first-order equations will be nonlinear ones. One advantage of the state-space representation is that once
the first-order equations are solved, complete knowledge of the system behavior is obtained. All outputs are
algebraic functions of the state variables. No further solution of a differential or difference equation is needed.
The inputoutput behavior of a nonlinear system can be characterized by first-order differential or difference equations
or
where x n are the internal states of the system, u m are the inputs, y q are the outputs and f : n+m
n , g : n q . While the inputs and outputs of a system are generally the tangible physical data, it is the
state variables that assume the dominant role in this formulation. It is possible that quantities that are not of
interest will lead to an unnecessarily complicated problem.
A major difficulty in dealing with nonlinear equations is that the existence and the uniqueness of solutions,
even in a local sense, cannot be taken for granted. As a matter of fact, there does not exist any general
methodology to determine the nonlinear relations f and g. Instead, various simplified nonlinear models are
widely used in practical engineering applications. The so-called bilinear model is among these. We will discuss
the bilinear representation in detail later.
Now let us look at the discrete-time nonlinear model (47). It is assumed that the initial state is x(0) = 0,
and that f (0, 0) = 0 and g(0, 0) = 0. Then the functions f (x, u) and g(x, u) can be represented using a Taylor
series about x = u = 0 of order sufficient to permit calculating the polynomial inputoutput representation to
the degree desired:
where x(i) = x x x (i factors) and F ij , Gij are the standard Kronecker products. Just as in the continuoustime case, the crucial requirement is that the kernels through order N corresponding to Eq. (48) should be
identical to the kernels through order N corresponding to Eq. (47).
13
Bilinear Representation
In the class of nonlinear dynamic systems, bilinear models are probably the simplest ones. They take the form
(8)
or
where F, N i , and C are n n real matrices and G, D are n m real matrices. Bilinear models are widely
used in control systems, signal processing, and communications, because they can be parametrized by matrix
operations and lead to linear models of familiar type.
The solution of Eq. (49) for x(t) in terms of a series expansion is given by (9)
where Nx(t)u(t) = (m i = 1 N i ui )x(t). The first term xl (t) in Eq. (51) is the solution, for the state vector x(t), of the
linear system described by the equation x (t) = Fx(t) + Gu(t). The second term xb (t) is due to the bilinear term
(m i = 1 N i ui )x(t) appearing in Eq. (49).
The term xl (t) may be approximated via orthogonal series as follows:
14
where f r (t) = [f 0 (t), f 1 (t), . . ., f r 1 (t)]T is the orthogonal basis vector and
where e is a constant r 1 vector, whose form depends on the particular orthogonal series; Pr is the r r
operational matrix of integration; and U is the m r coefficient matrix of the input u(t), defined by
An approximation of Eq. (54) is obtained by keeping the first k power-series terms of eFt and eF(t t1 ) appearing
in xl (t).
Similarly to xl (t) in Eq. (54), the second term xb (t) can be expressed by the following equations:
where
This makes the study of controllability and observability of bilinear models much easier via orthogonal functions.
Narma Representation
In this section, the nonlinear autoregressive moving-average (NARMA) model is discussed. Detailed nonlinear
system modeling using this model can found in Refs. (10) and (11).
15
where y(t) Y, the output set of dimension q; x(t + 1), x(t) X, the state set of dimension n; u(t) U, the input
set of dimension m; t Z, the set of integers; f : Z X U X is the one-step-ahead state transition function;
and g : Z X U Y is the output function. Assuming that the system is at the zero equilibrium point at
time t = 1, the zero-state response for an input sequence of length t is given by
where ht : U t Y. The function ht is a different function for every t = 1, 2, . . ., because the domain of definition
U t is different. Assume that the response functions ht are continuously differentiable functions, and let the
vector ut (t) = [u(t), u(t 1), . . ., u(1)]T ; then
Let yt k (t) = [y(t), y(t 1),. . ., y(t + k 1)]T and ut k (t) = [u(t + k 1), u(t + k 2),. . ., u(t)]T . Then Eq. (63) can
be rewritten as
has the same inputoutput behavior as the original system in a restricted operating region and around the
zero equilibrium point.
16
The model (65) is called the NARMA model (10) by extension. The NARMA model can be further extended to
a nonlinear stochastic system. Let the estimate y (t) denote the prediction of y(t) that is in the form
The prediction error vector e(t) can be calculated as e(t) = y(t) y (t); it is a vector random variable and shows
how much the actual output at time t differs from the predicted one. Then the stochastic NARMA model can
be expressed as (12)
Fig. 4.
17
where F l i and Gl are fuzzy sets, and u = [u1 , u2 , . . ., un ]T U and y V are input and output linguistic
variables, respectively. The major advantage of fuzzy logic is its remarkable ability to incorporate common
sense, as reflected in decisions, into numerical information. Each fuzzy IFTHEN rule of Eq. (69) defines a fuzzy
set F l 1 F l 2 F l n Gl in the product space U V.
The most commonly used fuzzy-logic principle is the so-called supstar composition. Let A be the input to
the pure fuzzy-logic model. Then the output determined by each fuzzy IFTHEN rule of Eq. (69) is a fuzzy set A
(R(l) ) in V whose membership function is
where is an operator such as minimization or multiplication, and A is the membership function of the fuzzy
set A. The output of the pure fuzzy-logic system is a fuzzy set A (R(1) , R(2) ,. . .,R(M) ) in V that combines the M
fuzzy sets in accordance with Eq. (70):
18
where the operator is maximization, that is, u y = u + y uy. The model becomes a fuzzy dynamic model
if there is feedback in the fuzzy-logic model.
Takagi and Sugenos Fuzzy Model. The pure fuzzy-logic model constitutes the essential part of
all fuzzy-logic models. By itself, however, it has the disadvantage that its inputs and outputs are fuzzy sets,
whereas in most physical systems the inputs and outputs of a system are real-valued variables. In order to
overcome this shortcoming, Takagi and Sugeno designed another fuzzy-logic model of which inputs and outputs
are real-valued variables.
In this model (16), the following fuzzy IFTHEN rules are proposed:
where F l i are fuzzy sets, cl i are real-valued parameters, yl is the model output due to the rule Ll , and l = 1, 2,
. . ., M. That is, they considered rules of which the IF part is fuzzy, but the THEN part is crisp. Also, the output
is a linear combination of input variables. For a real-valued input vector u = [u1 , u2 , . . ., un ]T , the output y(u)
is a weighted average of the yl s:
where the weight wl represents the overall truth value of the premise of the rule Ll for input and can be
determined by
where Fil is the member function of the fuzzy set F i l . The major advantage of this model lies in the fact that it
provides a compact system equation (73). Hence, parameter estimation and order determination methods can
be developed to estimate the parameters cl i and the order M. A shortcoming of this model is, however, that the
THEN part is not fuzzy, so that it cannot incorporate fuzzy rules from common sense and human decisions.
Fuzzy-Logic Models with Fuzzier and Defuzzier. Models of this type (17) add a fuzzifier to the
input and a defuzzifier to the output of the pure fuzzy-logic model. The fuzzifier maps crisp points in U to
fuzzy sets in U, while the defuzzifier maps fuzzy sets in V to crisp points in V. The fuzzy rule base and fuzzy
inference engine are the same as those described in the pure fuzzy-logic model.
Evidently the fuzzy-logic model with fuzzifier and defuzzifier has certain advantages over other nonlinear
modeling techniques. First, it is suitable for engineering systems in that its input and outputs are real-valued
variables. Second, it is capable of incorporating fuzzy IFTHEN rules from common sense and human decisions.
Third, there is much freedom in the selection of fuzzifier, fuzzy inference engine, and defuzzifier, in order to
obtain the most appropriate fuzzy-logic model for a given problem. Finally, we are able to design different
identification algorithms for this fuzzy-logic model, so as to integrate given numerical and human information.
The fuzzifier that performs the mapping from a crisp point into a fuzzy set A in U can be a singleton or
a nonsingleton fuzzifier. Singleton fuzzifiers have mainly been used, but nonsingleton fuzzifiers can be useful
when the inputs are noise-corrupted.
There are at least three possible choices for the defuzzifer that performs a mapping from fuzzy sets in V
into a crisp point y V:
Fig. 5.
19
where yl is the center of the fuzzy set Gl , that is, the point in V at which Gl (y) achieves its maximum value.
(3) The modified center average defuzzifier, which is given as
where l is a parameter characterizing the shape of Gl (y) such that the narrower the shape of Gl (y), the
smaller is l . For example, if G (y) = exp[(y yl )/l ]2 , then l is such a parameter.
Fuzzy Control Summarized. For nonlinear system modeling, fuzzy logic can be viewed as a versatile
tool to perform nonlinear mapping. But in such an inputoutput nonlinear system model, the input signals
should be representative and sufficiently rich. In most cases, the fuzzy nonlinear model is based on inputs such
as the rate of change of error, and the fuzzy model may be in continuous time or discrete time.
Figure 5 shows a typical fuzzy control system, in which input values are normalized and fuzzified, the
fuzzy-model rule base is the control rule base used to produce a fuzzy region, and a defuzzification process is
used to find the expected output value. A typical fuzzy learning control-system design is that proposed in 1993
by Harris et al. (18). Wang and Vachtsevanos (19) detailed an indirect fuzzy-control approach in 1992. In their
early research, a separate fuzzy model was developed. A well-designed procedure was then used to calculate
the control signal. More detailed discussion of control of nonlinear systems using fuzzy logic can be found in
Refs. 18,19,20.
20
Fig. 6.
21
Fig. 7. (a) The structure of the an RVFL network with weights ak , 1 k n, that are first-order and adjustable. The
weights and the thresholds of the hidden units are distributed uniformly in the selection spaces and determined in advance.
(b) The structure of the RVFL of the second type. This network is an extremely sparsely connected higher-order network.
The weights ak , 1 k n, are dth-order and adjustable. The weights and the thresholds of the hidden units are distributed
uniformly in the selection spaces and determined in advance.
networks. Multilayer feedforward networks have proved extremely successful in pattern recognition problems,
and recurrent networks for dynamical system modeling and time-series forecasting.
A multilayer network is a network of neurons organized in the form of layers. Figure 8 shows a typical
form of a multilayer network, in which an input layer of source nodes projects onto the hidden layer composed
of hidden neurons. The output of the hidden neurons then projects onto an output layer. In general, one
hidden layer is adequate to handle most engineering problems. The nonlinear activation function of the hidden
22
Fig. 8.
neurons, which is generally sigmoidal, is chosen to intervene between the external input and the network
output.
For hidden neurons to be useful in modeling nonlinear systems, they must be sufficiently numerous.
Though there has been much research on determining the optimal number of hidden neurons, there is no
straightforward rule for doing so. When the number of hidden neurons reaches a certain threshold, the overall
performance will not be significantly affected by small further increases. In this respect, the design criterion
is rather loose.
The source nodes of the network supply corresponding elements of the activation pattern (input vector),
which constitute the input signals applied to the neurons in the hidden layer. The set of output signals of the
neurons in the output layer of the network constitutes the overall response of the network to the activation
pattern supplied by the source nodes at the input layer.
A neural network is said to be fully connected when every node in each layer of the network is connected to every other node in the adjacent forward layer. We say that the network is partially connected if
some of the links are missing. Evidently, fully connected networks are relatively complex, but they are usually capable of much better functional approximation. A form of partially connected multilayer network of
particular interest is the locally connected network. In practice, the specialized structure built into the design
of a connected network reflects prior information about the characteristics of the activation pattern being
classified.
As multilayer feedforward neural networks have become generally recognized as a suitable architecture
for representing unknown nonlinearities in dynamic systems, numerous algorithms for training the networks
on the basis of observable inputoutput information have been developed by many researchers. In this article, a training algorithm for neural networks called the cumulant-based weight-decoupled extended Kalman
filter (CWDEKF) is described (24). Third-order cumulants are employed to define output errors for the network training. By this means, Gaussian disturbances or non-Gaussian noises with symmetric probability
density function among the output signals can be rejected in the cumulant domain. Thus we can obtain clean
neural network output information for nonlinear mapping implementation. The weight-decoupled extended
Kalman filter training algorithm is applied because it provides faster convergence (learning rate) then other
training algorithms. This feature is very important for neural-network-based analysis of nonlinear dynamic
systems.
To ease the mathematical burden, we first present a summary of the notation used in the network learning
algorithm.
23
Notation.
The index i refers to different layers in the network, where 1 i M, and M is the total number of layers
(including the hidden and output layers) in the network.
The index j refers to different neurons in the ith layer, where 1 j ni , and ni is the neuron number of the
ith layer.
The index s refers to different neurons in the (i 1)th layer, where 1 s ni 1 + 1.
The index v refers to different neurons in the output layer, where 1 v nM .
The iteration index k refers to the kth training pattern (example) presented to the network.
The symbol wi js (k) denotes the synaptic weight connecting the output of neurons in the (i 1)th layer to
the input of neuron j in the ith layer at iteration k.
The learning-rate parameter of the weight wi js (k) at iteration k with respect to the vth output error is
denoted by i jsv (k).
The symbol ev (k) refers to the error signal between the target output and the actual output at the output
of neuron v in the output layer at iteration k.
The symbol hi jsv (k) denotes the derivative of the output error ev (k) at iteration k with respect to the weight
wi js (k 1) at iteration k 1.
The symbol pi js (k) denotes the variance of the estimated weight wi js (k) at iteration k.
The symbol av (k) refers to the central adjustment parameters for the output of neuron v in the output
layer at iteration k.
Suppose the network output is corrupted by a Gaussian noise {nv (k)} at iteration k. Then the symbol rv
(k) denotes the variance of {nv (k)}.
The symbol y(k) refers to the target output of the network, N(w(k 1), u(k)) refers to the actual output of
the network, its weight matrix is w(k 1) at iteration k1, and the network input at iteration k is u(k).
The training mechanism can be described by the following:
24
with
25
virtue of the nonlinear nature of the neurons. Nonlinear dynamics plays a key role in the storage function of a
recurrent network.
The Hopfield network is a typical recurrent network that is well known for its capability of storing information in a dynamically stable configuration. It was Hopfields paper (27) in 1982, elaborating the remarkable
physical capacity for storing information in a dynamically stable network, that sparked off the research on
neural networks on the eighties. One of the most fascinating findings of his paper is the realization of the
associative memory properties. This has now become immensely useful for pattern recognition.
Physically, the Hopfield network operates in an unsupervised fashion. Thus, it may be used as a contentaddressable memory or as a computer for solving optimization problems of a combinatorial kind. The classical
traveling-salesman problem is a typical example. Koch applied Hopfield networks to the problem of vision.
There has been other work on depth computation and on reconstructing and smoothing images.
In tackling a combinatorial optimization problem we are facing a discrete system that has an extremely
large but finite number of possible solutions. The task is to find one of the optimal solutions through minimizing
a cost function, which provides a measure of system performance. The Hopfield network requires time to
converge to an equilibrium condition. The time required depends on the problem size and the possible stability
problem. Hence it is never used online unless special-purpose hardware is available for its implementation.
The operational procedure for the Hopfield network may be summarized as follows:
(1) Storage (Learning) Let 1 , 2 ,. . .,p denote a known set of N-dimensional memories. Construct the network
by using the outer-product rule to compute the synaptic weights of the network as
where wji is the synaptic weight from neuron i to neuron j. The elements of the vector equal 1. Once
they are determined, the synaptic weights are kept fixed.
(2) Initialization Let X denote an unknown N-dimensional input vector presented to the network. The algorithm is initialized by setting
where sj (0) is the state of neuron j at time n = 0, and xj is the jth element of the vector X.
(3) Iteration until Convergence Update the elements of the state vector sj (n) asynchronously (i.e., randomly
and one at a time) according to the rule
26
It is clear that a neural network is a massively parallel-distributed network that has a natural capacity
for storing experimental knowledge and making it available for use.
The primary characteristics of knowledge representation are twofold: (1) what information is actually
made explicit, and (2) how the information is physically encoded. In real-world applications of intelligent
machines, neural networks represent a special and versatile class of modeling techniques that are significantly different from conventional mathematical models. Neural networks also offer a convenient and reliable
approach for the modeling of highly nonlinear multiinput multioutput systems.
Model-Free Representation
The traditional qualitative modeling techniques discussed above focus on means for abstracting the value
spaces of variables that are used to represent system inputoutput relationships as well as system states and
for simplifying the constraints that hold among the values of those variables. It is obvious that no general
nonlinear representations exist for modeling an arbitrary nonlinear system. The approximation accuracy of
a nonlinear model depends on what physical system is modeled and what type of model is used. In some
cases, where all possible nonlinear models are found to be unsuitable, model-free representations for nonlinear
systems will be a better alternative. Rather than a model-based methodology, a flexible model-free technique
based on signal processing provides a set of enhanced, sensitive, and definitive characterizations of nonlinear
systems. Higher-order statistics have been found to be useful in such analyses (28).
Given an arbitrary real, stationary random signal {y(t)} at the discrete times t = 0, 1, 2,. . ., its secondorder moment (SOM) M y 2 (m) and third-order moment (TOM) M y 3 (m, n) provide a measure of how the sequence
is correlated with itself at different time points:
where m is the time lag; m, n = 0, 1, 2,. . .; and E[] denotes statistical expectation.
Third-Order Cumulant in Time Domain
For the random signal {y(t)}, its third-order cumulant (TOC) Cy 3 (m, n) is given by
Note that the SOM M y 2 (m) in Eq. (90) is a symmetric function about m = 0, that is, M y 2 (m) = M y 2 (m).
Hence, M y 2 (m) is a zero-phase function, which means that all phase information about y(t) is lost in M y 2 (m).
With regard to the TOC Cy 3 (m, n), important symmetry conditions follow from the properties of SOM and
27
Thus Cy 3 (m, n) is a phase-bearing function, and knowing the TOC in any of the six sectors delimited by Eq.
(93) would enable us to find it everywhere.
If {y(t)} is corrupted by an independent Gaussian measurement noise {v(t)}, then the SOM, TOM, and
TOC of the noisy random sequence {x(t) = y(t)+v(t)} are found to be
which means that the TOC is blind to any kind of a Gaussian process, whereas the SOM and TOM are not.
The TOC, on the other hand, can be used to deal with quadratic phase-coupling phenomena. Suppose
where y1 (t) is the phase-decoupled component and y2 (t) the phase-coupled component. Then only the second
component appears in the TOC of y(t), that is,
where f stands for a nonlinear relationship between the TOC and the phase-coupled component. The fact that
only phase-coupled components contribute to the TOC of a random process is what makes the TOC a useful
tool for detecting quadratic phase coupling and discriminating phase-coupling components from those that are
not.
Bispectrum in the Frequency Domain. According to its definition, the bispectrum Cy 3 (f 1 , f 2 ) of the
random signal {y(t)} is defined as its two-dimensional Fourier transform:
where |f 1 |, < , |f 2 | < , |f 1 + f 2 | < . In general, Cy 3 (f 1 , f 2 ) is complex, that is, it has magnitude and phase:
28
It is clear from Eqs. (99) and (100) that the bispectrum is periodic with period 2, and preserves both the
magnitude and phase information associated with the random sequence {y(t)}. Thus, it provides one easy way
to extract useful information directly, in contrast with complicated nonlinear models.
In view of the filtering nature of the TOC in Eq. (96), one can obtain that
Bearing Eq. (98) in mind, the bispectrum of y(t) can be easily obtained as
where g stands for a nonlinear relationship between the bispectrum and the phase-coupled component.
The above discussion has shown that the TOC and bispectrum statistical measures provide an enhanced
system characterization capability over conventional second-order measures. As a result, model-free TOC- and
bispectrum-based analysis techniques are particularly suitable for extracting internal chararacteristics of a
nonlinear system.
29
where i=1, 2, ,. . ., n, by differentiating, adding, scaling and multiplying the equations (7) and (46). Then the
identification algorithm gives the parameter estimate (29)
As a special case of Eq. (46), a bilinear model (49) is internally identifiable if the above condition (103) is
satisfied.
For the Volterra representation, it should be pointed out, there is no general identifiability principle.
However, if we consider the finite-support Volterra model (34) excited by a zero-mean Gaussian signal, then
the model is globally internally identifiable if and only if the power spectral process of the input signal is
nonzero at at least t distinct frequencies (30). Moreover, if we consider the finite-support second-order Volterra
model (37) excited by an unknown arbitrary zero-mean independent and identically distributed (i.i.d.) random
signal, then the model is of blind internal identifiability if and only if the following equation has a unique
solution (24):
and
where
30
and
where Cy 3 (m,n) is the TOC of the output signal y(t) defined in Eq. (92), and iu , i=2, 3, 4, 6, is the ith-order
autocorrelation of the input u(t). Clearly, one must estimate the (q + 1)2 TOCs Cy 3 (m,n) for 0 m,n q, and
then invert the equations to solve for the unknown quantities h(i,j).
This inversion involves solving (q + 1)2
2
simultaneous cubic equations with (q + 1) unknowns. The parameter-coupled terms in Eq. (105) are of high
complexity, and conventional model-based estimation theories cannot directly applied. However, the following
theorem provides a possible way to estimate the model parameters based on neural networks:
31
Let (m,n) Mp and H ij Hq , where H ij =[h(0,0) h(0,1) h(0,q) h(q,0) h(q,1) h(q,q)] be
open. Let g be continuous on M H. Consider the equation (105).
Theorem 3. Let DHij g(H ij , (m, n)) be nonsingular for H ij , H and (m, n) M. Given the class of neural
networks, N, that map p q to q , there exist 1 ,2 > 0 and a neural network NN N such that, given >
0,
where = {(Cy 3 (m,n), (m,n)) : |Cy 3 (m,n) g(H ij ,(m, n))|< 1 ,|(m,n) (m, n)| < 2 }.
As far as the identifiability of NARMA models is concerned, Eqs. (103) and (104) are also suitable, because
a NARMA model is a special type of nonlinear differential algebraic representation and can be characterized
by a state-space representation. On the other hand, relying on the approximation capabilities of multilayer
neural networks, the functions in Eq. (65) can be approximated by a neural network with appropriate input
and output dimensions. This can be stated as the following theorem (31).
Theorem 4. For generic , the inputoutput behavior of the NARMA model (65) is externally identifiable
with arbitrary precision by a multilayer feedforward neural-network-based inputoutput model of the form
where NN() is a multilayer feedforward neural network with 2l inputs and one output characterizing the
monitored nonlinear system. Here l is the order of the model.
An important problem faced herein is the choice of the most suitable network topology to perform the
identification and modeling of unknown nonlinear dynamic systems efficiently. It mainly lies in the choice
of the optimal number of neurons for each of the networks employed, which plays a crucial role in network
performance. Considering the external identifiability of fuzzy-logic models, the following theorem shows that
the fuzzy-logic models with fuzzifier and defuzzifier are capable of uniformly approximating any nonlinear
function over U to any degree of accuracy if U is compact (32).
Theorem 5. For any given real continuous function g on a compact set U n and arbitrary > 0, there
exists a fuzzy-logic model f such that
This theorem provides a justification for applying the fuzzy-logic representations to almost any nonlinear modeling problem. It also provides an explanation for the practical success of the fuzzy logic models in
engineering applications.
Some nonlinear systems are very difficult, if not impossible, to describe with analytical equations. Hence,
there is a great need to develop a robust and less model-dependent methodology for the representation of a complex nonlinear dynamic system. To avoid the difficulties of the classical nonlinear-system modeling approach,
32
neural-network-based modeling methods for nonlinear systems have been proposed recently. The main motivation is that any nonlinear relationship can be approximated by a neural network given suitable weighting
factors and architecture. Another important property of such networks is their self-learning ability: a neural
network can extract the system features from previous training data through learning, whilst requiring little
or no a priori knowledge about the system. This provides great flexibility for modeling general nonlinear physical systems and guarantees robust external identifiability of neural-network-based system representations. A
multilayer network trained with the CWDEKF algorithm may be viewed as a practical vehicle for performing
general nonlinear inputoutput mapping, due to the following universal approximation theorem (23,33).
Theorem 6. Let () be a nonconstant, bounded, and monotone-increasing continuous function. Let Ip denote
the p-dimensional unit hypercube [0,1]p . The space of continuous functions on Ip is denoted by C(Ip ). Then,
given any function f C(Ip ) and > 0, there exist an integer M and sets of real constants j , i , and wij , where
i=1, 2, . . ., M and j=1, 2, . . ., p, such that we may define
as an approximate realization of the function f (); that is, |F(x1 , x2 , . . ., xp ) f (x1 , x2 , . . ., xp )| < for all (x1 , x2 ,
. . ., xp ) Ip .
This theorem is directly applicable to multilayer networks. We first note that the logistic function used
as the nonlinearity in a neuron node for the construction of a multilayer network is indeed a nonconstant,
bounded, and monotone-increasing function. It therefore satisfies the conditions imposed on the function ().
Next, we note that the above equation represents the output of a multilayer network described as follows: (1)
the network has p point nodes and a single hidden layer consisting of M neurons; the inputs are denoted by
(x1 , x2 , . . ., xp ); (2) hidden neuron i has synaptic weights (wi1 , W i2 ,. . ., wip ) and threshold i ; and (3) the network
output is a linear combination of the outputs of the hidden neurons, with (1 , 2 , . . . M ) as coefficients.
The universal approximation theorem 6 is an existence theorem in the sense that it provides the mathematical justification for the approximation of an arbitrary continuous function as opposed to exact representation.
Controllability. Controllability is one of the most important properties of systems modeled by statespace representations. A state-space model in Eq. (46) is said to be controllable if an available input u(t)
is sufficient to bring the model from any initial state x(0) to any desired final state x(t). The importance of
controllability in the formulation of the control problems for linear systems is evident. A linear time-invariant
system x (t) = Ax(t) + Bu(t) is completely controllable if and only if the matrix E = [B AB An 1 B] has rank
n, where x(t) n , u(t) m .
In spite of many attempts to characterize controllability for nonlinear systems, similar generic results to
those available for linear systems do not exist for nonlinear systems. Hence, the choice of controller models for
nonlinear systems is a formidable problem, and successful control has to depend on several strong assumptions
regarding the inputoutput behavior of the systems. For example, we consider the specified (affine) nonlinear
model of the form
where x(t) = [x1 ,x2 , . . ., xn ]T is the local coordinate on a smooth n-dimensional manifold M, the control u(t) is a
scalar piecewise smooth function, and f (x, t), g(x, t) are the local coordinate representations of smooth vector
33
fields globally defined on M. The model (110) is locally controllable at an equilibrium point xe of f () if [B, AB,
. . ., An 1 B] has full rank, where B = g(xe ) and A = f (xe )/x (36).
On the other hand, the variable structure of bilinear systems allows them to be more controllable than
linear systems, just as it frequently provides for a more accurate model. Let us consider the bilinear system
given by Eq. (49). It is assumed that the class of admissible inputs u(t) is the class of all piecewise continuous
vector time functions with [0, ) and range U, where U is a compact connected set containing the origin in
m . The reachable zone from an initial state x0 , R(x0 ) n , is the set of all states to which the system can be
transferred in finite time, starting at x0 . Similarly, the incident zone to a terminal state xf , I(xf ) n , is the set
of all initial states from which xf is reachable in finite time.
For each fixed x U, the bilinear system is a constant-parameter linear system with system matrix F +
m i = 1 N i ui . The term m i = 1 N i ui in the system matrix permits manipulation of the eigenvalues of the fixedcontrol system. With an appropriate controller it is often possible to shift these eigenvalues from the left half
of the complex plane to the right half. The controllability analysis presented here can be summarized by the
following sufficient conditions (5):
Theorem 7. The bilinear system (49) is completely controllable if: (1) there exist input values u+ and u such
that the real parts of the eigenvalues of the system matrix are positive and negative, respectively, and such
that equilibrium states xe (u+ ) and xe (u ) are contained in a connected component of the equilibrium set, and
(2) for each x in the equilibrium set with an equilibrium input ue (x) U such that f (x, ue (x)) = 0, there exists a
v Rm such that g lies in no invariant subspace of dimensional at most n 1 of the matrix E, where
As one might expect, the conditions given by the above theorem are not as simple as the popular conditions
for complete controllability of linear systems. For phase-variable systems, x1 = x, x2 = a , . . ., xn = x(n 1) , condition
(2) is always satisfied if G is a nonzero matrix. Condition (2) is satisfied if all the eigenvalues of the system
matrix F + m i = 1 N i ui can be shifted across the imaginary axis of the complex plane without passing through
zero, as u ranges continuously over a subset of U.
Observability. A nonlinear state-space model is said to be observable if, given any two states x1 , x2 ,
there exists an input sequence of finite length, ul = [u(0), u(1),. . ., u(l)]T , such that yl (x1 , ul ) = yl (x2 , ul ), where
yl is the output sequence. The ability to effectively estimate the state of a model or to identify it based on
inputoutput observations is determined by the observability properties of the model.
Observability has been extensively studied in the context of linear systems and is now part of the standard
control literature. An nth-order single-input and single-output time-invariant linear system is described by
the set of equations
34
where x(t) n , u(t) , y(t) , A is an n n matrix, and B, C are n-dimensional vectors. A basic result in
linear control theory states that the above system will be observable if and only if the n n matrix M = [C CA
CAn 1 ]T is of rank n. M is called the observability matrix.
If the system (112) is observable and [CB CAB CAd 2 B]T = 0, but CAd 1 B = 0, then we have y(t +
d) = CAd x(t) + CAd 1 Bu(t). This implies that the input at any instant t can affect the output only d instants
later, where d denotes the delay in the propagation of the signals through the system and is called the relative
degree of the system.
Observability of a linear system is a system-theoretic property and remains unchanged even when inputs
are present, provided they are known. For an observable linear system of order n, any input sequence of length
n will distinguish any state from any other state. If two states are not distinguishable by this randomly chosen
input, they cannot be distinguished by any other input sequence. In that case, the inputoutput behavior of
the system can be realized by an observable system of lower dimension, where each state in the new system
represents an equivalence class that corresponds to a set of states that could not be distinguished in the old
one.
Whereas a single definition is found to be adequate for linear time-invariant systems, the concept of
observability is considerably more involved for nonlinear systems. A desirable situation would be if any input
sequence of length l sufficed to determine the state uniquely, for some integer l. This form of observability
will be referred to as strong observability. It readily follows that any observable linear system is strongly
observable with l = n, n being the order of the system. A less restrictive form of observability is the notion of
generic observability. A system of the form (46) is said to be generically observable if there exists an integer l
such that almost any input sequence of length greater or equal to l will uniquely determine the state.
Now let us look at the observability of nonlinear systems using Eq. (46). This problem arises when the
laws governing the system are known but the states of the system are not accessible or only partly accessible.
By definition, complete knowledge of the states will enable accurate prediction of the systems behavior. Thus
the observation problem in this case actually reduces to the estimation of the state based on input and output
observations over a time interval [t0 , t0 + l], or in other words establishing an observer. Sufficient conditions for
strong local observability of a system (46) around the origin can be derived from the observability properties of
its linearization at the origin:
where A = f x |0,0 , B = f u |0,0 , C = gx |0 are the systems Jacobian matrices. This is summarized by the following
theorem (33).
Theorem 8. Let be nonlinear system (45), and l its linearization around the equilibrium as given in Eq.
(113). If l is observable, then is locally strongly observable.
A bilinear model (49) or (50) is called observable if there are no indistinguishable states in the model.
Theorem 9 gives a necessary and sufficient condition for observability of bilinear models (8).
Theorem 9. The bilinear model described in Eq. (49) or (50) is observable if and only if rank Qn = n, where
Qn = [q1 , q2 , . . ., qn ]T , q1 = C, qi = [qi 1 F qi 1 N i ]T , i = 2, 3, . . ., n.
35
Example
This example shows how a neural network together with a nonlinear model approach is used for short-term
electric load forecasting (34). This neural-network model utilizes the full dynamic range of the neural network
and is a nonlinear model for nonstationary time series. The model is used to provide hourly load forecasts one
day ahead. Off-line simulation has been done on the Hong Kong Island electric load profile provided by the
Statistics and Planning Division of Hong Kong Electric Company Limited.
The electric load forecast models can be summarized in the following two equations: for a static model
(feedforward neural network),
where B is the backward shift operator, and the autoregressive operator (B) of order p is given by
where the white noise et with finite variance 2 is zero-mean, i.i.d., and independent of past xt . Equation (114)
can be rewritten in the form
lie outside the unit circle, the time series {xt } is stationary; otherwise {xt } is nonstationary. For linear nonstationary time series {xt }, the ARMA model for stationary time series can still be used, but the above ARMA
36
or
where d xt = (1 Bd )xt = xt xt d and i = i + i+d . The model 116a is the so-called ARIMA model. A
natural generalization of the linear ARIMA model to the nonlinear case would be the nonlinear autoregressive
integrated moving average (NARIMA) model, which is given by
or
where h is an unknown smooth function and, as in Eq. (114), it is assumed that the white noise et with variance
2 is zero-mean, i.i.d, and independent of past xt . The minimum-mean-squared-error optimal predictor of xt
given xt 1 , . . ., xt r is the conditional expectation
This predictor has mean squared error 2 . In this work, a nonlinear autoregressive integrated (NARI) model,
the special case of the NARIMA, is considered and is defined by
or
We focus on a multilayer feedforward neural network (FNN) and how it may be used to forecast the
hourly load consumption of the coming day. In many time-series predictions, the time-series model is based on
nonlinear autoregressive (NAR) models of the form
37
The neural STLF model can be considered as a modified NAR model given by
and the unknown smooth function h is nonlinearly approximated by a FNN. Hence, the neural optimal predictor
is given by
where 0 l 24 and the function is a smooth bounded monotonic function, tanh(0.5x). The vector wt of m
components contains the available weather information at time t. The parameters W 0 ij , W 0 ik , and W 1 i are the
neural-network weights.
To obtain an accurate load forecast, we should identify the most appropriate model in accordance with
the nature of load consumption. In this example, the modified NARI model in Eq. (118b) is proposed for
STLF. Several important weather factors are also included, because weather variation is one of the crucial
disturbances to electric load demand. Consequently, the modified NARI model for STLF is given by
where 0 l 24. The weather information vector wt contains four components, namely, temperature, relative
humidity, level of rainfall, and level of sunshine.
The architecture of our proposed neural network model is illustrated in Fig. 9(b). The structure of the
modified NAR model for STLF is depicted in Fig. 9(a). This type of NARI neural-network model is called a
weather compensation neural network because the weather-dependent component will be only determined
from the weather information and load consumption of the previous day.
The characteristics of electric load consumption gradually change because of many uncontrollable factors. Our weather compensation neural network (NARI model), without keeping track of the change of load
characteristic, will degrade in forecasting performance over the years. An adaptive tracking scheme is therefore proposed so that the weather compensation neural network will be retrained every day. This scheme can
efficiently update the neural network to adapt to the changing conditions of the environment. Different sizes
of window of the trained set have been studied. The size of the window determines the memory of past knowledge. Too small a window may produce catastrophic loss of information that severely degrades the forecasting
performance and robustness. Three different sizes10 days, 20 days, and 136 daysare examined. Updating
is omitted when the standard deviation of the forecast error is less than 990 MW. The results are depicted in
Fig. 10, and they show that the neural network provides the most robust forecasting when the window size of
136 days is used. Figure 11 displays a comparison of the actual load and the load forecast for seven consecutive
working days using our proposed NARI neural network and adaptive tracking scheme. Figures 12 and 13
38
Fig. 9. (a) The architecture of modified NAR model for neural short-term load forecasting. (b) The architecture of the
weather compensation neural network (modified NARI model).
display a comparison of the actual load and the load forecast for the worst cases using the NAR and the NARI
neural network, respectively.
Fig. 10.
39
Comparison of percentage error for different sizes of moving window using the neural-network NARI model.
Fig. 11. Comparison of actual load and load forecast using neural network NARI model (136-day window) for seven
consecutive working days.
Concluding Remarks
Nonlinear system representations are classified by a simple dichotomy: a system is represented either linearly
or nonlinearly. The number and variety of nonlinear representations is almost limitless, and one does not
find the complete and elegant theory that exists for linear representations. It would be expecting too much if
one hoped to find a universal analytical technique applicable to any nonlinear system representation with an
arbitrary input signal.
In this article, we have discussed several nonlinear representations and their important features such
as identifiability, controllability, and observability. These representations are widely used in signal processing,
40
Fig. 12.
Comparison of the actual load and the load forecast using the neural-network NAR model (worst case).
Fig. 13. Comparison of the actual load and the load forecast using the neural network NARI model with 136-day window
(worst case).
circuit systems, control systems, and communication systems. A comparison of these representations is given
in Table 1. It can be seen that, with different types of input signal, different nonlinear representations should
be selected. When internal identifiability is important, Volterra, state-space, and bilinear representations are
the better choices, due to the linear parameter relationship of the Volterra system and the compact description
of the state-space and bilinear systems. For external identifiability, fuzzy logic and neural-network-based
representations often appear to be useful for characterizing nonlinear systems in a black-box approach.
41
On the other hand, a state-space representation can be used to guarantee high controllability and observability, since the corresponding theories in the linear domain can be extended to the nonlinear world. For
system inversion, no one representation is the best, due to the complexity of the problem; but suboptimal
alternatives may be obtained with Volterra, state-space, or bilinear representations.
Acknowledgment
The authors would like to thank the Hong Kong Electric Company Limited for providing the electric load data
and weather information for this part of work.
BIBLIOGRAPHY
1. D. E. Thompson Design Analysis: Mathematical Modeling of Nonlinear Systems, New York: Cambridge Univ. Press,
1999.
2. M. Vidyasagar Nonlinear Systems Analysis, 2nd ed., Englewood Cliffs, NJ: Prentice-Hall, 1993.
3. A. J. Fossard D. Normand-Cyrot Nonlinear Systems, Modeling and Estimation, London: Chapman & Hall, 1995.
42
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
21.
22.
23.
24.
25.
26.
27.
28.
29.
30.
31.
32.
33.
34.
43
READING LIST
G. Anger Inverse Problems in Differential Equations, New York: Plenum, 1990.
B. Igelnik Y.-H. Pao Stochastic choice of basis functions in adaptive function approximation and the functional-link net,
IEEE Trans. Neural Netw., 6: 13201329, 1995.
W. J. Rough An extended linearization approach to nonlinear system inversion, IEEE Trans. Automat. Control, AC-31(8):
725733, 1986.
S. N. Singh A modified algorithm for invertibility in nonlinear systems, IEEE Trans. Automat. Control, 26: 595598, 1981.
TOMMY W. S. CHOW
City University of Hong Kong
HONG-ZHOU TAN
University of Manitoba
YONG FANG
Shanghai University
where x = x(t) is the state of the system belonging to a (usually bounded) region x Rn , u is the control
input vector belonging to another (usually bounded) region u Rm (usually, m n ), and f is a Lipschitz or
continuously differentiable nonlinear function, so that the system has a unique solution for each admissible
control input and suitable initial condition x(t0 ) = x0 x . To indicate the time evolution and the dependence
on the initial state x0 , the trajectory (or orbit) of a system state, x(t), is sometimes denoted as t (x0 ) .
In the control system (1), the initial time used is t0 0, unless otherwise indicated. The entire space
Rn , to which the system states belong, is called the state space. Associated with the control system (1), there
usually is an observation or measurement equation
where y = y(t) Rl is the output of the system, 1 l n, and g is a continuous or smooth nonlinear function.
When both n, l > 1, the system is called a multi-input multi-output (MIMO) system, whereas if n = l = 1, it is
called a single-input single-output (SISO) system. The MISO and SIMO systems are similarly defined.
In a discrete-time setting, a nonlinear control system is described by a difference equation of the form
Otherwise, as Eq. (1) stands, the system is said to be nonautonomous. The same terminology may be
applied in the same way to discrete-time systems, although they may have different characteristics.
An equilibrium, or fixed point, of system (4), if it exists, is a solution x of Eq. (4) that satisfies the algebraic
equation
It then follows from Eqs. (4) and (5) that x = 0, which means that an equilibrium of a system must be a
constant state. For the discrete-time case, an equilibrium of system
An equilibrium is stable, if all the nearby trajectories of the system states, starting from various initial
states, approach it; it is unstable, if nearby trajectories move away from it. The concept of system stability with
respect to an equilibrium will be precisely introduced in section 3, entitled Lyapunov, Orbital, and Structural
Stabilities.
A control system is deterministic, if there is a unique consequence to every change of the system parameters or initial states. It is random or stochastic, if there is more than one possible consequence for a change
in its parameters or initial states according to some probability distribution (3). This article only deals with
deterministic systems.
Hyperbolic Equilibria and Their Manifolds. Consider the autonomous system in Eq. (4). The Jacobian of this system is defined by
Clearly, this is a matrix-valued function of time. If the Jacobian is evaluated at a constant state, say x or
x0 , then it becomes a constant matrix determined by f and x or x0 .
An equilibrium, x , of system in Eq. (4) is said to be hyperbolic, if all eigenvalues of the system Jacobian,
evaluated at this equilibrium, have nonzero real parts.
For a p-periodic solution of the system in Eq. (4), x (t), with a fundamental period p > 0, let J(x (t )) be its
Jacobian evaluated at x (t) . Then this Jacobian is also p-periodic:
In this case, there always exist a p-periodic nonsingular matrix M(t) and a constant matrix Q such that
the fundamental solution matrix associated with the Jacobian J(x (t)) is given by (4)
Here, the fundamental matrix (t) consists of, as its columns, n linearly independent solution vectors of the
linear equation x = J(x(t)) x, with x(t0 ) = x0 .
In the preceding discussion, the eigenvalues of the constant matrix epQ are called the Floquet multipliers of
the Jacobian. The p-periodic solution x (t) is called a hyperbolic periodic orbit of the system if all its corresponding
Floquet multipliers have nonzero real parts.
Next, let D be a neighborhood of an equilibrium, x , of the autonomous system in Eq. (4). A local stable
and local unstable manifold of x is defined by
and
Fig. 1.
and
respectively, where denotes the empty set. For example, the autonomous system
has a hyperbolic equilibrium (x , y ) = (0, 0) . The local stable and unstable manifolds of this equilibrium are
illustrated by Fig. 1(a), which is enlarged, and the corresponding stable and unstable manifolds are visualized
by Fig. 1(b).
A hyperbolic equilibrium only has stable and/or unstable manifolds because its associated Jacobian
only has stable and/or unstable eigenvalues. The dynamics of an autonomous system in a neighborhood of
a hyperbolic equilibrium is quite simple: it has either stable (convergent) or unstable (divergent) properties.
Therefore, complex dynamical behaviors such as chaos are usually not associated with isolated hyperbolic
equilibria or isolated hyperbolic periodic orbits (5 6,7) (also see Theorem 16); they generally are confined
within the so-called center manifold W c (x ), where dim(W s ) + dim(W c ) + dim(W u ) = n .
Open-Loop and Closed-Loop Systems. Let S be an MIMO system, which can be linear or nonlinear,
continuous-time or discrete-time, deterministic or stochastic, or any well-defined input-output map. Let U and
Y be the sets (sometimes, spaces) of the admissible input and corresponding output signals, respectively, both
defined on the time domain D = [a, b], a < b (for control systems, usually a = t0 = 0 and b = ).
This simple relation is described by an open-loop map
and its block diagram is shown in Fig. 2. Actually, every control system described by a differential or difference
equation can be viewed as a map in this form. But, in such a situation, the map S can only be defined implicitly
via the equation and initial conditions.
In the control system in Eq. (1), or (3), if the control inputs are functions of the state vectors, u = h(x;
t), then the control system can be implemented via a closed-loop configuration. A typical closed-loop system is
Fig. 2.
Fig. 3.
shown in Fig. 3, where usually S1 is the plant (described by f ) and S2 is the controller (described by h ); yet
they can be reversed.
Norms of Functions and Operators. This article only deals with finite-dimensional systems. For an
n-dimensional vector-valued function of the form x(t) = [x1 (t) xn (t)]T , let and p denote its Euclidean
norm and Lp -norm, defined respectively by the length
and by
(2) The main difference between the sup and the max is that max |f (t)| is attainable but sup |f (t)| may not
be. For example, max0t< |sin(t)| = 1 but sup0t< |1 e t | = 1 .
(3) A difference between the Euclidean norm and the Lp -norms is that the former is a function of time but the
latter are all constants.
(4) For a finite-dimensional vector x(t), with n < , all the Lp -norms are equivalent in the sense that for any
p, q [1, ], there exist two positive constants and such that
For the input-output map in Eq. (13), the so-called operator norm of the map S is defined to be the
maximum gain from all possible inputs over the domain of the map to their corresponding outputs. More
precisely, the operator norm of the map S in Eq. (13) is defined by
and
where the control input u(t) = h(x(t),t), if it exists [see the system in Eq. (1)], has been combined into the
system function f for simplicity of discussion. Without loss of generality, assume that the origin x = 0 is the
system equilibrium of interest. Lyapunov stability theory concerns various stabilities of the system orbits with
respect to this equilibrium. When another equilibrium is discussed, the new equilibrium is first shifted to zero
by a change of variables, and then the transformed system is studied in the same way.
Stability in the Sense of Lyapunov. System in Eq. (15) is said to be stable in the sense of Lyapunov
with respect to the equilibrium x = 0, if for any > 0 and any initial time t0 0, there is a constant, = (,
t0 ) > 0, such that
Fig. 4.
It should be emphasized that the constant generally depends on both and t0 . It is particularly important
to point out that, unlike autonomous systems, one cannot simply fix the initial time t0 = 0 for a nonautonomous
system in a general discussion of its stability. For example, consider the following linear time-varying system
with a discontinuous coefficient:
which is stable in the sense of Lyapunov about the equilibrium x = 0 over the entire time domain [ 0,
) if and only if t0 1 . This shows that the initial time t0 does play an important role in the stability of a
nonautonomous system.
The previously defined stability, in the sense of Lyapunov, is said to be uniform with respect to the initial
time, if the existing constant = () is indeed independent of t0 over the entire time interval [ 0, ). According
to this discussion, uniform stability is defined only for nonautonomous systems since it is not needed for
autonomous systems (for which it is always uniform with respect to the initial time).
Asymptotic and Exponential Stabilities. System in Eq. (15) is said to be asymptotically stable about
its equilibrium x = 0, if it is stable in the sense of Lyapunov and, furthermore, there exists a constant, =
(t0 ) > 0, such that
Fig. 5.
Fig. 6.
for two positive constants c and , then the equilibrium is said to be exponentially stable. The exponential
stability is visualized by Fig. 6.
Clearly, exponential stability implies asymptotic stability, and asymptotic stability implies the stability in
the sense of Lyapunov, but the reverse need not be true. For illustration, if a system has output trajectory x1 (t)
= x0 sin (t), then it is stable in the sense of Lyapunov about 0, but it is not asymptotically stable. A system with
output trajectory x2 (t) = x0 (1 + t t0 ) 1 is asymptotically stable (so it is also stable in the sense of Lyapunov),
but it is not exponentially stable about 0. A system with output x3 (t) = x0 e t is exponentially stable (hence, it
is both asymptotically stable and stable in the sense of Lyapunov).
Orbital Stability. The orbital stability differs from the Lyapunov stabilities in that it is concerned with
the stability of a system output (or state) trajectory under small external perturbations.
Let t (x0 ) be a p-periodic solution, p > 0, of the autonomous system
and let represent the closed orbit of t (x0 ) in the state space, namely,
If, for any > 0, there exists a constant = () > 0 such that for any x0 satisfying
Fig. 7.
Fig. 8.
10
If, for any g S, there exists an > 0 such that the orbits of the two systems
are topologically orbitally equivalent, then the autonomous system in Eq. (19), namely, the first (unperturbed)
system above, is said to be structurally stable.
For example, x = x is structurally stable, but x = x2 is not, in a neighborhood of the origin. This is because,
2
when the second system isslightly perturbed,
to become say x = x + , where > 0, then the resulting system
has two equilibria, x 1 = and x 2 = , which has more numbers of equilibria than the original system
that possesses only one, x = 0 .
This system has eigenvalues 1,2 = 0.25 j 0.25 7, both having negative real parts and being independent of the time variable t . If Theorem 1 is used to judge this system, the conclusion would be that the system
11
is asymptotically stable about its equilibrium 0. However, the solution of this system is
which is unstable, for any initial conditions with a bounded and nonzero value of x1 (t0 ), no matter how small
this initial value is. This example shows that by using the Lyapunov first method alone to determine the
stability of a general time-varying system, the conclusion can be wrong.
This type of counterexamples can be easily found (13). On the one hand, this demonstrates the necessity
of other general criteria for asymptotic stability of nonautonomous systems. On the other hand, however, a
word of caution is that these types of counterexamples do not completely rule out the possibility of applying
the first method of Lyapunov to some special nonautonomous systems in case studies. The reason is that there
is no theorem saying that the Lyapunov first method cannot be applied to all nonautonomous systems. Due
to the complexity of nonlinear dynamical systems, they often have to be studied class by class, or even case
by case. It has been widely experienced that the first method of Lyapunov does work for some, perhaps not
too many, specific nonautonomous systems in case studies (e.g., in the study of some chaotic systems (5); see
also Theorem 18). The point is that one has to be very careful when this method is applied to a particular
nonautonomous system; the stability conclusion must be verified by some other means at the same time.
Here, it is emphasized that a rigorous approach for asymptotic stability analysis of general nonautonomous
systems is provided by the second method of Lyapunov, for which the following set of class- functions are useful:
V(x,
t) (x) < 0 for all t t0 .
In Theorem 2, the function V is called a Lyapunov function. The method of constructing a Lyapunov
function for stability determination is called the second (or direct) method of Lyapunov.
The geometric meaning of a Lyapunov function used for determining the system stability about the zero
equilibrium may be illustrated by Fig. 9. In this figure, assuming that a Lyapunov function V (x) has been
found, which has a bowl-shape as shown based on conditions i and ii. Then, condition iv is
where [ v/x ] is the gradient of V along the trajectory x . It is known, from calculus, that if the inner product of
this gradient and the tangent vector x is constantly negative, as guaranteed by the condition in Eq. (21), then
the angle between these two vectors is larger than 90 , so that the surface of V(x) is monotonically decreasing
12
Fig. 9.
to zero (this is visualized in Fig. 9). Consequently, the system trajectory x, the projection on the domain as
shown in Fig. 9, converges to zero as time evolves.
As an example, consider the following nonautonomous system:
where A is a stable constant matrix and g is a nonlinear function satisfying g (0, t) = 0 and g(x, t) c x
for a constant c > 0 for all t [t0 , ) . Since A is stable, the following Lyapunov equation
has a unique positive definite and symmetric matrix solution P . Using the Lyapunov function V (x, t) = xT P
x, it can be easily verified that
where max (P) is the largest eigenvalue of P . Therefore, if the constant c < 1/(2max (P)) and if the class-
functions
are used, then conditions iii and iv of Theorem 2 are satisfied. As a result, the system given here is globally,
uniformly, and asymptotically stable about its zero equilibrium. This example shows that the linear part of a
weakly nonlinear nonautonomous system can indeed dominate the stability.
Note that in Theorem 2, the uniform stability is guaranteed by the class- functions , , stated in
conditions iii and iv, which are necessary since the solution of a nonautonomous system may sensitively depend
on the initial time, as seen from the numerical example discussed earlier in the section entitled stability in
the sense of Lyapunov. For autonomous systems, these class- functions (hence, condition iii) are not needed.
In this case, Theorem 2 reduces to the following simple form.
13
V(0) = 0;
V (x) > 0 for all x = 0 in D ;
(x) < 0 for all x = 0 in D .
V
Note that if condition iv in Theorem 3 is replaced by
where y = is the angular variable defined on < < , with the vertical axis as its reference, and g is the
gravity constant.
Since the system Jacobian at the zero equilibrium has a pair of purely imaginary eigenvalues
1,2 = g/, Theorem 1 is not conclusive. However, if one uses the Lyapunov function
= 0 over the entire domain. Thus, the conclusion is that the undamped
then it can be easily verified that V
pendulum is stable in the sense of Lyapunov but not asymptotically, consistent with the physics of the undamped
pendulum.
Theorem 4 (Krasovskii Theorem, for Continuous-Time Autonomous Systems). For the autonomous system in Eq. (19), let J x = [f/x] be its Jacobian evaluated at x(t) . A sufficient condition for the
system to be asymptotically stable about its zero equilibrium is that there exist two real positive definite and
symmetric constant matrices, P and Q, such that the matrix
is seminegative definite for all x = 0 in a neighborhood D of the origin. For this case, a Lyapunov function is
given by
Furthermore, if D = Rn and V(x) as x , then this asymptotic stability is also global.
Similar stability criteria can be established for discrete-time systems. Two main results are summarized
as follows.
14
As a special case for discrete-time autonomous systems, Theorem 6 reduces to the following simple form.
Theorem 7 (Second Method of Lyapunov, for Discrete Time Autonomous Systems). Let x
= 0 be an equilibrium for the autonomous systems in Eq. (22). Then the system is globally (over the entire
domain D ) and asymptotically stable about this zero equilibrium if there exists a scalar-valued function V(xk ),
defined on D and continuous in xk , such that
(1)
(2)
(3)
(4)
V(0) = 0 ;
V(xk ) > 0 for all xk = 0 in D;
V(xk ): = V(xk ) V(xk 1 ) < 0 for all xk = 0 in * ;
V(x) as x .
To this end, it is important to emphasize that all the Lyapunov theorems stated earlier offer only sufficient
conditions for asymptotic stability. On the other hand, usually more than one Lyapunov function may be
constructed for the same system. For a given system, one choice of a Lyapunov function may yield a less
conservative result (e.g., with a larger stability region) than other choices. However, no conclusion regarding
stability may be drawn if, for technical reasons, a satisfactory Lyapunov function cannot be found. Nevertheless,
there is a necessary condition in theory about the existence of a Lyapunov function (7).
Theorem 8 (Massera Inverse Theorem). Suppose that the autonomous system in Eq. (19) is asymptotically stable about its equilibrium x and f is continuously differentiable with respect to x for all t [t0 , )
. Then a Lyapunov function exists for this system.
Some Instability Theorems. Once again, consider a general autonomous system,
15
with an equilibrium x = 0 . To disprove the stability, the following instability theorems may be used.
Theorem 9 (A Linear Instability Theorem). For system in Eq. (24), let J = [f /x]x = x = 0 be the system
Jacobian evaluated at x = 0. If at least one of the eigenvalues of J has a positive real part, then x = 0 is
unstable.
For discrete-time systems, there is a similar result: A discrete-time autonomous system
is unstable about its equilibrium x = 0 if at least one of the eigenvalues of the system Jacobian is larger than
1 in absolute value.
The following two negative theorems can be easily extended to nonautonomous systems in an obvious
way.
Theorem 10 (A General Instability Theorem). For system in Eq. (24), let V (x) be a positive and
continuously differentiable function defined on a neighborhood D of the origin, satisfying V(0) = 0 . Assume
that in any subset, containing the origin, of D, there is an x such that V (x) > 0. If, moreover,
= (x2 + y2 )(x2 + y4 ) > 0 for all ( x, y) = (0, 0) . Therefore, the conclusion is that this system is unstable
leads to V
about its zero equilibrium.
Theorem 11 (Chetaev Instability Theorem). For system in Eq. (24), let V (x) be a positive and
continuously differentiable function defined on D, and let be a subset, containing the origin, of D, (i.e., 0 D
). If
16
Fig. 10.
Fig. 11.
Let D be the right-half plane and be the shaded area shown in Fig. 11. Clearly, V = 0 on the boundary
= 2x3 > 0 for all ( x, y) D . According to the Chetaev theorem, this system is unstable
of and V > 0 and V
about its zero equilibrium.
LaSalle Invariance Principle. Consider again the autonomous system in Eq. (24) with an equilibrium
x = 0 . Let V (x) be a Lyapunov function defined on a neighborhood D of the origin. Let also t (x0 ) be a bounded
solution orbit of the system, with the initial state x0 and all its limit states being confined in D . Moreover, let
and M E be the largest invariant subset of E in the sense that if the initial state x0 M then the entire orbit
t (x0 ) M for all t t0 .
Theorem 12 (LaSalle Invariance Principle). Under the preceding assumptions, for any initial state
x0 D, the solution orbit satisfies
17
This invariance principle is consistent with the Lyapunov theorems when they are applicable to a problem
= 0 over a subset of the domain of V, a Lyapunov theorem is not easy to apply directly,
(6,12). Sometimes when V
but the LaSalle invariance principle may be convenient to use. For instance, consider the system
which is negative for x2 < 3 but is zero for x = 0 and x2 = 3, regardless of variable y . Thus, Lyapunov theorems
do not seem to be applicable,
at least not
directly. However, observe that the set E defined earlier has only three
straight lines: x = 3, x = 0, and x = 3, and that all trajectories which intersect the line x = 0 will remain
on the line only if y = 0 . This means that the largest invariant subset M containing the points with x = 0 is
the only point (0, 0). It then follows from the LaSalle invariance principle that
starting from any initial state
located in a neighborhood of the origin bounded within the two stripes x = 3, say located inside the disk
the solution orbit will always be attracted to the point (0, 0). This means that the system is (locally) asymptotically stable about its zero equilibrium.
Comparison Principle and Vector Lyapunov Functions. For large-scale and interconnected nonlinear (control) systems, or systems described by differential inequalities rather than differential equations,
the preceding stability criteria may not be directly applicable. In many such cases, the comparison principle
and vector Lyapunov function methods turn out to be advantageous (20 21,22).
To introduce the comparison principle, consider the general nonautonomous system
where x (t) is any solution of the equation, and xmin (t0 ) = x(t0 ) = xmax (t0 ) = x0 .
Theorem 13 (The Comparison Principle). Let y(t) be a solution of the following differential inequality:
18
If xmax (t) is the maximum solution of the system in Eq. (26), then
Theorem 14 (Vector Lyapunov Function Theorem). Let v (x, t) be a vector Lyapunov function
associated with the nonautonomous system in Eq. (26), with v(x, t) = [V 1 (x, t) V n (x, t)]T in which each V i is
a continuous Lyapunov function for the system, i = 1, , n, satisfying v (x, t) > 0 for x = 0 . Assume that
is stable in the sense of Lyapunov (or asymptotically stable) about its zero equilibrium y = 0, then so is
the nonautonomous system in Eq. (26);
(2) if, moreover, v(x, t) is monotonically descreasing with respect to t and the preceding stability (or asymptotic
stability) is uniform, then so is the nonautonomous system (26);
(3) if, furthermore, v(x, t) cx for two positive constants c and , and the preceding stability (or asymptotic
stability) is exponential, then so is the nonautonomous system (26).
A simple and frequently used comparison function is
19
Linear Stability of Nonautonomous Systems. For the system in Eq. (27), Taylor-expanding the
where J(t) = [f/x]x = 0 is the Jacobian and g(x, t) is the residual of the expansion, which is assumed to satisfy
in a neighborhood of zero, where a > 0 is a constant. It is known, from the theory of elementary ordinary
differential equations (16), that the solution of Eq. (28) is given by
where (t, ) is the fundamental matrix of the system associated with matrix J(t) .
Theorem 17 (A General Linear Stability Theorem). For the nonlinear nonautonomous system in
Eq. (28), if there are two positive constants, c and , such that
and if
uniformly with respect to t [t0 , ), then there are two positive constants, and , such that
20
In particular, if the system matrix J(t) = J is a stable constant matrix, then the following simple criterion
is convenient to use.
Theorem 18 (A Special Linear Stability Theorem). Suppose that in system (28), the matrix J(t) =
J is a stable constant matrix (all its eigenvalues have a negative real part), and g(0, t) = 0 . Let P be a positive
definite and symmetric matrix solution of the Lyapunov equation
for a constant a < 12 max (P) uniformly on [ t0 , ), where max (P) is the maximum eigenvalue of P, then system
in Eq. (28) is globally, uniformly, and asymptotically stable about its equilibrium x = 0 .
This actually is the example used previously for illustration of Theorem 2.
Linear Stability of Nonlinear Systems with Periodic Linearity. Consider a nonlinear nonautonomous system of the form
Theorem 19 (Floquet Theorem). For system in Eq. (30), assume that g(x, t) and g(x, t)/x are both
continuous in a bounded region D containing the origin. Assume, moreover, that
then system in Eq. (30) is globally, uniformly, and asymptotically stable about its equilibrium x = 0 .
where f is continuously differentiable, with f(0, t) = 0, and h is a persistent perturbation in the sense that for
any > 0, there are two positive constants, 1 and 2 , such that if h(x, t) < 1 for all t [t0 , ) and if x (t0 )
< 2 then x (t) < .
21
The equilibrium x = 0 of the unperturbed system [system in Eq. (32) with h = 0 therein] is said to be
totally stable, if the persistently perturbed system in Eq. (32) remains to be stable in the sense of Lyapunov.
As the next theorem states, all uniformly and asymptotically stable systems with persistent perturbations
are totally stable, namely, a stable orbit starting from a neighborhood of another orbit will stay nearby (7,9).
Theorem 20 (Malkin Theorem). If the unperturbed system in Eq. (32) (i.e., with h = 0 therein)
is uniformly and asymptotically stable about its equilibrium x = 0, then it is totally stable, namely, the
persistently perturbed system in Eq. (32) remains to be stable in the sense of Lyapunov.
Next, consider an autonomous system with persistent perturbations:
Theorem 21 (Perturbed Orbital Stability Theorem). If t (x0 ) is an orbitally stable solution of the
unperturbed autonomous system in Eq. (33) (with h = 0 therein), then it is totally stable, that is, the perturbed
system remains to be orbitally stable under persistent perturbations.
where A, B, C are constant matrices, in which A is nonsingular but B and C are not necessarily square (yet,
probably, B = C = I ), and h is a vector-valued nonlinear function. By taking the Laplace transform with zero
initial conditions and denoting the transform by x = L{x}, the state vector is obtained as
This can be implemented via the block diagram shown in Fig. 12, where, for notational convenience, both timeand frequency-domain symbols are mixed.
The Lure system shown in Fig. 12 is a closed-loop configuration, where the block in the feedback loop is
usually considered as a controller. Thus, this system is sometimes written in the following equivalent form:
22
Fig. 12.
SISO Lure Systems. First, single-input single-output Lure systems are discussed, where u = h(y)
and y = cT x are both scalar-valued functions:
Here, [, ] is called the sector for the nonlinear function h() . Moreover, the system in Eq. (39) is said to be
absolutely stable within the sector [ , ] if the system is globally asymptotically stable about its equilibrium
x = 0 for any nonlinear function h() satisfying the global sector condition. These local and global sector
conditions are visualized by Fig. 13(a, b), respectively.
Theorem 22 (Popov Criterion). Suppose that the SISO Lure system in Eq. (39) satisfies the following
conditions:
(1) A is stable and { A, b } is controllable;
(2) the system satisfies the global sector condition with = 0 therein;
(3) for any > 0, there is a constant > 0 such that
Fig. 13.
Fig. 14.
23
where G(s) is the transfer function defined by Eq. (37), and Re{} denotes the real part of a complex number
(or function). Then, the system is globally asymptotically stable about its equilibrium x = 0 within the
sector.
The Popov criterion has the following geometric meaning: Separate the complex function G(s) into its real
and imaginary parts, namely,
Then any graphical situation of the Popov criterion shown in Fig. 14 implies the global asymptotic stability
of the system about its zero equilibrium.
The Popov criterion has a natural connection to the linear Nyquist criterion (11,15,16,24). A more direct
generalization of the Nyquist criterion to nonlinear systems is the following.
Theorem 23 (Circle Criterion). Suppose that the SISO Lure system in Eq. (39) satisfies the following
conditions:
(1) A has no purely imaginary eigenvalues and has eigenvalues with positive real parts;
(2) the system satisfies the global sector condition;
(3) one of the following situation holds:
0 < < : the Nyquist plot of G(j) encircles the disk D(1/, 1/) counterclockwise times but does not
enter it;
0 = < : the Nyquist plot of G(j) stays within the open half-plane Re{s} > 1/ ;
24
< 0 < : the Nyquist plot of G(j) stays within the open disk D(1/, 1/) ;
< < 0 : the Nyquist plot of G(j) encircles the disk D(1/, 1/) counterclockwise times but does not
enter it.
Then, the system is globally asymptotically stable about its equilibrium x = 0 .
Here, the disk D( 1/, 1/), for the case of 0 < < , is shown in Fig. 15.
MIMO Lure Systems. Consider a multi-input multi-output Lure system, as shown in Fig. 12, namely,
with G(s) as defined in Eq. (37). If this system satisfies the following Popov inequality:
Theorem 24 (Hyperstability Theorem). The MIMO Lure system in Eq. (43) is hyperstable if and
only if its transfer matrix G(s) is positive real.
Describing Function Method. Return to the SISO Lure system in Eq. (39) and consider its periodic
output y(t) . Assume that the nonlinear function h() therein is a time-invariant odd function and satisfies the
property that for y(t) = sin(t), with real constants and = 0, only the first-order harmonic of h(y) in its
Fourier series expansion is significant. Under this setup, the specially defined function
is called the describing function of the nonlinearity h(), or of the system (15,24,25).
25
is the first-order approximation of a possible periodic orbit of the output of system in Eq. (39). However, if these
harmonic balance equations have no solution, then likely the system will not have any periodic output.
When solving the equation Gr (j) () = 1 graphically, one can sketch two curves in the complex plane:
Gr (j ) and 1/ () by increasing gradually and , respectively, to find their crossing points:
(1) If the two curves are (almost) tangent, as illustrated by Fig. 16(a), then a conclusion drawn from the
describing function method will not be satisfactory in general.
(2) If the two curves are (almost) transversal, as illustrated by Fig. 16(b), then a conclusion drawn from the
describing function analysis will generally be reliable.
Theorem 26 (Graphical Stability Criterion for a Periodic Orbit). Each intersection point of the two
curves, Gr (j) and 1/(), in Fig. 16 corresponds to a periodic orbit, y1 (t), of the output of system (39). If the
points, near the intersection and on one side of the curve 1/() where is increasing, are not encircled by
the curve Gr (j ), then the corresponding periodic output is stable; otherwise, it is unstable.
Bibo Stability
A relatively simple, and also relatively weak notion of stability is discussed in this section. This is the boundedinput bounded-output (BIBO) stability, which refers to the property of a system that any bounded input to the
system produces a bounded output through the system (11,26,27).
Return to the input-output map in Eq. (13) and its configuration Fig. 2.
Denition 1. The system S is said to be BIBO stable from the input set U to the output set Y, if for each
admissible input u U and the corresponding output y Y, there exist two nonnegative constants, bi and bo ,
26
such that
Note that since all norms are equivalent for a finite-dimensional vector, it is generally insignificant to
distinguish under what kind of norms for the input and output signals the BIBO stability is defined and
achieved. Moreover, it is important to note that in this definition, even if bi is small and bo is large, the system
is still considered to be BIBO stable. Therefore, this stability may not be very practical for some systems in
certain applications.
Small Gain Theorem. A convenient criterion for verifying the BIBO stability of a closed-loop control
system is the small gain theorem (11,26,27), which applies to almost all kinds of systems (linear and nonlinear,
continuous-time and discrete-time, deterministic and stochastic, time-delayed, of any dimensions), as long as
the mathematical setup is appropriately formulated to meet the theorem conditions. The main disadvantage
of this criterion is its over-conservativity.
Return to the typical closed-loop system shown in Fig. 3, where the inputs, outputs, and internal signals
are related via the following equations:
It is important to note that the individual BIBO stability of S1 and S2 is not sufficient for the BIBO
stability of the connected closed-loop system. For instance, in the discrete-time setting of Fig. 3, suppose that
S1 1 and S2 1, with u1 (k) 1 for all k = 0, 1, . Then S1 and S2 are BIBO stable individually, but it can
be easily verified that y1 (k) = k as the discrete-time variable k evolves. Therefore, a stronger condition
describing the interaction of S1 and S2 is necessary.
Theorem 27 (Small Gain Theorem). If there exist four constants, L1 , L2 , M 1 , M 2 , with L1 L2 < 1,
such that
then
where the norms are defined over the spaces that the signals belong. Consequently, Eqs. (48) and (24)
together imply that if the system inputs ( u1 and u2 ) are bounded then the corresponding outputs [ S1 (e1 ) and
S2 (e2 ) ] are bounded.
Note that the four constants, L1 , L2 , M 1 , M 2 , can be somewhat arbitrary (e.g., either L1 or L2 can be large)
provided that L1 L2 < 1, which is the key condition for the theorem to hold [and is used to obtain ( 1 L1 L2 ) 1
in the bounds in Eq. (49)].
In the special case where the input-output spaces, U and Y, are both the L2 -space, a similar criterion
based on the system passivity property can be obtained (11,27). In this case, an inner product between any two
vectors in the space is defined by
27
has a unique solution for any constant vector c Rn . This solution satisfies
satisfies
Concluding Remarks
This article has offered a brief introduction and description of the basic theory and methodology of the Lyapunov
stability, orbital stability, structural stability, and input-output stability for nonlinear dynamical systems. More
subtle details for stability analysis of general dynamical systems can be found in, for example, Refs. 1,6,8 9 10
12 12,13,15,16,23,24, and 27 28,29. When control is explicitly involved, stability and stabilization issues are
studied in Refs. 11,14,26,30,31, and 35 to name just a few.
Several important classes of nonlinear (control) systems have been left out in the preceding discussion
of various stability issues: some general functional systems such as systems with time delays (32), measure
ordinary differential equations such as systems with impulses (33,34), and some weakly nonlinear systems like
piecewise linear and switching (non)linear systems. Moreover, discussion on more advanced nonlinear systems
such as singular nonlinear systems (perhaps with time delays), infinite-dimensional (non)linear systems,
spatiotemporal systems described by nonlinear partial differential equations, and nonlinear stochastic (control)
systems are all beyond the scope of this elementary expository article.
28
BIBLIOGRAPHY
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
21.
22.
23.
24.
25.
26.
27.
28.
29.
30.
31.
32.
33.
34.
35.
S. Yu. Pilyugin, Introduction to Structurally Stable Systems of Differential Equations, Boston: Birkhaser,
1992.
H. Nijmeijer, A. J. van der Schaft, Nonlinear Dynamical Control Systems, New York: Springer-Verlag, 1990.
E. D. Sontag, Mathematical Control Theory: Deterministic Finite Dimensional Systems, 2nd ed., New York: SpringerVerlag, 1998.
J. Hale, Theory of Functional Differential Equations, New York: Springer-Verlag, 1977.
D. D. Bainov, and P. S. Simeonov, Systems with Impulse Effect: Stability, Theory and Applications, Chichester: Ellis
Horwood, 1989.
A. M. Samoilenko, N. A. Perestyuk, Impulsive Differential Equations, Singapore: World Scientific, 1995.
S. Sastry, Nonlinear Systems: Analysis, Stability, and Control, New York: Springer, 1999.
GUANRONG CHEN
University of Houston
VOLTERRA SERIES
359
VOLTERRA SERIES
Functional expansions are used in every branch of nonlinear
system theory: identification and modelling, realization, stability, optimal control, stochastic differential equations and
filtering, etc. Almost all the expansions used are of the Volterra type or, in the stochastic case, of the Wiener type. There
exist a great number of publications on these expansions. Let
us here mention only the early works by Wiener (1), Barrett
(2), and George (3) and the two books by Rugh (4) and Schetzen (5).
After recalling the definition of the Volterra series expansion and some of its convergence issues, we will study various
methods in order to derive the Volterra kernels and the response to typical inputs. The analysis is then applied to the
study of weakly nonlinear circuits in order to derive distortion
rates or intermodulation products.
FUNCTIONAL REPRESENTATION OF NONLINEAR SYSTEMS
Volterra Functional Series
For simplicity of presentation, we shall consider time-invariant systems. If a system is linear and time-invariant, then
the output y(t) can be expressed as the convolution of the input u(t) with the system unit impulse response h(t):
y(t) =
h( )u(t ) d
(1)
The system unit impulse response h(t) completely characterizes the linear time-invariant system since, once known, the
response to any input can be determined from Eq. (1). A system is said to be causal if the output at any given time does
not depend on future values of the input. That is, for any
time t1,
y(t1 ) =
h( )u(t1 ) d = 0
y(t) = h0 +
n=1
...
hn (1 , 2 , . . ., n )u(t 1 )u(t 2 )
u(t n ) d1 d2 dn
(2)
j = 1, . . ., n
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
360
VOLTERRA SERIES
hsym
n (1 , 2 , . . ., n ) =
1
n!
( i , i ,..., i )S
n
1
2
hn (i , i , . . ., i n )
1
y(t) =
y(t) = h0 +
n=1
cn
hn (1 , 2 , . . ., n )u(t 1 )u(t 2 )
u(t n ) d1 d2 dn
which is a power series in the amplitude factor c. It is a series
with memory since the integrals are convolutions. As a consequence of its power series character, there are some limitations associated with the application of the Volterra series to
nonlinear problems. One major limitation is the convergence
of this series.
In order to illustrate this let us consider the system of Fig.
1, where the system L is a linear system with the unit impulse response h(t)
z(t) =
h( )u(t ) d
(3)
z(t)
1 + z2 (t)
(1)n [z(t)]2n+1
n=0
u(t)
L
z(t)
y(t) = T[u(t)]
N
T
Figure 1. An example of a nonlinear system.
2n+1
h( )u(t ) d
h2n (1 , . . ., 2n ) = 0,
(1)n
and
hn (1 , . . ., n )es 1 1
es n n d1 d2 dn
n=0
Hn (s1 , . . ., sn ) =
which converges only for z2(t) 1. The Volterra series representation of the overall system T is now easily derived by substituting Eq. (3) for Eq. (4) to obtain
(4)
n0
Since the Taylor series converges only for z2(t) 1, the above
Volterra series will diverge at those times for which z(t) 1.
The Volterra series, thus, is valid only for the class of inputs
u(t) for which the amplitude of z(t) is less than one.
Now let N be replaced by the following nonlinear, no-memory system
y(t) = Esign[z(t)]
Clearly, the system T cannot be represented by a Volterra
series. It is, therefore, evident that generally, many types of
nonlinear systems, such as those that include saturating elements, cannot be characterized by a Volterra series that converges for all inputs.
Proofs are presented in Volterra (6), Brillant (8), and
Blackman (9) which show that under certain conditions, a
functional y(t) T[x(t)] can be approximated to any desired
degree of accuracy by a finite series of the form of Eq. (2).
Such a functional is called continuous. In particular, it is easy
to show that the functional relation between the solution (output) and the forcing function (input) of a nonlinear differential equation with constant coefficients which satisfies the
Lipschitz condition is continuous. If T[x(t)] can exactly be represented by a converging infinite series of the form of Eq. (2),
it is called analytic or weak. Conditions for convergence are
discussed by Volterra and Brillant. Brillant also notes that
two special types of systems, for which the functional relation
between input and output is analytic, are a linear system and
a nonlinear no-memory system with a power series relation
between input and output. He then shows that various combinations such as cascading, adding, or multiplying such systems results in an analytic system.
In practice, most of the analog circuits used in communication systems, such as modulators, mixers, amplifiers, harmonic oscillators, etc., are of a weak nature and, therefore,
analyzed and designed in the frequency domain. For such
weakly nonlinear circuits (having, say, distortion components
of 20 dB or more below the fundamental one), the Volterra
series technique can be readily used in the frequency domain
to obtain results both quantitatively and qualitatively.
Given an input-output map described by a nonlinear control system x f(x, u) and a nonlinear output y h(x), Lesiak
and Krener (10) present a simple means for obtaining a series
representation of the output y(t) in terms of the input u(t).
When the control enters linearly, x f(x) ug(x), the method
yields the existence of a Volterra series representation. The
uniqueness of Volterra series representations is also dis-
VOLTERRA SERIES
then
F (s1 , . . ., sn ) =
1 i
y(t) =
L[ f (1 , . . ., n ) + g(1 , . . ., n )]
= F (s1 , . . ., sn ) + G(s1 , . . ., sn ), R
f (1 , . . ., n ) = h(1 , . . ., k )g(k+1 , . . ., n )
then
F (s1 , . . ., sn ) = H(s1 , . . ., sk )G(sk+1, . . ., sn )
3. If f(1, . . ., n) can be written as a convolution of the
form
f (1 , . . ., n ) =
h( )g(1 , . . ., n ) d
h( )u(t ) d
0
(5)
y(t) =
hn (1 , 2 , . . ., n )u(t1 1 )u(t2 2 )
u(tn n ) d1 d2 dn
t
t
=
hn (1 , 2 , . . ., n )u(t 1 )u(t 2 )
0
then
h( )u(t ) d =
n +i
1
(2i)n n i
+
1
H(s1 w1 , . . ., sn wn )
361
(6)
u(t n ) d1 d2 dn
F (s1 , . . ., sn ) = H(s1 + + sn )G(s1 , . . ., sn )
f (1 , . . ., n ) =
h(1 1 , . . ., n n )g(1 , . . ., n )
d1 . . . dn
yn (t1 , . . ., tn ) =
t1
0
tn
hn (1 , 2 , . . ., n )u(t 1 )u(t 2 )
u(t n ) d1 d2 dn
(7)
then
F (s1 , . . ., sn ) = H(s1 , . . ., sn )G(s1 , . . ., sn )
5. If c1, . . ., cn are nonnegative constants, then
L[ f (1 c1 , . . ., n cn )] = F (s1 , . . ., sn )es 1 c 1 s n c n
6. If f(1, . . ., n) is given by the product
f (1 , . . ., n ) = h(1 , . . ., n )g(1 , . . ., n )
(8)
362
VOLTERRA SERIES
H3(s)
H2(s)
H1(s)
v + k1 v + k2 v2 = i
In order to determine H2(s1, s2), let us take i(t) es1t es2t and
identify the coefficient of the term 2!e(s1s2)t after the substitution of Eq. (11) for v(t) in both sides of Eq. (12). We obtain
H2(s1, s2) in term of H1(s) as follows
H2 (s1 , s2 ) = k2 H1 (s1 )H1 (s2 )H1 (s1 + s2 )
y(t) =
t1
tn
n=1 0
hn (1 , 2 , . . ., n )u(t 1 )u(t 2 )
(9)
k
k
s k ++s k t
n
y(t) =
Hn sk , . . ., sk n e 1
(10)
k 1 =1
i(t) = es 1 t + es 2 t + es 3 t
It follows
u(t n ) d1 d2 dn
n=1
1
s + k1
Similarly, the third-order transfer function is obtained by injecting a sum of three exponentials inputs
(12)
k n =1
2
[H (s , s )H (s ) + H2 (s2 , s3 )H1 (s1 )
3 2 1 2 1 3
+ H2 (s1 , s3 )H1 (s2 )]h1 (s1 + s2 + s3 )
H3 (s1 , s2 , s3 ) =
Repeating this process indefinitely gives higher order nonlinear transfer functions in terms of lower-order nonlinear
transfer functions.
Differential Geometry Approach
Consider a control system of the general form (10)
x = f (x, u),
x(0) = x0
y = h(x)
n=1 m
s ++s k t
n!
n
Hn sk , . . ., sk n e k 1
(11)
1
m1 !m2 ! . . . mk !
+
i(t)
v(t)
VOLTERRA SERIES
Given
h0 (t) = h(0 (t, 0, x0 ))
and
w1 (t, , x) =
hi (t, 1 , . . ., i )u(1 )
i=1
363
h u t, 0, x0 = h0 (t) +
x= u ( ,0,x 0 )
u(1 )w1 t, 1 , u 1 , 0, x0 d1 (14)
w1 (t1 , u (1 , 0, x0 ))
= h1 (t, 1 ) +
u(i ) di d1
where
h1 (t, 1 ) = w1 (t, 1 , 0 (1 , 0, x0 ))
The series converges in norm topology on C0([0, T], Rn) for all
u .
and
Theorem: Let f, g be analytic vector fields and h an analytic function. If x f(x), and x(0) x0 has a solution on [0,
T], then has a Volterra series representation, and it is
unique.
w2 (t, 1 , 2 , x) =
Hence, Eq. (14) becomes
0 ( , , x) = x
Given u, let u(t, , x) be the solution of the differential equation
w2 (t, 1 , 2 , 0 (2 , 0, x0 ))
k t
i=1
1
0
i1
hi (t, 1 , 2 , . . ., i )
u(1 ) u(i ) di d1
t
1
k
+
u ( , , x) = x
h(0 (t, , x))
d
h(( )) = u( )
g(x)
d
x
x= u ( ,0,x 0 )
satisfying
h1 (t1 )u(1 ) d1
u(1 )u(2 ) d2 d1
d
u (t, , x) = f (u (t, , x)) + u(t)g(u (t, , x))
dt
d
(t, , x) = f (0 (t, , x))
dt 0
such that
with
hi (t, 1 , 2 , . . ., i ) = wi (t, 1 , . . ., i , 0 (1 , 0, x0 ))
and
wi (t, 1 , . . ., i , x) =
364
VOLTERRA SERIES
Algebraic Approach
Fliess algebraic framework (19) summarized below allows deriving an explicit expression of the Volterra kernel by using
an algebraic computing software.
Let us recall some definitions and results from this algebraic approach (20). Let u1(t), u2(t), . . ., um(t) be some
piecewise continuous inputs and Z z0, z1, . . ., zm be a
finite set called alphabet. We denote by Z* the set of words
generated by Z. The algebraic approach introduced by Fliess
may be sketched as follows. Let us consider the letter z0 as an
operator which codes the integration with respect to time and
the letter zi, i 1, . . ., m, as an operator which codes the
integration with respect to time after multiplying by the input ui(t). In this way, any word w Z* gives rise to an iterated integral, denoted by Itw, which can be defined recursively as follows:
I {} = 1
t
d I {v}
if w = z0 v
0
t
,
I {w} = t
ui ( ) d I {v} if w = z1 v
(16)] in terms of the vector fields and the output function defining the system,
y(t) = w0 (t)+
t
x(t)
= f (x(t)) +
w0 (t)
w1 (t, 1 )
(16)
y(t) = h(x0 ) +
Lf
0 j 0 , j 1 ,..., j =0
L f L f h(x0 )It {z j z j
0
1
j
j
2
1
(17)
0 , 1 ,..., n 0
0 j 0 , j 1 ,, j =0
Lf
(18)
L f 0 Lg Lf 1 Lg Lf n h(x0 )
Lf
L f L f h(x0 )
j
t
0
d j d j
Llf h(x0 )
l0
tl
l!
t
0
y(t) =
L f L f h(x0 )z j z j z j
1 ) 1 1
1 !0 !
= e 1 L f Lg e( 2 1 )L f Lg e(t n )L f h(x0 )
(20)
z j nu }
t
= etL f h(x0 )
!
(t n ) n ... 0
d j
Lf h(x0 )
(t
0
1
0 , 1 0 L f Lg L f h(x0 )
0 j 0 ,..., j =0
(19)
..
.
w0 (t) = h(x0 ) +
n !... 0 !
x(0) = x0
wn (t, n , . . ., 1 )u(n )
= e 1 L f Lg e(t 1 )L f h(x0 )
(15)
y(t) = h(x(t))
g = h(x0 ) +
wn (t, n , n1 , . . ., 1 )
m
u(1 ) dn d1
n=1 0
v Z
w1 (t, 1 )u(1 ) d1
=
0 , 10
L f 0 Lg L f 1 h(x0 )
t
0
d0 d0 d1 d0 d0
1 times
0 times
t
0
(t 1 ) 1 1 0
1 !0 !
u(1 ) d1
VOLTERRA SERIES
w1 (t, 1 ) =
0 , 1 0
L f 0 Lg L f 1 h(x0 )
(t 1 ) 1 1 0
y + ay + by + cy3 = u(t)
1 !0 !
= e 1 L f Lg e(t 1 )L f h(x0 )
or
x1 = x2
x2 = ax2 bx1 cx31 + u(t)
t
0
2
0
y = x1
Here,
t
L f 0 Lg L f 1 Lg L f 2 h(x0 )
0 , 1 , 2 0
L f = x2
d0 d0 d1 d0 d0 d1 d0 d0
2 times
1 times
(t 2 ) (2
1 ) 1 1 0
2 !1 !0 !
w2 (t, 1 , 2 ) =
u(1 )u(2 ) d1 d2
0 , 1 , 2 0
=e
2 L f
Lg e
( 1 2 )L f
Lg e
(t 1 )L f
L f 0 Lg L f 1 Lg L f 2 h(x0 )
x2
and
0 times
Lg =
t
365
(t 2 ) 2 (2 1 ) 1 1 0
2 !1 !0 !
h(x0 )
t 0,
y(0) = 0,
y(0)
=1
t
0
i i
ad Lg
e L f Lg e L f h(x0 ) =
i! L f
i=1
u( )y( ) d d t = 0
where gi contains all the terms of the solution g having exactly i occurrences in the variable z1,
g0 = (1 + 2 z20 )1 z0
g1 = (1 + 2 z20 )1 z0 z1 g0 = (1 + 2 z20 )1 z0 z1 (1 + 2 z20 )1 z0
(22)
g = g0 + g1 + g2 + + gi +
(21)
1i 2j i
adL Lg adLj Lg etL f h(x0 )
f
i!
j!
f
i, j=1
..
.
In order to solve this equation, let us use the following iterative scheme
(t 1 )L f
(1 + 2 z20 )g + z0 z1 g z0 = 0
i
1 i
adL Lg etL f h(x0 )
=
f
i!
i=1
t
y( ) d d +
1 L f
y(t) + 2
(23)
g2 = (1 + 2 z20 )1 z0 z1 g1
(1 + 2 z20 )1 z0 z1 (1 + 2 z20 )1 z0 z1 (1 + 2 z20 )1 z0
..
.
Each gi, i 0, 1, 2, . . . is a (rational) generating power series
of analytic causal functionals yi, i 0, 1, 2, . . . which represents the ith order term of the Volterra associated with the
solution y(t). Let us now compute yi(t), i0.
366
VOLTERRA SERIES
First,
Therefore,
g0 =
1
1
(1 + jz0 )1 +
(1 jz0 )1
2 j
2 j
y1 (t) =
and
y0 (t) = w0 (t) =
1
0
w1 (t, )u( ) d
1 jt
1 jt
1
e
e
+
= sin(wt)
2 j
2 j
after decomposing into partial fractions the term on the righthand side and on the left-hand side of z1,
1
1
(1 + jz0 )1
(1 jz0 )1 z1
2 j
2 j
1
1
(1 + jz0 )1 +
(1 jz0 )1
2 j
2 j
or
g1 =
1
[(1 + jz0 )1 z1 (1 + jz0 )1
42
(1 + jz0 )1 z1 (1 jz0 )1
(1 jz0 )1 z1 (1 + jz0 )1
+ (1 jz0 )1 z1 (1 jz0 )1 ]
In order to obtain the equivalent expression in the time domain, we need the following result (23).
The rational power series can be written as
(1 a0 z0 ) p 0 z1 (1 a1 z0 ) p 1 z1 . . . z1 (1 al z0 ) p l
(24)
t
0
f a 0 (t l ) . . . f a l 1 (2 1 ) f a l (1 )u(l )
l 1
(25)
. . . u(1 )dl . . . d1
yn (t1 , . . ., tn ) =
n +i
1
(2i)n n i
+i
1
p1
j=0
j
p1
j!
a t eat
j j
y1 (t) =
0
t
(26)
1 j
1 j(t )
1 j
u( )
+
e
e
e
d
2 j
2 j
2 j
1 j
1 j
1 j(t )
e
e
e
d
u( )
+
2 j
2 j
2 j
1
y2 (t, t) =
(2i)
2 +i
2 i
es 2 t ds2
1
(2i)
1 +i
1 i
VOLTERRA SERIES
1
y2 (t, t) =
(2i)
2 +i
2 i
1
(2i)
1 +i
1 i
Y2 (s s2 , s2 )e
ss 2 t
367
ds
an zn0
n0
es 2 t ds2
Example:
or by interchanging the order of integration
1
y2 (t, t) =
(2i)
1 +i
1 i
1
(2i)
2 +i
2 i
cos t =
Y2 (s s2 , s2 )ess 2 t ds2
es 2 t ds
Y2 (s) =
1
(2i)
2 +i
2 i
Y2 (s s2 , s2 )ess 2 t ds2
(27)
Similarly, a transform of any order can be reduced to a firstorder transform by successive pairwise associations. For example, let us consider the third-order term
1
(s1 + s2 + s3 + a)(s1 + a)(s2 + a)(s3 + a)
Associating the variables s2 and s3 yields
1
(s1 + s2 + a)(s1 + a)(s2 + 2a)
Then, associating s1 and s2 yields
1
1
(1 jtz0 )1 + (1 + jtz0 )1 = (1 + 2 z20 )1
2
2
Before seeing the algebraic computation itself in order to compute the first terms of the response to typical inputs, let us
introduce a new operation on formal power series, the shuffle
product.
Given two formal power series,
g1 =
wZ
wZ
(g2 , w)w
w 1 ,w 2 Z
1
(s + a)(s + 3a)
u(t) =
1 jt 1 jt
e + e
2
2
an
tn
n!
11 1
z Z, 1z z1 z
z, z Z, w, w Z*
zwzw z[wzw] z[zww]
This operation consists in shuffling all the letters of the two
words by keeping the order of the letters in the two words.
For instance,
z0 z1 z1 z0 = 2z0 z21 z0 + z0 z1 z0 z1 + z1 z0 z1 z0 + z1 z20 z1
It has been shown that the Laplace-Borel transform of expression Eq. (24), for a given input u(t) with the Laplace-Borel
transform gu, is obtained by substituting from the right each
variable z1 by the operator z0[gu ].
Therefore, in order to apply this result, we need to know
how to compute a shuffle product of algebraic expressions of
the form
gn = (1 + a0 z0 )1 zi (1 + a1 z0 )1 zi
1
. . . (1 + an1 z0 )1 zi n (1 + an z0 )1
(28)
368
VOLTERRA SERIES
g p = (1 + a0 z0 )
zi (1 + a1 z0 )
(1 + a p1 z0 )
zi
Nonlinear resistor:
1. Current-controlled: v = f(i)
2. Voltage-controlled: i = g(v)
i
v
zi p (1 + a p z0 )1
and
gq = (1 + b0 z0 )1 z j (1 + b1 z0 )1 z j
1
(1 + bq1 z0 )
Nonlinear capacitor:
z j q (1 + bq z0 )
1. Current-controlled: v = f(i)
2. Voltage-controlled: i = d (v)
dt
i
v
g p gq = g p gq1 z j q (1 + a p + bq )z0 )1
Nonlinear inductor:
+ g p1 gq zi p (1 + (a p + bq )z0 )1
d
1. Current-controlled: v = dt f(i)
2. Voltage-controlled: i = g(v)
v
See (25) for case-study examples and some other rules for
computing directly the stationary response to harmonic inputs or the response of a Dirac function, and see (26) for the
algebraic computation of the response to white noise inputs.
This previous computation of the rational power series g and
of the response to typical entries has been applied to the analysis of nonlinear electronics circuits (27) and to the study of
laser semi-conductors (28) and (29).
Current-controlled voltage-source
w(t) =
n1
w(t) =
n
z( ) d
bn
I+
v = r(ix)
I+
v = (vx)
(29)
d
cn zn (t)
dt n1
Voltage-controlled current-source
i = g(vx)
Current-controlled current-source
i = (vx)
Figure 4. Representation of lumped electronic nonlinear elements.
series expansion Eq. (29) is more rapidly convergent. Separating the summations in Eq. (29) into a linear part plus secondand higher-order terms suggests that each nonlinear element
may be seen as a parallel (if w is a current) or a cascade (if w
is a voltage) combination of a linear element (n 1) and a
strictly nonlinear element (n 2). This leads to an equivalent
representation of the nonlinear elements given in Fig. 5.
Let us first consider these strictly nonlinear elements as
independent sources and modify the circuit by imbedding the
linear component of each nonlinear element into the linear
circuit. This results in a linear circuit called the modified linear circuit. Using Kirchhoff s current and voltage laws, a
standard linear analysis can be carried out.
To avoid dealing with certain types of networks whose
functional representation may fail to exist, we shall assume
that the networks meet certain requirements.
Consider each nonlinear capacitor (inductor) described by
an admittance (impedance) representation and its associated
nonlinear independent current (voltage) source. Let i and v
denote, respectively, the source current and its branch voltage. Assume that all the other independent current (voltage)
sources, inputs and sources associated with the other nonlin-
VOLTERRA SERIES
Impedance
representation
i
Admittance
representation
i(t)
369
v(t)
i
R = f1
R=
e(t)
1
g1
i(t)
I+ gNL(v)
I+ fNL(i)
i=
i
1
R=
f1
C = g1
I+
I+ fNL(i)
d
dt gNL(v)
i
L = f1
L=
1
g1
I+ gNL(v)
d
dt fNL(i)
I+
d 2
v
dt
I+
v = (vx)
I+ 1 vx
I+
I+ NL(vx)
r1 vx
I+ rNL(vx)
v = r(vx)
(x) = 1x + NL(x)
v0 = e,
1i x
gNL(v)
i(t)
e(t)
n1
v = e + ee + 2e(e)
2 + e2 e +
NL(v)
(x) = 1x + NL(x)
vn = e + vn1 v n1 ,
yielding
i = (vx)
i = g(vx)
(30)
g 1v x
I+
(31)
i1
i3
v(t)
v1
i = d v2
dt
Figure 6. Example of a nonlinear circuit.
vs
is
v2
v3
i2
Figure 8. A nonlinear circuit: v1 f(i1); v2 h(i2); v3 r( i3).
370
VOLTERRA SERIES
+
f1
vs
v1
NL
+
v2NL
v3NL
+
vs = f (is ) + h(i2 )
is
h1
r1
(32)
Derivation of the Generating Power Series Associated with Nonlinear Circuits. Using the algebraic approach described earlier,
it is not difficult to derive the generating power series associated with the unknown variables of the set of equations obtained from E3, E1, and E2. Instead of showing this in general,
let us here illustrate the main ideas through the above example of Fig. 8. Given
Note that in practical circuits, H1, H2, and H3 are generally fulfilled.
f (i) =
f n in
n1
#
E1 h(i2 ) + r( i3 ) = 0
E2 vs = f (i1 ) + h(i2 )
is = i1
E3
i1 + i3 = i2
h(i) =
hn in
n1
and
#
#
r( i) =
rn ( i)n
n1
If g2 and gs denote the generating power series associated respectively with i2 and is from Eq. (32), we obtain the following
set of algebraic equations
n
n
=0
n1 hn g2 +
n1 rn (x0 g2 x1 )
(33)
n
n
gs = n1 hn g2 + n1 f n gs
where gn g . . . g (n-times). From the algebraic rules
defined earlier, we can derive iteratively the expressions for
[g]i, the power series containing exactly i occurrences of the
letter x1 in g.
These computations are easily implementable on a computer using a formal computing software. In the same way,
we can systematically derive the response to typical inputs as
we previously described. In the last two parts, we use these
Volterra series expansions in a time domain in order to derive
physical quantities like signal distortions or intermodulation
products.
DISTORTION ANALYSIS
In this part, we are interested in the analysis of the response
of weakly nonlinear systems driven by harmonic inputs.
When the input signal is of the form sin(t), its response is
in general also periodic, but the output signal contains components with a multiple integer of the input pulsation. When
the signal input is composed of two harmonics of pulsation
1 and 2, respectively, then the output signal is a sum of
harmonics with pulsation p1 q2, where p and q are negative or positive integers.
The study of the harmonic components of the response is
of great importance in the study of distortions existing in nonlinear circuits, like the transistors, the amplifiers, the modulators, etc. One can cite, for instance, the works of Bedrosian
and Rice (15), Goldman (30), Narayanan (31,32), Bussgang,
Ehrman and Graham (16) and Crippa (33). A Volterra series
offers an efficient tool for this study because for weakly non-
VOLTERRA SERIES
linear systems, often only first, second, and third terms of the
Volterra series are sufficient in order to obtain significant
quantitative results.
Harmonic Analysis
with
y(t) =
t1
tn
n=1 0
yn (t) =
1
2n1
mM, m 0
n!
|A |(m 1 +m 1 )
(mK )! . . . mK !) 1
hn (t 1 , t 2 , . . ., t n )u(1 )u(2 )
. . . u(n ) d1 d2 . . . dn
where
(34)
= (K , . . ., K , . . . K , . . .K )
m K
1
u(t) = |A1 | cos(1t + 1 ) = (A1 ei 1 t + A1 ei 1 t )
2
A j . . . A j n Hn j , . . ., j n e
n
1
1
2 j =1 j =1
j ++ j
1
mK
m = (mK mK )K + + (m1 m1 )1
yn (t) =
371
(35)
Nonlinear Distortions
where Hn(j1, . . ., jn) is the multidimensional Laplace transform of hn(t1, t2, . . ., tn). The Laplace transform Hn is, like
hn, a symmetric function. This allows regrouping identical
terms in expression Eq. (35). In order to do so, let us denote
by m1(m1) the occurrence number of the pulsation 1(1) in
(j1, . . ., jn). Equation (35) may also be written
yn (t) =
1
2n
mM,m=(m 1 ,m 1 )
n!
(A )m 1 (A1 )m 1
(m1 )!m1 ! 1
Hn (1 , . . ., 1 , 1 , . . ., 1 )ei(m 1 m 1 ) 1 t
(36)
where M represents the set of the couples (m1, m1), such that
m1 m1 n. By regrouping conjugate complexes in Eq. (36),
we obtain
yn (t) =
1
2n1
mM,m 1 m 1
n!
|A |(m 1 +m 1 )
(m1 )!m1 ! 1
m1
u(t) =
K
k=1
|Ak | cos(k t + k ) =
1
2
k=K
k=K ,k = 0
Ak ei k t
|A1 |
|Ak |
Harmonic Distortion Rate. The value of this rate indicates
the global relative importance of the output harmonic level
with respect to the fundamental frequency term. It is defined
by
|A2
|2
|A1 |2
+ |A3 |2 +
372
VOLTERRA SERIES
and 2
From the previous part it is not difficult to see that, for instance,
IMR2(1 2 ) =
|H1 ()|
|E H2 (, )|
and
IMR3(21 2 ) =
4|H1 ()|
3|E|2 |H3 (, , )|
BIBLIOGRAPHY
1. N. Wiener, Nonlinear Problems in Random Theory, New York:
Wiley, 1958.
2. J. Barrett, The use of functionals in the analysis of nonlinear
physical systems, J. Electronics Control, 15: 567615, 1963.
3. D. George, Continuous nonlinear systems, MIT RLE Technical
Report No. 355, 1959.
4. W. J. Rugh, Nonlinear System Theory, Baltimore, MD: John Hopkins Univ. Press, 1981.
5. M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems, New York: Wiley, 1980.
6. V. Volterra, Theory of Functionals (translated from the Spanish),
London: Blackie, 1930 (reprinted by Dover, New York, 1959).
7. M. Schetzen, Nonlinear system modeling based on the Wiener
theory, Proc. IEEE, 69 (12): 1981.
8. M. Brillant, Theory of the analysis of nonlinear systems, MIT
RLE Technical Report No. 345, 1958.
9. J. Blackman, The representation of nonlinear networks, Syracuse
University Research Institute, Report No. 81560 for Air Force
Cambridge Research Center, Cambridge, Mass,
10. C. Lesiak and A. J. Krener, The existence and uniqueness of Volterra series for nonlinear systems, IEEE Trans. Autom. Control,
23: 10901095, 1978.
11. R. W. Brockett, Volterra series and geometric control theory, Automatica, 12: 167176, 1976. R. W. Brockett and E. G. Gilbert,
An addendum to Volterra series and geometric control theory,
Automatica, 12: 635, 1976.
12. E. G. Gilbert, Functional expansions for the response of nonlinear
differential systems, IEEE Trans. Autom. Control, 22: 909921,
1977.
13. C. Bruni, G. Di Pillo, and G. Koch, On the mathematical models
of bilinear systems, Ricerche di Automatica, 2 (1): 1971.
14. S. Boyd and L. O. Chua, Fading memory and the problem of approximating nonlinear operators with Volterra series, IEEE Circuits Syst., 32 (11): 11501161, 1985.
15. E. Bedrosian and S. Rice, The output properties of Volterra systems (nonlinear systems with memory) driven by harmonic and
Gaussian input, Proc. IEEE, 59: 16881707, 1971.
16. J. Bussgang, L. Ehrman, and J. W. Graham, Analysis of nonlinear systems with multiples inputs, Proc. IEEE, 62: 10881119,
1974.
17. L. O. Chua and C. Y. Ng, Frequency domain analysis of nonlinear
systems: general theory, Electronics Circuits and Systems, 3 (4):
165185, 1979 and Frequency domain analysis of nonlinear systems: formulation of transfer functions, Electronics Circuits and
Systems, 3 (6); 257269, 1979.
18. R. H. Flake, Volterra series representation of nonlinear systems,
IEEE Trans. Ind. Appl., 81: 330335, 1963.
19. M. Fliess, M. Lamnabhi, and F. Lamnabhi-Lagarrigue, Algebraic
approach to nonlinear functional expansions, IEEE Circuits Syst.,
30: 550570, 1983.
VOLUME VISUALIZATION
22. F. Lamnabhi-Lagarrigue and G. Stefani, Singular optimal control
problems: On the necessary conditions for optimality, SIAM J.
Contr. Optim., 28: 823840, 1990.
23. F. Lamnabhi-Lagarrigue and M. Lamnabhi, Determination algebrique des noyaux de Volterra associes certains syste`mes non lineaires, Ricerche di Automatica, 10: 1726, 1979.
24. A. Martin, Calcul dapproximations de la solution dun syste`me
non lineaire utilisant le logiciel SCRATCHPAD, in G. Jacob and
F. Lamnabhi-Lagarrigue (eds.), Algebraic Computing in Control,
Lect. Note Contr. Inform. Sc., Springer Verlag, 165, 1991.
25. F. Lamnabhi-Lagarrigue, Analyse des syste`mes non lineaires, Ed.
Hermes, 1994.
26. M. Fliess and F. Lamnabhi-Lagarrigue, Application of a new
functional expansion to the cubic anharmonic oscillator, J. Math.
Physics, 23: 495502, 1982.
27. S. Baccar, F. Lamnabhi-Lagarrigue, and G. Salembier, Utilisation du calcul formel pour la modelisation et la simulation des
circuits electroniques faiblement non lineaires, Annales des Telecommunications, 46: 282288, 1991.
28. L. Hassine et al., Volterra functional series expansions for semiconductor lasers under modulation, IEEE J. Quantum Electron.,
30: 918928, 1994.
29. L. Hassine et al., Volterra functional series for noise in semiconductor lasers, IEEE J. Quantum Electron., 30: 25342546, 1994.
30. J. Goldman, A Volterra series description of crosstalk interference in communications systems, Bell Syst. Tech. J., 52: 649
668, 1973.
31. S. Narayanan, Transistor distortion analysis using Volterra series representation, Bell Syst. Tech. J., 46: 9911024, 1967.
32. S. Narayanan, Application of Volterra series to intermodulation
distortion analysis of a transistor feedback amplifier, IEEE
Trans. Circuit Theory, 17: 518527, 1970.
33. G. Crippa, Evaluation of distortion and intermodulation in nonlinear transmission systems by means of Volterra series expansion, Alta Frequenza, 38: 332336, 1969.
34. R. G. Meyer, M. J. Shensa, and R. Eschenbach, Cross-modulation
and intermodulation in amplifiers at high frequencies, IEEE
Solid State Circuits, 7: 1623, 1972.
35. M. Fliess and M. Lamnabhi, Application dune technique nouvelle
de calculs de developpements fonctionnels a` la determination de
distortions non lineaires, Proc. Huitie`me Colloque sur le Traitement du Signal et ses Applications, Nice, 1981.
FRANCOISE LAMNABHI-LAGARRIGUE
Laboratoire des Signaux et Syste`mes
Centre National de la Recherche
Scientifique
Ecole Superieure d Electricite
(Supelec)
373
240
an adaptive current mode control scheme. The converter develops multiple output voltages from a single inductor using
a multiplexing technique.
BACKGROUND
The rapid growth of portable equipment has been fueled by
strong customer demand that has resulted from significant
advances in digital and radio frequency (RF) technologies.
Features such as e-mail, global positioning systems (GPS),
and two-way communications are making handheld portable
instruments more versatile, while many of these new features
increase the power demands on the battery. Although battery
performance is improving with the introduction of new chemistries, it has not kept pace with the increased functionality
found in todays portable equipment. Switch mode power conversion can offer efficiency improvements when compared to
linear techniques, increasing battery utilization. Unique challenges exist, however, in implementing a switch mode solution for a battery-powered system. Portable devices have a
wide dynamic load range that can vary from a few milliwatts
to hundreds of milliwatts. Many portable devices require the
converter to operate off the voltage of a single cell, which,
depending on the power level of the application, can pose a
significant challenge.
241
Alkaline is the disposable battery of choice for portable devices because of a low self discharge (about 5% a year) and a
high energy density. For many applications, such as two-way
pagers, the average load on the alkaline battery is low but
the peak load can be over 500 mW. This can equate to peak
currents of more than 500 mA from a single cell. Figure 1
shows the voltage of an AA battery discharged at 500 mA.
1.5
0.55
1.4
0.5
1.3
0.45
1.2
0.4
1.1
0.35
0.3
1
0.9
0.25
Open circuit
500 mA load
Effective battery ESR
0.8
0.7
0.6
Battery ESR ()
10
20
30
40
50
60
0.2
0.15
70
Time (min)
80
90
100
110
0.1
120
Figure 1. AA alkaline battery voltage at
a 500 mA discharge rate.
Rind
S2
Rs2
L
Rs1
Rbat
Vbat
Cg
S1
Rcap
Inductor
current
Tbst
Conduction energy
lost
Where
Cg
Cout
Tch
1
E in I peak V bat (T ch T bst )
2
I 2peak
E cond
(R ch T ch R bst T bst )
3
Input energy
Ipeak
R ch R bat R ind R s1
R bst R bat R ind R s2 R cap
E sv 2 C g V 2g
E IDD V DD I DD T cyc
Resulting efficiency
(%)
Efficiency 100 1
(E cond E sw E IDD )
E in
242
Optimal
Peak
current
100
Efficiency
50
Switching losses
(Esw/Ein)
0.1
0.25
IDD losses
(EIDD /Ein)
0.5
Conduction losses
(Econd / Ein)
Tcycle
Figure 3. Discontinuous mode inductor current.
10 F
243
+
0.08 V to Vout = 0.5 V
22 F
8V
VGD
Vin
SW
0.4
Start-up
circuitry
Vout
100 F
0.25
10 F
UCC3941-3 = 3.3 V
UCC3941-5 = 5.0 V
UCC3941-ADJ = 1.30 V to 6 V
SD
PLIM
Open = SD
UCC3941-ADJ
SGND
+
7
PGND
For UCC3941-ADJ Pin 7 = Sgnd & Pgnd. Pin 6 = Output sense feedback.
Figure 5. UCC3941 Simplified block diagram and application circuit.
1
W
uCox
(VGS VT )
Leff
200
(1)
RDSON is inversely proportional to gate drive voltage (VGS) minus the threshold voltage (VT 0.7 V). Other parameters in
the equation are fixed for a given switch geometry and silicon
process. By generating an 8 V supply (VGS) for the gate drive
rather than using the main output voltage Vout, conduction
losses are lowered by a factor of 2 to 3. Using 8 V achieves
the best overall efficiency compromise between switching and
conduction losses for the converter. The 8 V output can be
used to support an additional 10 mA of load current for applications requiring an auxiliary output. By lowering conduction
losses, the converter can deliver more current to the load at
low battery voltages.
Figure 6 shows the output current capabilities of several
low power boost converters with integrated MOSFETs. As the
graph indicates, the load current capability of most converters
180
Maximum load current (mA)
RDSON =
160
140
UCC3941
Converter 1
Converter 2
Converter 3
120
100
80
60
40
20
0
0.8
0.9
1.1
1.2
1.3
1.4
1.5
244
UCC394 Efficiency
L = 22 H
100
Imax
1
Vbat
(2)
Inductor
current
90
Efficiency (%)
80
70
Vin = 3.6 V, Vout = 5 V
Vin = 1.5 V, Vout = 3.3 V
60
50
10
100
1000
Figure 8. Efficiency as a function of load current and input and output voltage.
Time
The UCC3941 incorporates a unique multiplexed coil technique to generate multiple outputs from a single inductor. En-
Dpos
Vgd
Sout
Vout
+
8V
+
Vbat
Smain
3.3 V or
5V
Multiplexed Waveforms
The UCC3941 converter develops a hysteretic control technique by monitoring the output voltages with comparators. If
an output falls below its voltage threshold, the converter will
deliver a single or multiple energy pulses to the output until
the output comes into regulation. The inductor charge time is
controlled by: Ton 12 s/Vin. In discontinuous conduction
mode, this results in a constant peak current, regardless of
the input voltage. For a 22 H inductor, the resulting peak
current is approximately 500 mA. The on time control is
maintained, unless the inductor current reaches the Imax limit.
The inductor discharge time is fixed at Toff 1.7 s, unless
the output rises above its voltage threshold. The short off
time allows the inductor current to transition to the Imax limit
if a single pulse is not adequate. If the output voltage is satisfied after the 1.7 s off time, the charge switch will not be
activated, and the inductor current will decay to zero.
Figure 11 depicts typical voltage and current waveforms of
the converter servicing two outputs. At time t1, Vout drops below its lower threshold, and the inductor is charged for 12 s/
Vin. At time t2, the inductor begins to discharge with a minimum off time of 1.7 s. Under lightly loaded conditions, the
amount of energy delivered in this single pulse would satisfy
the voltage control loop, and the converter would not command any more energy pulses until the output again drops
below the lower voltage threshold.
At time t3, the Vgd supply has dropped below its lower
threshold, but Vout is still above its threshold point. This results in an energy pulse to the gate drive supply at t4. However, while the gate drive is being serviced, Vout has dropped
below its lower threshold, so the state machine commands an
energy pulse to Vout as soon as the gate drive pulse is completed (time t5).
Time t6 represents a transition between light and heavy
loads. A single energy pulse is not sufficient to force the output voltage above its upper threshold before the minimum off
time has expired and a second charge cycle is commanded.
Because the inductor does not reach zero current in this case,
the peak current is greater than 0.5 A at the end of the next
charge on time. The result is a ratcheting of inductor current
until either the output voltage is satisfied, or the converter
reaches its programmed current limit. At time t7, the gate
drive voltage has dropped below its threshold, but the converter continues to service Vout because it has highest priority,
unless Vgd drops below 7.6 V.
Between t7 and t8, the converter reaches its maximum current limit that is determined by the programmed power limit
and Vin. Once the limit is reached, the converter operates in
continuous mode with approximately 200 mA of ripple current. A time t8, the output voltage is satisfied, and the converter can service Vgd, which occurs at t9.
VGD
5V/DIV
IL
0.5 A/DIV
To
T1 T2 T3 T4
2 ms/DIV
Vout
1V/DIV
245
Topology Extensions
The multiplexed coil topology can be extended to produce additional outputs. Figure 12 shows a single inductor providing
246
t1
t2
t3
t4
t5
t6
t7
t8
t9
50 mV
P-P
typical
Vgd
voltage
ripple
Vout
voltage
ripple
10 mV
P-P
typical
Imax
Inductor
current
Figure 11. Multiplexed inductor servicing two outputs.
Ipeak
1.7 s
EDDY WELLS
MARK JORDAN
Unitrode Corporation
CONCLUSION
When selecting a power management solution for a portable
application, it is important that the converter operates efficiently over a wide dynamic range. In order to get the most
energy from a low-voltage power source, the converter should
be able to start up and operate below 1 V during peak load
demands. When additional outputs are required, issues relating to converter efficiency and board real estate can often be
critical.
The UCC3941 addresses these issues by incorporating an
adaptive control scheme that extends the batterys usable
voltage range. High efficiency over a wide dynamic load range
Dpos
SNiCd
Sout
Vbat
VNiCd
Vneg
Smain
Dneg
Vout
6V
+
2.5 V
3.3 V
Vgd
+
8V