DWC DDR MultiPHY Smic40ll25 DB
DWC DDR MultiPHY Smic40ll25 DB
DWC DDR MultiPHY Smic40ll25 DB
data strobe position in the data eye can be further tuned in MSD_ITMD by adjusting di_trm.
Normally, the delay on each data bit is matched to the delay of the data strobes through the slave DLL and
clock tree. The di_trm trim input allows this delay to be slightly increased if required. The nominal delay
setting should work for most systems. In cases where these delays need to be adjusted, the user should
perform test reads to determine the best tuning values. The lower two bits of di_trm control the delay for the
data clocked by dqs_90, while the higher two bits control the delay for the data clocked by dqsb_90. Valid
settings for each two-bit control field are as follows:
Strobed read data is written to a four-entry FIFO as shown in Figure 9-9. Rising edges of dqs_90 and
dqsb_90 write data to the FIFO, and the rising edge of dqsb_90 also increases the write pointer value. The
output FIFO valid flag is raised synchronous to the first rising rclk edge after the rising edge of dqsb_90
when the write pointer and read pointer values are different. At this same time, data is driven from ITMD
on output di synchronous to rclk. Asserting read synchronous to rclk increases the read pointer value.
Note Note Note Note
The functionality and timing of the output enable path (OE) is the same as the data output path
functionality and timing represented in the previous figures. AC timing parameters are provided in DC
and AC Characteristics on page 120.
00 = nominal delay 01 = nominal delay + 1 step 10 = nominal delay + 2 steps 11 = nominal delay + 3 steps
clk_0
clk_90
clk_180
clk_270
rst_b / srst_b
io_do
dout[1:0]
do_set_b
do_rst_b
X
tSRPW
tSRPW
tSRPW
tPROPS
tPROPR
92 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
A FIFO read should be issued in the same clock cycle that valid is asserted. The FIFO provides one clock
cycle margin for skew between different bits of a byte lane. This allows the controller to receive valid from
all ITMDs, capturing all the data at the same time, and to send one common read to all ITMDs.
Figure 9-9 Read FIFO Operation
Figure 9-10 MSD_ITMD External-to-Internal Memory Read Timing
July 29, 2011 Synopsys, Inc. 93
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.2.1.3 MSD_ITMD Pin List
Table 9-4 MSD_ITMD Pin List
Pin Name Direction Description
General Outputs
clk_0 input Control clock, no phase shift
clk_90 input Control clock, shifted 90from clk_0
clk_180 input Control clock, shifted 180from clk_0
clk_270 input Control clock, shifted 270from clk_0
rst_b input Asynchronous reset. Active low. When 0, resets all FIFO pointers to the default value.
srst_b input Asynchronous soft reset. Active low. When 0, resets all FIFO pointers to the default value.
Internal Controller Interface Enable
oe[1:0] input Output enable control for I/O. Synchronous to clk_0.
oe[0] is output enable generated by the first clk_0 rising edge after the clk_0 rising edge which captured
oe[0]
oe[1] is output enable generated by the second clk_180 rising edge after the clk_0 rising edge which
captured oe[1]
oe_set_b input Output enable set control for I/O. Asynchronous. Active low.
When oe_set_b is 0, output io_oe is set to 1.
oe_rst_b input Output enable reset control for I/O. Asynchronous. Active low. When oe_rst_b is 0 and oe_set_b
is 1, output io_oe is reset to 0.
Internal Controller Interface Write
dout[1:0] input Data output for I/O. Synchronous to clk_0.
dout[0] is data output generated by the first clk_0 rising edge after the clk_0 rising edge which captured
dout[0]
dout[1] is data output generated by the second clk_180 rising edge after the clk_0 rising edge which
captured dout[1]
do_set_b input Data output set control for I/O. Asynchronous. Active low.
do_rst_b input Data output reset control for I/O. Asynchronous. Active low.
Internal Controller Interface Read
dqs_90 input Read (input) data strobe. Ideally delayed 90 degrees from native (board-level) dqs input
dqsb_90 input Read (input) data strobe. Ideally delayed 90 degrees from native (board-level) dqs_b input
di_trm[3:0] input Data input window placement trim for data latched on dqs and dqs_b.
bits 3:2 are strobe placement trim for data latched by dqsb_90.
bits 1:0 are strobe placement trim for data latched by dqs_90.
rclk input Read clock.
read input Read FIFO control. Synchronous to rclk.
valid output FIFO valid indicator. Synchronous to rclk.
di[1:0] output Read data (VALID DATA) is valid only while valid signal is HIGH and is synchronous to rclk
(see note 1).
94 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Notes:
1. Transitions may occur on these outputs outside the VALID DATA window.
External I/O Interface
io_do output Data output (for write) to I/O. Double data rate, synchronous to clk_0 and clk_180.
io_di input Data input (for read) from I/O. Double data rate, synchronous to dqs_90 and dqsb_90.
io_oe output Data output enable to I/O. Double data rate, synchronous to clk_0 and clk_180.
Supply
Pin Name Direction Description
MVDD input power
MVSS input ground
Table 9-4 MSD_ITMD Pin List (Continued)
July 29, 2011 Synopsys, Inc. 95
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.2.2 ITM for Strobe (MSD_ITMS)
The Synopsys ITM for Strobe (MSD_ITMS) is a timing translation component used when interfacing to
DDRn SDRAMs. MSD_ITMS translates data strobe timing from control logic into a properly formatted DDR
data steam for output to the SDRAMs. This component also receives the data strobe from the SDRAMs,
providing required gating functions and timing drift indication. This component is used with the data
strobe signals DQS and DQS_b.
Figure 9-11 ITM for Strobe (MSD_ITMS)
clk_180
clk_270
clk_0
clk_90
dqs_90
dqsb_90
dqs_en
phase_sel[1:0]
dqs_config
dqs_dis
ddr_mode
dqs_dis_polarity
dqs
dqs_drift[1:0]
dqs_trm[2:0]
rst_b
srst_b
Clock Gating & Drift Selection
oe[1:0]
oe_set_b
oe_rst_b
Double Data Rate Buffer
dout[1:0]
do_set_b
do_rst_b
Double Data Rate Buffer
io_oe
io_do
io_di_0
i
n
t
e
r
f
a
c
e
t
o
S
S
T
L
I
/
O
i
n
t
e
r
f
a
c
e
t
o
c
o
n
t
r
o
l
l
e
r
M
V
S
S
i
o
_
d
i
_
0
i
o
_
d
i
_
1
connect by abutment
connect within
ITMS pair
M
V
D
D
96 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.2.2.1 Output Operation
The description of output operation for MSD_ITMS is similar to that previously described for MSD_ITMD.
The relevant difference is that transitions of io_do and io_oe are triggered by rising edges of clk_0 and
clk_180 for MSD_ITMD, and these same transitions are triggered by rising edges of clk_90 and clk_270 for
MSD_ITMS. Figure 9-12 shows the output timing for ITMS. The figure of set/reset timing for the output
function of MSD_ITMD also applies to the output function of MSD_ITMS.
Figure 9-12 MSD_ITMS Internal-to-External Data Output Functional Timing
9.2.2.2 Input Operation and DQS Gating
DDRn systems use a bidirectional data strobe which is driven by the host during memory writes, and by the
SDRAM during memory reads. During active read commands, the ITMS basically acts as a buffer for the
incoming DQS/DQS_b. A turn-around time exists between operations when neither device is driving the
bus, and the strobe traces are held by termination circuitry at a mid-rail voltage.
While the DQS lines are held at mid-rail during inactive periods, an unknown value X is being received by
the SSTL inputs. To prevent X from causing false transitions and other negative effects within the read path,
the input read dqs strobe path is disabled when there is no active read data. The ITMS provides the
functions to enable/disable this path, while the control of these functions is provided by the memory
controller logic. A basic view of the enable/disable requirements is shown in Figure 9-13 on page 97.
tCK tCH
clk_0
clk_90
clk_180
clk_270
1
rst_b
dout[1:0] dout3,dout2 dout1,dout0
1
do_set_b
1
do_rst_b
io_do
tCL
tCKPH tCKPH tCKPH tCKPH
tSU tHD
tPROP90 tPROP270
dout0 dout1 dout2 dout3
July 29, 2011 Synopsys, Inc. 97
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
Figure 9-13 Strobe Gating Requirements During Read Operations
After a read is issued, the SDRAM drives DQS and DQS_b for a number of clock cycles equal to the read
burst length. Differing SDRAM CAS latencies, clock cycle times, board trace lengths, and other analog
factors between controller and SDRAM result in a variable latency between when the read was issued, and
when the returning DQS/DQS_b strobes reach the ITMS. The goal of DQS gating is to control a window,
which enables and disables the input read dqs path only when the DQS lines are active, not when they are at
mid-rail. There is a pre-amble and post-amble surrounding the active DQS edges that is used as the point to
perform the enabling and disabling of this window.
98 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.2.2.2.1 DQS Gating Windowing Schemes
There are two windowing schemes supported by the ITMS passive windowing and active windowing
which are selected by input dqs_config.
Passive Windowing
In the passive windowing mode (dqs_config = 1), the controller asserts dqs_en at the start of the window
and de-asserts dqs_en at the end of the window. This provides the course (clock-cycle) position of the
enable and disable edges. Fine tuning (1/4 clock cycle) of the window placement is selected by
phase_sel[1:0]. The operation of passive windowing is shown in Figure 9-14 on page 98.
Figure 9-14 DQS Gating Passive Windowing Mode
A normal read data training sequence consists of writing a set of data patterns to the memory and then
looping through read commands while testing different settings of dqs_en window position (clock cycle
increments) and different settings of phase_sel (1/4 clock cycle increments). By following this approach, the
user will encounter a fail region when the window is positioned too early, a pass region, and another fail
region when the window is positioned too late. The optimal window setting is in the middle of the pass
region.
The phase_sel[1:0] settings are provided in Table 9-5 on page 99.
Note Note Note Note
The dqs_en driven to the ITMS from the controller logic clock domain is first registered by clk_0 to
place it in a clock domain relative to the byte lane before being used within the ITMS.
July 29, 2011 Synopsys, Inc. 99
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
Because the DDR memory system is operating at high data rates, a dynamic compensation system can be
employed with the controller and the ITMS to track and adjust for the amount of timing drift accumulated
in the system due to voltage and temperature changes. After the initial read data training sequence is
completed, this system can be used to dynamically update the settings for dqs_en and phase_sel offsets to
maintain the optimal window position. This is described further in Dynamic Strobe Drift Detection on
page 100. This applies to both the passive and active window modes.
Active Windowing
The active windowing mode addresses the fact that the postamble is shorter than the preamble. As can be
seen from Figure 9-14 on page 98, the optimal window position for the preamble and postamble are not
necessarily the same. In the active windowing mode (dqs_config = 0), the controller asserts dqs_en for one
clock cycle at the start of the window and asserts dqs_dis for one clock cycle at the end of the window.
Internal to ITMS, the assertion of dqs_dis is shifted by a further 180 degrees to account for the fact that
DQS_b occurs 180 degrees later than DQS. This provides the course (clock-cycle) position of the enable and
disable edges.
Fine tuning (1/4 clock cycle) of the window placement is selected by phase_sel[1:0]. The effective window is
opened in the same manner as in the passive windowing mode, such as dqs_en assertion plus the phase_sel
offset. To close the window, the controller asserts dqs_dis to inform the ITMS to expect the last DQS_b
rising edge of the burst. The phase_sel setting is applied to this to set the effective time at which to expect
the last DQS_b rising edge. The last DQS_b rising edge of the burst is also the last data of the burst. This last
DQS_b rising edge is used to close the window. Thus, the window is self-closing. The operation of active
windowing is shown in Figure 9-15.
Table 9-5 phase_sel[1:0] Phase Selection
phase_sel[1:0] Phase Selection
Setting Selected Phase Offset
00 clk_0 + 180 degrees 1/2 clock cycle
01 clk_0 + 270 degrees 3/4 clock cycle
10 clk_0 + 360 degrees 1 clock cycle
11 clk_0 + 450 degrees 1 1/4clock cycle
Note Note Note Note
The dqs_en and dqs_dis driven to the ITMS from the controller logic clock domain are first registered
by clk_0 to place them in a clock domain relative to the byte lane before being used within the ITMS.
100 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Figure 9-15 DQS Gating Active Windowing Mode
9.2.2.3 Dynamic Strobe Drift Detection
As illustrated in Figure 9-16, DDRn systems can have a long round-trip path from the controller clock
output (CK), to the SDRAM, and back to the controller data strobe input (DQS). The sum of potential
variations in this path can exceed 25% of a clock cycle at high frequencies (>300MHz), so some
compensation should be made if the path delay increases or decreases slowly, but significantly, during
normal operation.
Figure 9-16 Clock Round-Trip Path During Read
The MSD_ITMS component has a two-bit strobe drift indicator (dqs_drift), which changes value in grey
code if the returning strobe drifts across internal 90 timing reference boundaries. The absolute value of this
indicator is not important, but the change in value over time is.
Note Note Note Note
The indicator changes value if the strobe has crossed an internal reference boundary relative to
input clk_0 and clk_90. Table 9-6 on page 101 shows the correlation between drift indication, drift
direction, and required correction.
DDR3/2 DDRn
July 29, 2011 Synopsys, Inc. 101
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.2.2.4 Delay Trimming
The 90
o
data strobe position in the data eye can be further tuned in MSD_ITMS by adjusting dqs_trm, in a
similar fashion as the di_trm function provided with MSD_ITMD. Normally the delay on each data bit is
matched to the delay of the data strobes through the slave DLL and clock tree. The dqs_trm trim input
allows this delay to be slightly increased or decreased, if required. The nominal delay setting should work
for most systems. In cases where these delays need to be adjusted, the user should perform test reads to
determine the best tuning values. Valid settings for the three-bit control field are defined in Table 9-7.
Table 9-6 Drift Indicators
dqs_drift[1:0]
DQS Drift Direction Required Changes
Old Value New Value
00
01 forward increase read data latency by 90 degrees
10 backward decrease read data latency by 90 degrees
01
11 forward increase read data latency by 90 degrees
00 backward decrease read data latency by 90 degrees
10
00 forward increase read data latency by 90 degrees
11 backward decrease read data latency by 90 degrees
11
10 forward increase read data latency by 90 degrees
01 backward decrease read data latency by 90 degrees
Table 9-7 Delay Trimming
dqs_trm[2:0] Function Suggested Default
000 nominal delay - 3 steps
001 nominal delay - 2 steps
010 nominal delay - 1 step
011 nominal delay 011
100 nominal delay + 1 step
101 nominal delay + 2 steps
110 nominal delay + 3 steps
111 nominal delay + 4 steps
102 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.2.2.5 DDR Read Path Operating Mode
The MSD_ITMS component provides circuitry to derive the DQS_b signal from the DQS signal for use
within the MSD_ITMD components when notified the system uses Mobile DDR SDRAMs.
Because the ITMS also disables the incoming read data strobe path when not active, it is desirable to have
the dqs output from the ITMS settle to a correct state when disabled.
The inputs ddr_mode, dqs_dis_polarity, io_di_0, and io_di_1 are used to permit the correct resolution of
DDR2/3-Lite/mDDR modes. Table 9-8 provides the correct connectivity for the system.
The SSTL input buffer connected to system-level DQS is connected to io_di_0 of ITMS instance for dqs
(named here itms_dqs) and to io_di_1 of ITMS instance for dqsb (named here itms_dqsb). The SSTL input
buffer connected to system-level DQS_b is connected to io_di_1 of ITMS instance for dqs and to io_di_0 of
ITMS instance for dqsb. A signal named ddr_mode is connected to the ddr_mode input for both instances of
ITMS. This ddr_mode signal is 0 for normal and 1 for Mobile DDR mode.
Figure 9-17 provides an example of ITMS io_di_0/_1 interconnectivity.
Figure 9-17 ITMS Interconnectivity
In normal mode, the DQS signal, when enabled, will pass through itms_dqs; the DQS_b signal will pass
through itms_dqsb. In Mobile DDR mode, the DQS signal, when enabled, will pass through itms_dqs. The
DQS signal will be inverted as it passes through itms_dqsb. In Mobile DDR mode, DQS_b is not used.
When disabled, itms_dqs will drive its DQS output to 0 and itms_dqsb will drive its DQS output to 1.
Table 9-8 MDS_ITMS Connectivity
Instance ddr_mode dqs_dis_polarity io_di_0 io_di_1
itms_dqs ddr_mode (signal) 0 DQS DQS_b
itms_dqsb ddr_mode (signal) 1 DQS_b DQS
July 29, 2011 Synopsys, Inc. 103
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.2.2.6 MSD_ITMS Pin List
Table 9-9 MSD_ITMS Pin List
Pin Name Direction Description
General Outputs
clk_0 input Control clock, no phase shift
clk_90 input Control clock, shifted 90from clk_0
clk_180 input Control clock, shifted 180from clk_0
clk_270 input Control clock, shifted 270from clk_0
rst_b input Asynchronous reset. Active low. Resets dqs gating logic. On reset, dqs path through ITMS
is disabled.
srst_b input Asynchronous reset. Active low. Resets dqs gating logic. On reset, dqs path through ITMS
is disabled.
dqs_90 input Feed-through strobe. Connects by abutment to other byte lane ITMs.
dqsb_90 input Feed-through strobe. Connects by abutment to other byte lane ITMs.
Controller Interface Enable
oe[1:0] input Output enable control for I/O. Synchronous to clk_0.
oe[0] is output enable generated by the first clk_90 rising edge after the clk_0 rising edge which
captured oe[0]
oe[1] is output enable generated by the first clk_270 rising edge after the clk_0 rising edge which
captured oe[1]
oe_set_b input Output enable set control for I/O. Asynchronous. Active low.
oe_rst_b input Output enable reset control for I/O. Asynchronous. Active low.
Controller Interface Write (Output Data)
dout[1:0] input Data output for I/O. Synchronous to clk_0.
dout[0] is data output generated by the first clk_90 rising edge after the clk_0 rising edge which
captured dout[0]
dout[1] is data output generated by the first clk_270 rising edge after the clk_0 rising edge which
captured dout[1]
do_set_b input Data output set control for I/O. Asynchronous. Active low.
do_rst_b input Data output reset control for I/O. Asynchronous. Active low.
Controller Interface Read (Input Data)
dqs_en input DQS strobe enable. Synchronous to clk_0. Opens the window for enabling the input read
dqs strobe path. This input is used to gate input io_di, which is a buffered version of the
bidirectional system- level DQS (or DQS_b). The system-level DQS and DQS_b are valid
input strobes only during memory reads, and the latency relative to the issue of a memory
read instruction varies with trace lengths to/from the memory and other analog factors. This
input must be used in conjunction with input phase_sel[1:0] to correctly capture the data.
The dqs_en provides coarse (cycle) positioning of the strobe gating, and phase_sel[1:0]
provides fine (90
resolution) positioning.
104 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
phase_sel[1:0] input DQS strobe phase select control. Synchronous to clk_0. This input is used to gate input
io_di, which is a buffered version of the bidirectional global DQS (or DQS_b). Global IOs
DQS and DQS_b are valid input strobes only during memory reads, and the latency relative
to the issue of a memory read instruction varies with trace lengths to/from the memory and
other analog factors. This input must be used in conjunction with inputs dqs_en and dqs_dis
to correctly capture the data. The inputs dqs_en and dqs_dis provide coarse (cycle)
positioning of the strobe gating, and phase_sel[1:0] provides fine (90deg resolution)
positioning.
dqs_config input DQS window mode select. Selects one of two methods provided by the ITMS for controlling
the window which enables and disables the input read dqs strobe path. When set to 0
dqs_en and dqs_dis are used together with phase_sel to control the window. This is known
as the active windowing mode. When set to 1 dqs_en and phase_sel are used to control the
window. This is known as the passive windowing mode.
dqs_dis input DQS strobe disable. Synchronous to clk_0. Closes the window for enabling the input read
dqs strobe path.
This input is used to gate input io_di, which is a buffered version of the bidirectional system-
level DQS (or DQS_b). The system-level DQS and DQS_b are valid input strobes only
during memory reads, and the latency relative to the issue of a memory read instruction
varies with trace lengths to/from the memory and other analog factors. This input must be
used in conjunction with input phase_sel[1:0] to correctly capture the data. The dqs_dis
provides coarse (cycle) positioning of the strobe gating, and phase_sel[1:0] provides fine
(90deg resolution) positioning.
ddr_mode input Input data (at I/O interface) select input. Asynchronous. Only one of the two inputs io_di_1
and io_di_0 is valid, depending on setting of ddr_mode and dqs_dis_polarity. This input
should be driven to logic 0 for normal mode and logic 1 for Mobile DDR mode.
dqs output Data strobe output. Normally connected to DLL to be delayed by 90 degrees before being
used by MSD_ITMD for input read data capture.
dqs_dis_polarity input DQS identifier. Signifies to the ITMS if it is for DQS or DQS_b. Also sets the polarity of ITMS
output dqs when the read dqs strobe path is not enabled. This input must be tied to logic 0
for the dqs ITMS instance, and tied to logic 1 for the dqsb ITMS instance.
dqs_drift[1:0] output DQS drift indicator. Asynchronous.
This two-bit indicator can be used to detect forward or backward drift of global dqs relative
to internal clk_0. A change in value of dqs_drift indicates a forward or backwards relative
drift.
dqs_trm[2:0] input DQS delay trimming. Permits finer alignment of DQ and DQS. See Delay Trimming on
page 101 for details.
External I/O Interface
io_do output Data output (for write) to I/O. Double data rate, synchronous to clk_90 and clk_270.
io_di_1
io_di_0
input Data input (for read) from I/O. Only one of these two input is valid, depending on setting of
ddr_mode and dqs_dis_polarity.
io_oe output Data output enable to I/O. Double data rate, synchronous to clk_90 and clk_270.
Supply
MVDD input Power
MVSS input Ground
Table 9-9 MSD_ITMS Pin List (Continued)
July 29, 2011 Synopsys, Inc. 105
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.2.3 ITM Byte Lane Clock Buffer (MSD_ITMBB)
The Synopsys ITM byte lane clock buffer is used in a byte lane PHY for distribution of clocks and data
strobes to ITMs.
One MSD_ITMBB block is used per byte lane. Inputs are designed to allow connectivity by abutment to the
byte lane Master-Slave DLL (MSD_MSDLL_DDR). Outputs connect by abutment to other byte lane ITMs.
Figure 9-18 Byte Lane Clock Buffer (MSD_ITMBB)
AC specifications for MSD_ITMBB are outlined in DC and AC Characteristics on page 120. Placement
limitations within a byte lane are outlined in Placement Specifications on page 126.
106 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.2.3.1 MSD_ITMBB Pin List
Table 9-10 MSD_ITMBB Pin List
Pin Name Direction Description
Input Clocks Connected to byte Lane Master/Slave DLL
in_clk_0 input Input clock.
in_clk_90 input Input clock, phase shifted 90from in_clk_0.
in_clk_180 input Input clock, phase shifted 180from in_clk_0.
in_clk_270 input Input clock, phase shifted 270from in_clk_0.
in_dqs_90 input Input data strobe, shifted 90relative to ITMD incoming DQ data (associated with DQS).
in_dqsb_90 input Input data strobe, shifted 90relative to ITMD incoming DQ data (associated with DQS_b).
Output Clocks Connected by Abutment to Other Byte Lane ITMs
clk_0 output Output clock. Buffered version of in_clk_0.
clk_90 output Output clock. Buffered version of in_clk_90.
clk_180 output Output clock. Buffered version of in_clk_180.
clk_270 output Output clock. Buffered version of in_clk_270.
dqs_90 output Output data strobe. Buffered version of in_dqs_90.
dqsb_90 output Output data strobe. Buffered version of in_dqsb_90.
Supply
MVDD input Power
MVSS input Ground
July 29, 2011 Synopsys, Inc. 107
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.2.4 ITM Byte Lane Fill Cells
The Synopsys ITM byte lane fill cells (MSD_ITMBFILLxx) connect clocks and data strobes by abutment
between other byte lane ITMs. These blocks have no functional behavior. The MSD_ITMBFILLxx cells are
provided in different widths to allow PHY construction with different I/O pitches.
Figure 9-19 ITM Byte Lane Fill Cells (MSD_ITMBFILLxx)
Table 9-11 ITM Byte Lane Fill Cell (MSD_ITMBFILLxx) Pin List
Pin Name Direction Description
clk_0 input
Feed-through Clocks
Connected by abutment to other Command Lane ITMs
clk_90 input
clk_180 input
clk_270 input
dqs_90 input
dqsb_90 input
MVDD input
MVSS input
Note Note Note Note
This Fill Cell does not have any active device, connectivity by abutment only.
The pin direction is chosen input for verilog compiling tools convenience, but the functionality is
feed-through
108 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
The ITM byte lane fill clock break cell (MSD_ITMBFILL_BREAK) provides a break in the global byte lane
clock and data strobe lines. This cell is used to separate clocks and data strobes between adjacent byte lanes.
This block has no functional behavior or pins.
The ITM byte lane endcap cell (MSD_ITMBENDCAP) provides a means to ensure no design rule violations
at the ends of the byte lanes, when there is no abutting byte lane ITM cell. This block has no functional
behavior or pins.
Figure 9-20 ITM Byte Lane Fill Cell (MSD_ITMBFILLxx_BREAK)
Note Note Note Note
This fill cell does not have any active device, connectivity by abutment only.
The pins direction is chosen input for verilog compiling tools convenience, but the functionality is
feed-through for power only, clocks are not-connected.
Table 9-12 ITM Byte Lane Fill Cell (MSD_ITMBFILL35_BREAK) Pin List
Pin Name Direction Description
MVDD input Feed through Clocks
Connected by abutment to other Byte Lane ITMs
MVSS input
July 29, 2011 Synopsys, Inc. 109
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
The ITM byte lane endcap cell (MSD_ITMBENDCAP) provides a means to ensure no design rule violations
at the ends of the byte lanes, when there is no abutting byte lane ITM cell. This block has no functional
behavior or pins.
Figure 9-21 ITM Byte Lane File Cell (MSD_ITMBENDCAP)
Note Note Note Note
This Fill Cell is an isolation cell and does not have any pins.
110 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.3 ITMs for Command Lane
This section describes the ITM components of the command lane:
ITM for Command (MSD_ITMC_D2)
Command Lane Clock Buffer, Stage 0 (MSD_ITMCB0) on page 115
Command Lane Clock Buffer, Stage 1 (MSD_ITMCB1) on page 117
ITM Command Lane Fill Cells on page 118
9.3.1 ITM for Command (MSD_ITMC_D2)
The ITM for command and clock (MSD_ITMC_D2) is a timing translation component used when interfacing
to DDRn SDRAMs. MSD_ITMC_D2 translates address, command, and clock timing from control logic into a
properly formatted data steam for output to the SDRAMs. This component is used with the remaining
SDRAM signals not covered by MSD_ITMD or MSD_ITMS.
Figure 9-22 MSD_ITMC_D2 Timing Translation
9.3.1.1 Output Operation
The MSD_ITMC_D2 component is used to generate internal-to-external (write data and command) timing
translation for either single data rate or double data rate command timing.
9.3.1.1.1 LPDDR2 Operation
The address and command signals operate in double data rate in LPDDR2 mode. The Timing Mode Select
Line signal (tmsel) controls whether the address and command signals operate at single data rate or double
data rate.
buffer
Double Data Rate Buffer
buffer
oe
dout[1:0]
di
clk_0
clk_90
clk_180
clk_270
pre_clk_0
pre_clk_90
pre_clk_180
pre_clk_270
M
V
D
D
M
V
S
S
io_oe
io_do
io_di
I
n
t
e
r
f
a
c
e
t
o
C
o
n
t
r
o
l
l
e
r
I
n
t
e
r
f
a
c
e
t
o
S
S
T
L
I
/
O
connect to abutment
do_set_b
do_rst_b
tmsel
July 29, 2011 Synopsys, Inc. 111
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
Example connections using ITMC_D2 to create CK, CK_b, and single or double data rate command/address
outputs, depending on the tmsel signal settings (see MSD_ITMC_D2 Pin List on page 114), are shown in
Figure 9-23 on page 111.
Figure 9-23 ITMC_D2 Connectivity
The timing relationships of ITMC_D2 differ slightly from ITMD/ITMS to properly align the output
transitions. In all ITM cells, dout[1:0] is first captured by a rising edge on clk_0. In ITMC_D2, dout[1] is the
first data to be output on the first rising edge of clk_270 following the rising edge of clk_0, which captured
this data. In ITMC_D2, dout[0] is the second data to be output on the second rising edge of clk_90 following
the rising edge of clk_0, which captured this data.
For single data rate address/command (for example DDR2 or DDR3 applications), dout[0] and dout[1] are
driven by the same value to create single data rates (refer to Figure 9-23 on page 111). In single data rate
address/command signals, the tmsel signal is set to 0.
For double data rate address/command (for example, LPDDR2 applications), dout[0] and dout[1] have the
values of beat 0 and beat 1 of the double data rate output. In double data rate, the tmsel signal is set to 1.
CK and CK_b are always double data rate outputs, regardless of whether it is a single or double data rate
address/command application.
dout[1]
dout[0]
ITMC_D2
ctrl_a_0[0]
dout[1]
dout[0]
CK
dout[1]
dout[0]
dout[1]
dout[0]
RAS_b
ctrl_rasb[0]
1'b0 1'b1
CK_b
ctrl_a_0[1]
tmsel = 1
A[0] a_0
ck
ck_b
ras_b
tmsel = 0
ITMC_D2
ITMC_D2
ITMC_D2
ctrl_rasb[1]
tmsel = 0
tmsel = 1
112 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Functionality and timing for internal-to-external operation for creating CK and CK_b is shown in
Figure 9-24 on page 112.
Figure 9-24 ITMC_D2 Internal-to-External Data Output Functional Timing
Functionality and timing for internal-to-external operation for creating double data rate address/command,
for example in an LPDDR2 application is shown in Figure 9-25 on page 112
Figure 9-25 ITMC_D2 Internal-to-External Data Output Functional Timing, Double Data Rate (tmsel = 1)
tCK tCH
clk_0
clk_90
clk_180
clk_270
tCL
tCKPH tCKPH tCKPH tCKPH
dout3,dout2 dout1,dout0
1
1
dout[1:0]
do_set_b
do_rst_b
io_do
tSU tHD
tPROP270 tPROP90
dout1 dout0 dout3 dout2
tCK tCH
clk_0
clk_90
clk_180
clk_270
tCL
tCKPH tCKPH tCKPH tCKPH
dout3,dout2 dout1,dout0
1
1
dout[1:0]
do_set_b
do_rst_b
io_do
tSU tHD
tPROP270 tPROP90
dout1 dout0 dout3 dout2
July 29, 2011 Synopsys, Inc. 113
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
Functionality and timing for internal-to-external operation for creating single data rate address/command,
for example in an DDR2 and DDR3 applications is shown in Figure 9-26 on page 113
Figure 9-26 MSD_ITMC_D2 Internal-to-External Data Output Functional Timing, Single Data Rate (tmsel = 0)
Functionality and timing for internal-to-external operation for output set/reset is shown in Figure 9-27.
Figure 9-27 MSD_ITMC_D2 Internal-to-External Data Output Set/Reset Timing
9.3.1.2 External-to-Internal Timing Translation
Unlike bidirectional data and data strobe I/Os, DDR2 command I/Os are output only. Therefore, no high
performance external-to-internal timing translation is required. The ITMC_D2 component buffers io_di
(from the data I/O) through to internal interface port di. This circuitry can be used if low-speed,
bidirectional functional testing of the command I/Os is required.
clk_0
clk_90
clk_180
clk_270
dout1,dout1 dout0,dout0
1
1
dout[1:0]
do_set_b
do_rst_b
io_do
tSU tHD
tPROP270
dout0 dout1
clk_0
clk_90
clk_180
clk_270
io_do
do_set_b
do_rst_b
X
dout[1:0]
tSRPW
tSRPW
tPROPS
tPROPR
114 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.3.1.3 MSD_ITMC_D2 Pin List
Table 9-13 shows the pin list for the MSD_ITMC_D2 component.
Table 9-13 MSD_ITMC_D2 Pin List
Pin Name Direction Description
General Inputs
clk_0 input Control clock, no phase shift
clk_90 input Control clock, shifted 90from clk_0
clk_180 input Control clock, shifted 180from clk_0
clk_270 input Control clock, shifted 270from clk_0
pre_clk_0 input Feed-through clock. Connects by abutment.
pre_clk_90 input Feed-through clock. Connects by abutment.
pre_clk_180 input Feed-through clock. Connects by abutment.
pre_clk_270 input Feed-through clock. Connects by abutment.
tmsel input Timing Mode Select Line
0=Single Data Rate, 1=Double Data Rate
Internal Controller Interface Enable
oe input Output enable control for I/O. Asynchronous.
Data Out
dout[1:0] input Data output for I/O. Synchronous to clk_0.
dout[0] is data output generated by the second clk_90 rising edge after the clk_0 rising edge which
captured dout[0]
dout[1] is data output generated by the first clk_270 rising edge after the clk_0 rising edge which
captured dout[1]
do_set_b input Data output set control for I/O. Asynchronous. Active low.
do_rst_b input Data output reset control for I/O. Asynchronous. Active low.
Data In
di output Data input from I/O. Asynchronous. Buffered version of input io_di.
External I/O Interface
io_do output Data output (for write) to I/O. Double data rate, synchronous to clk_90 and clk_270.
io_di input Data input from I/O. Asynchronous.
io_oe output Data output enable to I/O. Asynchronous
Supply
MVDD input Power
MVSS input Ground
July 29, 2011 Synopsys, Inc. 115
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.3.2 Command Lane Clock Buffer, Stage 0 (MSD_ITMCB0)
The Synopsys ITM command lane clock buffer, Stage 0 (MSD_ITMCB0) is used in a command lane PHY for
distribution of clocks to the command lane clock buffer, Stage 1 (MSD_ITMCB1).
One MSD_ITMCB0 block is used per command lane. Inputs are designed to allow connectivity by abutment
to the command lane Master DLL (MSD_MDLL_DDR). Outputs connect by abutment to other command
lane ITMs.
AC specifications for MSD_ITMCB0 are outlined in DC and AC Characteristics on page 120. Placement
limitations within a command lane are outlined in Placement Specifications on page 126.
Figure 9-28 Command Lane Clock Buffer, Stage 0 (MSD_ITMCB0)
116 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Table 9-14 MSD_ITMCB0 Pin List
Pin Name Direction Description
Input Clocks Connected to Byte Lane Master/Slave DLL
in_clk_0 input Input clock.
in_clk_90 input Input clock, phase shifted 90from in_clk_0.
in_clk_180 input Input clock, phase shifted 180from in_clk_0
in_clk_270 input Input clock, phase shifted 270from in_clk_0
Feed-Through Clocks Connected by Abutment to other Command Lane ITMs
clk_0 input Feed-through clock.
clk_90 input Feed-through clock.
clk_180 input Feed-through clock.
clk_270 input Feed-through clock.
Output Clocks Connected by Abutment to other Command Lane ITMs
pre_clk_0 output Output clock. Buffered version of in_clk_0.
pre_clk_90 output Output clock. Buffered version of in_clk_90.
pre_clk_180 output Output clock. Buffered version of in_clk_180.
pre_clk_270 output Output clock. Buffered version of in_clk_270.
Supply
MVDD input Power
MVSS input Ground
July 29, 2011 Synopsys, Inc. 117
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.3.3 Command Lane Clock Buffer, Stage 1 (MSD_ITMCB1)
The Synopsys ITM command lane clock buffer stage 1 (MSD_ITMCB1) is used in a command lane PHY for
distribution of clocks to command lane ITMs. Two MSD_ITMCB1 blocks are used per command lane, and
clock inputs and outputs connect by abutment to other command lane ITMs.
AC specifications for MSD_ITMCB1 are outlined in DC and AC Characteristics on page 120. Placement
limitations within a command lane are outlined in Placement Specifications on page 126.
Figure 9-29 Command Lane Clock Buffer, Stage 1 (MSD_ITMCB1)
Table 9-15 MSD_ITMCB1 Pin List
Pin Name Direction Description
Input Clocks Connected by Abutment to Other Command Lane ITMs
pre_clk_0 input Input pre-drive clock.
pre_clk_90 input Input pre-drive clock, phase shifted 90from pre_clk_0.
pre_clk_180 input Input pre-drive clock, phase shifted 180from pre_clk_0
pre_clk_270 input Input pre-drive clock, phase shifted 270from pre_clk_0
Output Clocks Connected by Abutment to Other Command Lane ITMs
clk_0 output Output clock. Buffered version of pre_clk_0.
clk_90 output Output clock. Buffered version of pre_clk_90.
clk_180 output Output clock. Buffered version of pre_clk_180.
clk_270 output Output clock. Buffered version of pre_clk_270.
Supply
MVDD input Power
MVSS input Ground
118 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.3.4 ITM Command Lane Fill Cells
The Interface Timing Module Command Lane Fill Cells connect clocks by abutment between other
Command Lane ITMs.
The MSD_ITMCFILLxx cells have no functional behavior. The MSD_ITMCFILLxx cells are provided in
different widths to allow PHY construction with different I/O pitches.
Figure 9-30 ITM Command Lane Fill Cells (MSD_ITMCFILLxx)
The MSD_ITMCFILLxx_BREAK cell creates a break in the predrive clock tracks, and is placed in the first
blank ITM spot outside the MSD_ITMCB1 block within a Command Lane PHY.
This cell drives the disconnected portions of the predrive clock tracks to logic 0.
Table 9-16 ITM Command Lane Fill Cell (MSD_ITMCFILLxx) Pin List
Pin Name Direction Description
clk_0 input
Feed-through Clocks
Connected by abutment to other Command Lane ITMs
clk_90 input
clk_180 input
clk_270 input
pre_clk_0 input
pre_clk_90 input
pre_clk_180 input
pre_clk_270 input
MVSS input Supply: Power
MVDD input Supply: Ground
July 29, 2011 Synopsys, Inc. 119
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
Figure 9-31 ITM Command Lane Fill Cells (MSD_ITMCFILLxx_BREAK)
The ITM command lane Endcap Cell (MSD_ITMCENDCAP) provides a means to ensure no design rule
violations at the ends of the command lane. This block has no functional behavior or pins.
Figure 9-32 ITM Command Lande Endcap Cell (MSD_ITMCENDCAP)
Table 9-17 ITM Command Lane Fill Cell (MSD_ITMCFILLxx_BREAK) Pin List
Pin Name Direction Description
clk_0 input
Feed through Clocks
Connected by abutment to other Command
Lane ITMs
clk_90 input
clk_180 input
clk_270 input
pre_clk_0 output
Output Predrive Clocks
Driven to static logic 0
pre_clk_90 output
pre_clk_180 output
pre_clk_270 output
MVDD input Supply: Power
MVSS input Supply: Ground
120 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.4 DC and AC Characteristics
9.4.1 Recommended Operating Conditions
This table provides the supply values for DC design criteria only. These values represent the DC supply
limits at the devices internal to the design, including the effects of internal IR drop.
9.4.2 DC Specifications
The following table provides maximum DC current and power when all inputs are quiet/static: typical
process, nom VDD, and temperature 25C; fast process, max VDD [VDD+10%], and temperature 125C. These
parameters are simulated. In the event of test silicon, these parameters may not be measured.
Table 9-18 Recommended Operating Conditions
Symbol Parameter Min Nom Max Units
V
DD Supply voltage
1
1. The power supply values specified in the table are DC design criteria only. They represent the DC supply limits at
the devices internal to the design, including the effects of internal IR drop.
0.99 1.10 1.21 V
T
J
Junction temperature -40 25 125
C
Table 9-19 ITM Leakage Current
Symbol Parameter Min Nom Max Units
I
LEAK
Leakage Power msd_itmd 0.33 39.3008 uW
I
LEAK
Leakage Power msd_itms 0.275 35.4167 uW
I
LEAK
Leakage Power msd_itmc_D2_tmsel=0 0.077 10.527 uW
I
LEAK
Leakage Power msd_itmc D2_tmsel=1 0.077 10.648 uW
I
LEAK
Leakage Power msd_itmbb 0.187 22.0583 uW
I
LEAK
Leakage Power msd_itmcb0 0.242 29.4151 uW
I
LEAK
Leakage Power msd_itmcb1 0.242 29.403 uW
July 29, 2011 Synopsys, Inc. 121
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.4.3 AC Specifications
9.4.3.1 Clock and Reset Timing Restrictions
This table provides clock timing restrictions applicable to clk_0, clk_90, clk_180, and clk_270 inputs on
MSD_ITMD, MSD_ITMS, MSD_ITMC_D2, as well to rclk input on MSD_ITMD. The minimum values
represents external to the chip requirements that have to be met to achieve the specified performance. Some
parameters are simulated. In the event of test silicon these parameters may not be measured.
Table 9-20 Clock and Reset Timing Restrictions
Symbol Parameter Min Max Units
Clocks
t
CK
Clock cycle 1.875 ns
t
CH
Clock high pulse width
625 ps
t
CL
Clock low pulse width
t
CKPH
Delay between adjacent clock phase rising edges
clk_0 to clk_90
clk_90 to clk_180
clk_180 to clk_270
clk_270 to clk_0
300 ps
Set/Reset t
SRPW
Set / reset pulse width
Applies to all rst_b, srst_b, do_rst_b, do_set_b,
oe_rst_b, oe_set_b inputs of all modules.
4
clock
cycles
122 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.4.3.2 Setup and Hold Times
The following table provides brief performance characteristics of the ITMs based on 533 MHz slow
conditions, input transition of 40ps (30%-70%), and 25fF load. Note that setup and hold values can be
adjusted from the default value listed by changing MSD_ITMD input trim bits di_trm[1:0] for data latched
by dqs and di_trm[3:2] for data latched by dqsb:
ITMD (dqs_90/dqsb_90, msd_itmbb) is simulated using 240fF capacitive load (approximation of
complete byte lane).
ITMC_D2 (msd_itmcb0, msd_itmsb1) is simulated using 380 fF capacitive load (approximation of
complete command lane).
The parameters are simulated. In the event of test silicon, these parameters may not be measured.
Table 9-21 Setup and Hold Times
Symbol Cell Data Related Clock Setup (ns) Hold (ns)
t
SU
/t
HD
ITMS
dqs_dis clk_0 -0.16 0.29
dqs_en clk_0
phase_sel clk_0 -0.05 0.18
oe clk_0 0.04 0.1
dout clk_0 -0.01 0.1
ITMD
read rclk 0.12 -0.04
io_di dqs_90 0.8 -0.71
io_di dqsb_90
oe clk_0 0.05 0.09
dout clk_0
ITMD2 dout clk_0 0.01 0.13
July 29, 2011 Synopsys, Inc. 123
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.4.3.3 Propagation Delays
The following table provides a summary of timing information of the ITMs for the Byte Lane. Note that
delay values to the dqs pin can be adjusted from the default value listed by changing MSD_ITMD input trim
bits dqs_trm[2:0]. The parameters are obtained by simulation using slow conditions (process=slow,
V=VDD(nom)-10%, T=125C), 40ps(30%-70%) input transition, and 25 fF load.. In the event of test silicon,
these parameters may not be measured.
Table 9-22 Propagation Delays
Cell Symbol From Pin To Pin Delay Max (ps)
MSD_ITMS
io_di_1 dqs
726.40
io_di_0 dqs
clk_90 io_do
766.80
clk_270 io_do
MSD_ITMD
tPROP0 clk_0 io_do
791.0
tPROP180 clk_180 io_do
clk_0 io_oe
clk_180 io_oe
tPROPV rclk valid 276.10
tPROPD rclk di 587.40
MSD_ITMC_D2_tmsel=0
io_di di
114.40
oe io_oe
t
PROP90
clk_90 io_do
766.40
t
PROP270
clk_270 io_do
MSD_ITMC_D2_tmsel=1
io_di di
114.40
oe io_oe
t
PROP90
clk_90 io_do
787.60
t
PROP270
clk_270 io_do
MSD_ITMBB
in_clk_0 clk_0
91.41
in_clk_90 clk_90
in_clk_180 clk_180
in_clk_270 clk_270
in_dqs_90 dqs_90
in_dqsb_90 dqsb_90
124 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.4.3.4 ITM Trim Control
The following table provides delay specifications for data and strobe trim step size. The parameters are
obtained by simulation; in the event of test silicon, these parameters may not be measured.
MSD_ITMCB0
in_clk_0 pre_clk_0
87.21
in_clk_90 pre_clk_90
in_clk_180 pre_clk_180
in_clk_270 pre_clk_270
MSD_ITMCB1
pre_clk_0 clk_0
87.40
pre_clk_90 clk_90
pre_clk_180 clk_180
pre_clk_270 clk_270
Table 9-23 ITM Trim Control
Description Control Signal Cell Min Typ Units
Delay Step Size dqs_trm ITMS 15.51 23.89 ps
Table 9-22 Propagation Delays (Continued)
Cell Symbol From Pin To Pin Delay Max (ps)
July 29, 2011 Synopsys, Inc. 125
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
9.4.3.5 AC Power Dissipation
The following tables provide the power dissipation for ITM cells. The parameters are obtained by
simulation: typical process, nom VDD, and temperature 25C; VDD+10%, Fast process, 125C, f=533 MHz. In
the event of test silicon, these parameters may not be measured.
Table 9-24 AC Power Specifications
Symbol Parameter Min Nom Max Units
PAC_ITMD_ACT0 Total active power (msd_itmd), rdqs not
toggling,output not toggling, input not
toggling
0.68 0.96 uW/MHz
PAC_ITMD_ACT1 Total active power (msd_itmd), rdqs not
toggling,output toggling, input toggling
1.03 1.42 uW/MHz
PAC_ITMD_ACT2 Total active power (msd_itmd), rdqs
toggling,output not toggling, input not
toggling
1.1 1.51 uW/MHz
PAC_ITMD_ACT3 Total active power (msd_itmd), rdqs
toggling,output not toggling, input toggling
1.4 1.91 uW/MHz
PAC_ITMD_ACT4 Total active power (msd_itmd), rdqs
toggling,output toggling, input toggling
1.57 2.13 uW/MHz
PAC_ITMS_ACT0 Total active power (msd_itms), DQS output
toggling
1.2 1.7 uW/MHz
PAC_ITMS_ACT1 Total active power (msd_itms), DQS input
toggling
1.27 1.82 uW/MHz
PAC_ITMS_ACT2 Total active power (msd_itms), DQS output
and input toggling
1.43 2 uW/MHz
PAC_ITMS_ACT3 Total active power (msd_itms), DQS not
toggling
1.05 1.51 uW/MHz
PAC_ITMCD2_S0_MAX Total active power (msd_itmc_D2), data
toggling 100% tmsel=0
0.45 0.62 uW/MHz
PAC_ITMCD2_S0_MIN Total active power (msd_itmc_D2), data not
toggling tmsel=0
0.29 0.4 uW/MHz
PAC_ITMCD2_S1_MAX Total active power (msd_itmc_D2), data
toggling 100% tmsel=1
0.45 0.62 uW/MHz
PAC_ITMCD2_S1_MIN Total active power (msd_itmc_D2), data not
toggling tmsel=1
0.29 0.4 uW/MHz
PAC_ITMBB Total active power (msd_itmbb) 2.53 3.28 uW/MHz
PAC_ITMB0 Total active power (msd_itmcb0) 2.85 3.78 uW/MHz
PAC_ITMB1 Total active power (msd_itmcb1) 2.16 2.97 uW/MHz
126 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
9.5 Placement Specifications
Table 9-25 shows the placement specifications of the ITM.
Table 9-25 Placement Specifications
Variable Cell Minimum Maximum Units
B Byte lane length 2000 um
B0 Distance from byte lane edge to MSD_ITMBB center 0.47 0.53 % byte lane length
B1 Fill distance between byte lane ITMs 1 um
C Command lane length 4000 um
C0 Distance from command lane edge to MSD_ITMCB0
center
0.48 0.52 % Command lane length
C1 Distance from MSD_ITMCB0 center to MSD_ITMCB1
center
0.20 0.30 % Command lane length
C2 Fill distance between command lane ITMs 1 um
July 29, 2011 Synopsys, Inc. 127
DDR multiPHY for SMIC40LL25 Databook ITM Library
SolvNet
DesignWare.com
Figure 9-33 Byte and Command Lane PHY Placement Specifications
128 Synopsys, Inc. July 29, 2011
ITM Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
July 29, 2011 Synopsys, Inc. 129
DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10
SSTL I/O Library
This chapter discusses the following topics concerning the Synopsys DDRn series SSTL (Stub Series
Terminated Logic):
SSTL I/O Library Overview on page 130
Bi-Directional Buffer (MSD_D3R_PDDRIO) on page 136
Differential Bi-Directional Buffer (MSD_D3R_PDIFF) on page 140
ZQ Calibration Cell (MSD_D3R_PZQ) on page 144
Impedance Calibration Circuit on page 149
Impedance Control Logic (MSD_D3R_zctrl) on page 156
Reference Voltage Cell (MSD_D3R_PVREF) on page 160
Retention Latch Enable Input - External (MSD_D3R_PRETLEX) on page 169
Retention Latch Enable Input - Core (MSD_D3R_PRETLEC) on page 176
Analog Signal Cell (MSD_D3R_PAIO) on page 178
Power/Ground Supply Cells on page 179
Corner and Filler Cells on page 181
Wire Bond Pad Cells with Decoupling on page 183
SnapCap Cells on page 185
SSTL I/O DC and AC Characteristics on page 190
Power-Up/Power-Down Sequence Requirements on page 219
July 29, 2011 Synopsys, Inc. 130
DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.1 SSTL I/O Library Overview
The DDR2/3-Lite/mDDRs SSTL I/O library elements support the following JEDEC specifications:
DDR2
DDR3
DDR3L
LPDDR (referred to as Mobile DDR)
LPDDR2
This library supports operational data rates up to 1600 Mb/s per I/O, permitting an 800 MHz memory
system operating in double-data rate (DDR) mode. It also supports PVT compensated on-die termination
(ODT) and output impedance. For an illustration of the SSTL I/O in the DWC DDR3/2 SDRAM PHY
solution, refer to Figure 1-1 on page 14.
10.1.1 Key Features
The DDR2/3-Lite/mDDRs SSTL I/O includes the following features:
DDR2/DDR3LPDDR2/Mobile DDR operating modes
Programmable input termination (ODT)
DDR3: 40/60/120 ohms
DDR2: 50/75/150 ohms
Programmable output impedance
PVT-compensated ODT and output impedance
Driver and receiver power-down control
Embedded boundary scan support logic
PAD and internal loopback modes
Supports in-line and staggered wirebond, and flip-chip applications
Library complete with functional, power, analog, fill, and corner cells
Retention feature maintains I/O cell state during VDD power down
10.1.2 Process Information
The following table shows the process information for the SSTL I/Os.
Notes:
Please contact your sales representative for availability in alternate process nodes/variants.
Table 10-1 Process Information
Foundry Process Variant Core Voltage I/O Oxide Dielectric Metal Layers
SMIC 40nm LL 0.99V 2.5V low-K 6/7/8/9
July 29, 2011 Synopsys, Inc. 131
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.1.3 I/O Metal Stacks
The following table lists the I/O library metal stack otions provided with this DWC DDR PHY release.
Please contact your sales representative if your metal stack option is not listed.
Table 10-2 Metal Stack Options
DWC DDR
PHY Metal Stack
1
1. Metal stack naming convention:
a) The foundry's metal stack naming convention is used as the basis for the Synopsys naming convention
b) The AP layer is not included in the total metal count used for the metal stack name
c) 0 values are suppressed
d) The metal stack name reflects the actual layers delivered in the SSTL library GDS and not necessarily the metal
stack of the IC in which it can be used
e) If there is no suffix on the metal stack name then it contains wire bond pads for use in fully stacking wire bond ICs
only. The IO cells can be used in either fully stacking wire bond ICs or FC (Flip Chip) ICs while the bond pads can
only be used in fully stacking wire bond ICs.
f) If there is a _cup suffix on the metal stack name then it contains wire bond pads for use in CUP wire bond ICs
only. The IO cells can be used in either CUP wire bond ICs or FC ICs while the wire bond pads can only be used
in CUP wire bond ICs. Note that the total number of metal layers included in a _cup metal stack name (Xm)
refers to the combination of the IO cells and the wire bond pads. So the IO cells contain X-2 metal layers and the
wire bond pad contains metal layers X and X-1.
g) If there is a _fc suffix then the metal stack is not included in the foundry's list of supported metal stacks.
Additional metal layers must be added at the chip level to make it match one of the foundry's supported metal
stacks. Such metal stacks can only be used for FC ICs and, therefore, do not include any wire bond pads. Note
that the FC bump pads are NOT included in these or any other metal stacks.
Top Metal
in I/O
Cells
Pad Metal in
Bond Pads
2
2. This column indicates which PAD pin metal layers are present in the bond pad under the passivation opening. All bond
pads supplied with this IP, whatever the suffix, are compliant with the CUP pad DRC rules, not the fully stacking pad
DRC rules. The bond pads supplied for fully stacking bond pad ICs do not comply with the fully stacking bond pad DRC
rules as m1 and m2 are not connected to the PAD pin and there are active circuits under the bond pad. m1, m2 and the
active circuitry are associated with the VDDQ/VSSQ decoupling included in these bond pads. However, while all bond
pads are technically CUP bond pads, there are two distinct bond pad types in the DWC DDRn SSTL libraries - those
designed for fully stacking bond pad ICs (named PPADCWxxx) and those for CUP bond pad ICs (named PPADCxxx).
See the next two notes for the details. Note that more and thicker metals in the fully stacking wire bond pads and the IO
cells will reduce their IR drop and increase their EM limit.
Fully
Stacking
Wire Bond
IC
3
3. This column indicates if the metal stack can be used in a fully stacking wire bond IC - the fully stacking wire bond pad
includes all metal layers plus the AP layer. It is placed as a linear extension of the IO cell and abutted to it. Its advantage
is that it does not require any additional metal layers but it does increase the effective height of the IO cell. It has the
additional advantage of including a significant amount of VDDQ/VSSQ decoupling.
CUP Wire
Bond IC
4
4. This column indicates if the metal stack can be used in a CUP wire bond IC - the wire bond pad includes only the top two
metal layers plus the AP layer. It is placed on top of the IO cell with its origin aligned with the IO cell's origin. Its
advantage is that it does not increase the effective height of the IO cell but it does use two additional metal layers. It does
NOT include any VDDQ/VSSQ decoupling - if additional VDDQ/VSSQ decoupling is required the PSCAP cells can be
used.
Flip Chip IC
5
Included
Bond Pads
SMIC40LL25 9m2t 9 3,4,5,6,7,8,9 Yes No Yes PPADCWxxx
132 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.1.4 Deliverables
Table 10-3 provides the deliverables include all views required to support a typical ASIC design flow.
5. This column indicates if the metal stack can be used in a Flip Chip (FC) IC. Bond pads are not allowed in a FC IC -
connection from the PAD pin of the IO cell to the package is made by using higher metal layers and/or a redistribution
(RDL) layer to route from the PAD pin of the IO to a FC bump pad. This is similar to CUP in that it avoids an increase in
the effective height of the IO cell but requires additional metal and/or RDL layers. FC can have the advantage over wire
bond (fully stacked and CUP) of higher interconnect density and better signal integrity if properly implemented. If
additional VDDQ/VSSQ decoupling is required the PSCAP cells can be used.
Table 10-3 Deliverables
Deliverable Description
Behavioral Verilog
Timing Synopsys lib
Layout Abstract LEF
Detailed Layout GDSII
LVS Netlist
1
1. The cells in the SSTL I/O library are designed to be used to create an I/O ring for the PHY. Running DRC/LVS
on individual cells will report violations.
Spice
System Design Model IBIS
Encrypted HSPICE Netlist HSPICE simulation netlist
RTL (ZQ Impedance Control Logic) Verilog
IBIS Models IBIS models of the PDDRIO, and PDIFF cells
Documentation *.pdf files, namely this databook and the Implementation Guide
July 29, 2011 Synopsys, Inc. 133
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.1.5 Cell List
The following table provides the cell list for the SSTL I/Os.
Table 10-4 SSTL I/O Cell List
Cell Name Description Width Height
MSD_D3R_PDDRIO Bi-directional SSTLcell for address, control, clock,
data, and data strobes
30um 260um
MSD_D3R_PDIFF Differential bi-directional cell, used with external
source clocks
30um 260um
MSD_D3R_PDQSR PU/PD Resistor cell used with PDIFF cells 30um 260um
MSD_D3R_PDQSR_VSSQ PU/PD resistor cell used PDIFF cells. Secondary
function: VSSQ I/O ground cell (0V)
30um 260um
MSD_D3R_PZQ ZQ calibration cell (external precision resistor to
ground)
30um 260um
MSD_D3R_PAIO Analog signal cell 30um 260um
MSD_D3R_PRETLEC Retention Latch Enable cell with core side control 30um 260um
MSD_D3R_PRETLEX Retention Latch Enable cell with external control 30um 260um
MSD_D3R_PVAA Analog power cell 30um 260um
MSD_D3R_PVAA_PLL PLL supply cell 30um 260um
MSD_D3R_PVSS_PLL PLL ground cell 30um 260um
MSD_D3R_PVDD VDD core supply cell 30um 260um
MSD_D3R_PVSS VSS core ground cell 30um 260um
MSD_D3R_PVREF VREF SSTL reference supply cell (nominally 0.5 *
VDDQ)
30um 260um
MSD_D3R_PVDDQ VDDQ I/O supply cell (1.5V or 1.8V) 30um 260um
MSD_D3R_PVSSQ VSSQ I/O ground cell (0V) 30um 260um
MSD_D3R_PVSSQ_RDIS VSSQ I/O ground cell (0V) with Retention Disable 30um 260um
MSD_D3R_PVSSQZB VSSQ I/O ground cell (0V) with ZIOH impedance
control bus break
30um 260um
MSD_D3R_PEND End cap cell to define end of DDR interface
segment without continuing any power / signal
connectivity
5um 260um
MSD_D3R_PEND_P End cap cell to define end of DDR interface
segment without
continuing any power / signal connectivity, except
MVSS and
LENH
5um 260um
MSD_D3R_PFILL_1 0.1 um spacer cell
0.1um
1
260um
134 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
MSD_D3R_PFILL_5 0.5 um spacer cell
0.5um
1
260um
MSD_D3R_PFILL1 1 um spacer cell 1um 260um
MSD_D3R_PFILL5 5 um spacer cell 5um 260um
MSD_D3R_PFILL5_ISO 5.0 um spacer cell with VDDQ break 5um 260um
MSD_D3R_PFILL_1_RES 0.1 um spacer cell used with PDQSR cell only
0.1um
2
260um
MSD_D3R_PFILL_5_RES 0.5 um spacer cell used with PDQSR cell only
0.5um
2
260um
MSD_D3R_PFILL1_RES 1 um spacer cell used with PDQSR cell only 1um 260um
MSD_D3R_PFILL5_RES 5 um spacer cell used with PDQSR cell only 5um 260um
MSD_D3R_PFILL5_LENHB 5.0 um spacer cell with LENH break 5um 260um
MSD_D3R_PCORNER corner cell 260um 260um
MSD_D3R_PPADCWI30_CUP wirebond pad (inner) for 30um staggered
applications
30um 171um
MSD_D3R_PPADCWO30_CUP wirebond pad (outer) for 30 um staggered
applications
30um 171um
MSD_D3R_PPADCWI30_VDDQ wirebond pad (inner) for 30um staggered
applications, for VDDQ cell
30um 171um
MSD_D3R_PPADCWO30_VDDQ wirebond pad (outer) for 30um staggered
applications, for VDDQ cell
30um 171um
MSD_D3R_PPADCWI30_VSSQ wirebond pad (inner) for 30um staggered
applications, for VSSQ cell
30um 171um
MSD_D3R_PPADCWO30_VSSQ wirebond pad (outer) for 30um staggered
applications, for VSSQ cell
30um 171um
MSD_D3R_PPADCW30_FILL5 wirebond pad 5um spacer cell 5um 171um
MSD_D3R_PPADCW30_FILL1 wirebond pad 1um spacer cell 1um 171um
MSD_D3R_PPADCW30_FILL_5 wirebond pad 0.5um spacer cell 0.5um 171um
MSD_D3R_PPADCW30_FILL_1 wirebond pad 0.1um spacer cell 0.1um 171um
MSD_D3R_PPADCW30_FILL5_ISO wirebond pad 5.0 um spacer cell with VDDQ
break
5um 171um
MSD_D3R_PPADCW30_END End cap cell to define end of 30umstaggered PAD
interface segment
5um 171
MSD_D3R_PSCAP_CUP VDDQ-VSSQ decoupling cap cell 30um 30um
MSD_D3R_PSCAP_VDDQ VDDQ-VSSQ decoupling cap cell abutting to
PVDDQ cell
30um 30um
MSD_D3R_PSCAP_VSSQ VDDQ-VSSQ decoupling cap cell abutting to
PVSSQ cell
30um 30um
Table 10-4 SSTL I/O Cell List (Continued)
Cell Name Description Width Height
July 29, 2011 Synopsys, Inc. 135
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.1.6 Cell Position
Some cells must be flipped when they are placed at the left hand end of an SSTL segment or sub-segment.
Refer to the DesignWare Cores DDR2/3-Lite/mDDR/multiPHY SDRAM PHY Implementation Guide for more
information.
MSD_D3R_PSCAP_FILL5 5 um spacer cell for PSCAPs 5um 30um
MSD_D3R_PSCAP_FILL1 1 um spacer cell for PSCAPs 1um 30um
MSD_D3R_PSCAP_FILL_5 0.5 um spacer cell for PSCAPs 0.5um 30um
MSD_D3R_PSCAP_FILL_1 0.1 um spacer cell for PSCAPs 1um 30um
MSD_D3R_PSCAP_FILL5_ISO 5 um spacer cell for PSCAPs with VDDQ break 5um 30um
MSD_D3R_PSCAP_END End cap cell to define end of PSCAP segment 5um 30um
1. If "FILL" cells are used minimum "FILL" is 1um. This cell can be used in conjunction with other fill cells.
2. If DQSR "FILL" cells are used minimum "FILL" is 1um. This cell can be used in conjunction with other DQSR fill cells.
3. CUP pads are not automatically delivered with every metal stack option in a library; to request CUP pads, please contact
your sales representative.
Table 10-4 SSTL I/O Cell List (Continued)
Cell Name Description Width Height
136 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.2 Bi-Directional Buffer (MSD_D3R_PDDRIO)
This section includes the following subsections:
General Description
Pin List on page 137
Operating Modes on page 139
10.2.1 General Description
Figure 10-1 Bi-Directional SSTL Buffer (MSD_D3R_PDDRIO)
PAD
ESD1 IOM
ESD2
M
V
S
S
TE
DI
L
E
N
H
OUTBUF
ODT
OJ
DOUT
SJ
INBUF
M
V
R
E
F
M
V
D
D
Q
M
V
S
S
Q
M
V
D
D
Z
I
O
H
[
6
3
:
0
]
DT
ET
LB
DJ
OE
1
0
0
1
0
1
PDD
PDR
The bidirectional SSTL buffer
(MSD_D3R_PDDRIO) is a
1.2V/1.35V/1.5V/1.8V DDR specific
SSTL compatible high-speed bidirectional
buffer with programmable ODT,
programmable output impedance, and
embedded boundary scan support logic.
An impedance control bus ZIOH[63:0] is
embedded within the SSTL I/O cells.
Connectivity of this embedded bus is
completed by abutting the SSTL cells.
ZIOH[63:0] is driven in the VDDQ voltage
domain by drivers in the
MSD_D3R_PVREF cell and should never
be connected to devices operating at core
logic voltage (VDD).
Inputs are provided for independently
setting the pull-up (ZIOH[31:16]) and
pull-down (ZIOH[15:0]) output
impedance. These settings can be static or,
when coupled with an impedance control
loop, can be used to permit controlled
PVT-compensation. These busses are
modified-thermometer encoded.
Inputs are provided for independently setting the pull-up (ZIOH[63:48]) and pull-down (ZIOH[47:32]) ODT
value. These settings can be static or, when coupled with an impedance control loop, can be used to permit
controlled PVT-compensation. These busses are modified-thermometer encoded.
For IDDQ testing, the SSTL differential input receiver and the high-speed output driver level shifter can be
disabled to remove their DC current paths. When the SSTL input receiver is disabled, a Mobile DDR mode is
enabled permitting a data flow from bond-pad to core for test purposes. When the high-speed output driver
level shifter is disabled a lower-performance level shifter with no static DC current paths is enabled. ODT is
automatically disabled when operating in Mobile DDR mode.
MUX functions are included to allow the selection of the normal mission-mode paths for output data and
output enable (DOUT and OE) or test paths (DJ and OJ). The test output paths support boundary scan
connectivity or other test functions required by the user. A decoupled path for input data (DT) is included
along with an enable function (ET) to disable this path when not required. The test input path supports
boundary scan connectivity, or other test functions required by the user.
July 29, 2011 Synopsys, Inc. 137
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Selection of either DDR3 or DDR2 operating modes is established by the voltage applied to MVDDQ: 1.5V
nominal for DDR3 and 1.8V nominal for DDR2. This ensures proper interface levels at startup/initialization
without requiring software initialization to set the proper operating mode.
10.2.2 Pin List
Table 10-5 shows the pin list for the MSD_D3R_PDDRIO buffer.
Table 10-5 MSD_D3R_PDDRIO Pin List
Pin Name Direction Description
DI output Data In: data path from bond pad to core
DT output Test Data In: data path from bond pad to core with disable capability
ET input Test Data In Enable: set to '1' to enable or '0' to disable the test data input path
DOUT input Data Out: data path from core to bond pad
DJ input Test Data Out: data path from core to bond pad
SJ input Test Select: set to '0' to select normal paths or '1' to select test paths for output data and
output enable
OE input Output Enable: Active-high output enable.
1 = output driver is enabled
0 = output driver is disabled.
ODT is automatically disabled when output driver is enabled.
OJ input Test Output Enable: Active-high output enable.
1 = output driver is enabled
0 = output driver is disabled
ODT is automatically disabled when output driver is enabled.
PAD inout Bond Pad
IOM input I/O Mode: I/O Mode select
0 = DDR2/DDR3/LPDDR2 mode
1 = Mobile DDR mode
PDD input Power Down Driver: Active high driver power down
0 = normal operation
1 = output driver powered down
When PDD is asserted, the output driver still operates and passes data from the core side
to the pad pin. When the cell is in output mode, the driver still drives the pad pin. All DC
currents are disabled when PDD is asserted and the output only functions at a very low
frequency. If the signal being driven is terminated externally, the I/O cell continues to draw
DC current even when PDD is asserted due to the external termination. ODT is
automatically disabled when the output driver is powered down.
PDR input Power Down Receiver: Active high receiver power down
0 = normal operation
1 = input buffer powered down
DI and DT are set to logic 0 when the receiver is powered down. The receiver is non-
functional when PDR is asserted.
138 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
LB input Loopback: Active high internal loopback enable
0 = normal operation
1 = loopback DOUT to DI in core voltage logic
Note that DOUT data will also appear on the PAD pin if OE is high and PDD is low
ZIOH[63:0] input Impedance Control: Thermometer encoded bus that controls the value of ODT and output
impedance. Note this bus is driven in the VDDQ voltage domain by drivers in the cell
MSD_D3R_PVREF. This bus should not connect to devices operating in the core logic
voltage domain (VDD).
ZIOH[63:48]: Used to select the pull-up termination impedance. For details, see Section
Impedance Divide Ratios.
ZIOH[47:32]: Used to select the pull-down termination impedance. For details, see Section
Impedance Divide Ratios.
ZIOH[31:16]: Used to select the pull-up output impedance. For details, see Section
Impedance Divide Ratios.
ZIOH[15:0]: Used to select the pull-down output impedance. For details, see Section
Impedance Divide Ratios.
TE input On-Die Termination Enable. Active-high signal.
1 = ODT is enabled
0 = ODT is disabled
ODT is also disabled when OE is high and SJ is low or OJ is high and SJ is high regardless
of the value of TE.
LENH Input Latch Enable: Active high retention latch enable. Note this signal is driven in the VDDQ
voltage domain by drivers in the cell , MSD_D3R_PRETLEX and MSD_DSR_PRETLEC.
This signal should not be connected to devices operating in the core logic voltage domain
(VDD).
0 = Retention Latch Disabled (normal mode)
1 = Retention Latch Enabled (retention mode)
In retention mode, the values of the control and DOUT inputs are latched so that the state
of the PAD pin is retained if the core supply (VDD) is removed.
MVDDQ input I/O supply connection (1.2V/1.35V1.5V/1.8V)
MVREF input VREF SSTL reference supply connection
MVSSQ input I/O ground connection (0V)
MVDD input Core supply connection
MVSS input Core ground connection
Table 10-5 MSD_D3R_PDDRIO Pin List (Continued)
Pin Name Direction Description
July 29, 2011 Synopsys, Inc. 139
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.2.3 Operating Modes
Table 10-6 shows the operating modes of the MSD_D3R_PDDRIO buffer.
Legend:
X = don't care
V = Valid data, input or output
Z = Tristate
R = Value prior to assertion of LENH
Vin = Valid data input
Vout = Valid data output
0 = Low
1 = High
Notes
1. Output Only Mode can be used for Address and Command outputs to save power in the SSTL receiver.
2. PDR=1 and PDD=1 are preferable for power saving purpose
3. The DDR2/DDR3/DDR3L mode descriptions are valid for LPDDR2 mode as well with exception that TE should be always 0.
Table 10-6 MSD_D3R_PDDRIO Operating Modes
Mode
Controls Input In/Out Input Controls Outputs Controls
Note LENH PDR PDD LB DOUT PAD DJ OE OJ SJ IOM DI DT ET TE
DDR2/DDR3/DDR3L mission
mode input ODT-OFF
0 0 0 0 X V (in) X 0 X 0 0 V 0 0 0
DDR2/DDR3/DDR3L mission
mode input ODT-ON
0 0 0 0 X V (in) X 0 X 0 0 V 0 0 1
DDR2/DDR3/DDR3L mission
mode output
0 0 0 0 V V (out) X 1 X 0 0 V 0 0 X
DDR2/DDR3/DDR3L mission
mode output only
0 1 0 0 V V (out) X 1 X 0 0 0 0 X X
DDR2/DDR3/DDR3L standby:
Output enable asserted
0 1 1 0 V V (out) X 1 X 0 0 0 0 X X
DDR2/DDR3/DDR3L standby:
Output enable deasserted
0 1 1 0 X Z X 0 X 0 0 0 0 X X
DDR2/DDR3/DDR3L core
loopback
0 X X 1 V Z X 0 X 0 0 V 0 0 0 2
DDR2/DDR3/DDR3L boundary
scan input ODT-ON
0 0 0 0 X V (in) X X 0 1 0 V V 1 1
DDR2/DDR3/DDR3L boundary
scan output
0 0 0 0 X V (out) V X 1 1 0 V V 1 X
Mobile DDR input 0 0 X 0 X V (in) X 0 X 0 1 V 0 0 0
Mobile DDR output 0 0 X 0 V V (out) X 1 X 0 1 V 0 0 X
Mobile DDR standby: Output
enable asserted (output only)
0 1 X 0 V V (out) X 1 X 0 1 0 0 X X 1
Mobile DDR standby: Output
enable deasserted
0 1 X 0 X Z X 0 X 0 1 0 0 X X
Mobile DDR core loopback 0 X X 1 V Z X 0 X 0 1 V 0 0 0 2
Mobile DDR boundary scan
input
0 0 X 0 X V (in) X X 0 1 1 V V 1 0
Mobile DDR boundary scan
output
0 0 X 0 X V (out) V X 1 1 1 V V 1 X
Retention 1 X X X X R X X X X X R R X X
140 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.3 Differential Bi-Directional Buffer (MSD_D3R_PDIFF)
This section includes the following subsections:
General Description
Pin List on page 141
10.3.1 General Description
Figure 10-2 Differential Bi-Directional Buffer (MSD_D3R_PDIFF)
IOM
TE
DI
PAD
ESD1
ESD2
M
V
S
S
M
V
R
E
F
M
V
D
D
Q
L
E
N
H
0
1
OUTBUF
ODT
OJ
DOUT
SJ
INBUF
Z
I
O
H
[
6
3
:
0
]
DT
ET
LB
DJ
OE
1
0
M
V
S
S
Q
M
V
D
D
D
F
I
D
F
O
D
F
I
D
F
O
1
0
PDD
PDR
The SSTL differential bi-directional
buffer (MSD_D3R_PDIFF) is one
half of a full 1.2V/1.35V/1.5V/1.8V
differential I/O buffer, primarily
used to supply the clock when an
external differential clock source is
used. It occupies one I/O slot and is
easily connected to another identical
cell, either by abutment or across
short distances, to create a full
differential I/O buffer.
An impedance control bus
(ZIOH[63:0]) is embedded within
the SSTL I/O cells. Connectivity of
this embedded bus is completed by
abutting the SSTL cells. ZIOH[63:0]
is driven in the VDDQ voltage
domain by drivers in the
MSD_D3R_PVREF cell and should
never be connected to devices
operating at core logic voltage
(VDD).
Inputs are provided for independently setting the pull-up (ZIOH[31:16]) and pull-down (ZIOH[15:0]) driver
output impedance (Zo). These settings can be direct set values or, when coupled with the impedance
controller circuit (MSD_D3R_zctrl), they enable sequential Zo impedance calibration for PVT compensation.
These busses are modified-thermometer encoded.
Inputs are provided for independently setting the pull-up (ZIOH[63:48]) and pull-down (ZIOH[47:32]) for
on-die termination value (ODT). These settings can be direct set values or, when coupled with the
impedance controller circuit (MSD_D3R_zctrl), they enable sequential ODT impedance calibration for PVT
compensation. These busses are modified-thermometer encoded.
The boundary scan support functions, Mobile DDR mode, and DDR3/DDR2 operation selection for this cell
is the same as previously described for the cell Bi-Directional Buffer (MSD_D3R_PDDRIO) on page 136.
This cell is used in pairs to create a differential I/O, permitting a variety of implementation styles and pad
pitches. Examples of such variations include inserting a power/ground supply pad between the pair of cells
or placing filler cells between the cells to create a larger pad pitch. To create a differential I/O, two of these
cells are instantiated with the DFO of the first cell connected to the DFI of the second cell, and the DFI of the
first cell connected to the DFO of the second cell. The DFO/DFI pins are located on both sides of the cell, in
reverse order such that two cells placed beside each other with the same orientation will properly connect
by abutment with no external routing required. All other SSTL I/O library cells contain a routing void in the
area where these pins are located such that when filler or power cells separate the pair of cells, an auto
July 29, 2011 Synopsys, Inc. 141
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
router can automatically connect the DFI/DFO signals without requiring an additional metal layer above
the highest metal layer used by the SSTL library.
10.3.2 Pin List
Table 10-7 shows the pin list for the MSD_D3R_PDIFF buffer.
Table 10-7 MSD_D3R_PDIFF Pin List
Pin Name Direction Description
DI output Data In: data path from bond pad to core
DT output Test Data In: data path from bond pad to core with disable capability
ET input Test Data In Enable: set to 1 to enable or 0 to disable the test data input path
DOUT input Data Out: data path from core to bond pad
DJ input Test Data Out: data path from core to bond pad
SJ input
Test Select: set to 0 to select normal paths or 1 to select test paths for output data and
output enable
OE input
Output Enable: Active-high output enable.
1 = output driver is enabled
0 = output driver is disabled.
ODT is automatically disabled when output driver is enabled.
OJ input
Test Output Enable: Active-high output enable.
1 = output driver is enabled
0 = output driver is disabled.
ODT is automatically disabled when output driver is enabled.
PAD inout Bond Pad
DFI input Differential Input. Analog input from adjacent differential buffer.
DFO output Differential Output. Analog output to adjacent differential buffer.
IOM input
I/O Mode: IO Mode select
0 = DDR2/DDR3/LPDDR2 mode
1 = Mobile DDR mode
PDD input
Power Down Driver: Active high driver power down
0 = normal operation
1 = output driver powered down
When PDD is asserted, the output driver still operates and passes data from the core
side to the pad pin. When the cell is in output mode, the driver still drives the pad pin. All
DC currents are disabled when PDD is asserted and the output only functions at a very
low frequency. If the signal being driven is terminated externally, the I/Ocell continues to
draw DC current even when PDD is asserted due to the external termination. ODT is
automatically disabled when the output driver is powered down.
142 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
PDR input
Power Down Receiver: Active high receiver power down
0 = normal operation
1 = input buffer powered down
DI and DT are set to logic 0 when the receiver is powered down. The receiver is non-
functional when PDR is asserted.
LB input
Loopback: Active high internal loopback enable
0 = normal operation
1 = loopback DOUT to DI in core voltage logic
Note that DOUT data will also appear on the PAD pin if OE is high and PDD is low
ZIOH[63:0] input
Impedance Control: Thermometer encoded bus which controls the value of ODT and
output impedance. Note this bus is driven in the VDDQ voltage domain by drivers in the
cell MSD_D3R_PVREF.
This bus should not connect to devices operating in the core logic voltage domain
(VDD).
ZIOH[63:48]: Used to select the pull-up termination impedance. For details, see Section
Impedance Divide Ratios.
ZIOH[47:32]: Used to select the pull-down termination impedance. For details, see
Section Impedance Divide Ratios.
ZIOH[31:16]: Used to select the pull-up output impedance. For details, see Section
Impedance Divide Ratios.
ZIOH[15:0]: Used to select the pull-down output impedance. For details, see Section
Impedance Divide Ratios.
TE input
On-Die Termination Enable. Active-high signal.
1 = ODT is enabled
0 = ODT is disabled
ODT is also disabled when OE is high and SJ is low or OJ is high and SJ is high
regardless of the value of TE.
LENH Input
Latch Enable: Active high retention latch enable. Note this signal is driven in the VDDQ
voltage domain by drivers in the MSD_D3R_PRETLEX and MSD_DSR_PRETLEC
cells. This signal should not be connected to devices operating in the core logic voltage
domain (VDD).
0 = Retention Latch Disabled (normal mode)
1 = Retention Latch Enabled (retention mode)
In retention mode the values of the control and DOUT inputs are latched so that the
state of the PAD pin is retained if the core supply (VDD) is removed
MVDDQ input I/O supply connection (1.2V/1.35V/1.5V/1.8V)
MVREF input VREF SSTL reference supply connection
MVSSQ input I/O ground connection (0V)
MVDD input Core supply connection
MVSS input Core ground connection
Table 10-7 MSD_D3R_PDIFF Pin List (Continued)
Pin Name Direction Description
July 29, 2011 Synopsys, Inc. 143
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.3.3 Differential Cell Usage
When more than one differential I/O is to be implemented in the design, special attention should be placed
on the floor planning. Some guidelines are as follows:
Because the DFO/DFI connectivity is created by abutment, never allow the pair of PDIFF cells
required for one differential I/O to abut with the pair of PDIFF cells required for a second
differential I/O.
Always separate the pairs with at least one non-PDIFF cell, which can be any other cell in the library
including filler cells.
When the pair of PDIFF cells are placed in the design without abutting, a restriction should be
followed on the distance between the pair of PDIFF cells in order to create a larger pad pitch or to
insert a power pad in between.
The total distance between a pair of PDIFF cells should not exceed 60um.
Figure 10-3 on page 143 provides implementation examples using the PDIFF cell.
Figure 10-3 MSD_D3R_PDIFF Implementation Examples
Note Note Note Note
The PAD pins on abutted PDIFF cells do not short to each other as the left and right hand pins are on
different metal.
DFI
DFO DFI
DFO DFI
DFO DFI
DFO DFI
DFO DFI
DFO DFI
DFO DFI
DFO
1um to 60um
A differential pair created
by 2 abutted PDIFF cells
A differential pair created with 2
PDIFF cells without abutting
including DFO/DFI routing
PDIFF PDIFF PDIFF PDIFF
PAD PAD PAD PAD PAD PAD PAD PAD
144 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.4 ZQ Calibration Cell (MSD_D3R_PZQ)
This section includes the following topics:
General Description
Pin List on page 146
ZPROG Settings for Zo and ODT on page 148
10.4.1 General Description
The cell MSD_D3R_PZQ provides independent calibration capability for the pull-up and pull-down input
termination and output impedances of the functional SSTL cells.
The user connects the PAD pin through an external 240ohm 1% resistor (RZQ) to ground. There are four
sense blocks that provide independent sense capability for each impedance element:
Pull-up termination impedance
Pull-down termination impedance
Pull-up output impedance
Pull-down output impedance
These four elements are calibrated in series using the calibration select input ZCAL[1:0]. The calibration
sequence is:
1. Output impedance pulldown
2. Output impedance pull-up
3. On-Die termination (ODT) pull-down
4. ODT pull-up
Figure 10-4 on page 145 shows a block diagram of the MSD_D3R_PZQ buffer.
July 29, 2011 Synopsys, Inc. 145
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Figure 10-4 ZQ Calibration Cell (MSD_D3R_PZQ)
An impedance control bus (ZIOH[63:0]) is embedded within the SSTL I/O cells and connectivity of this
embedded bus is completed by abutment of the SSTL cells. This bus is driven in the VDDQ voltage domain
by drivers in the MSD_D3R_PVREF cell and should never be connected to devices operating at core logic
voltage (VDD). This bus permits independent setting of the pull-up (ZIOH[63:48]) and pull-down
(ZIOH[47:32]) ODT values and independent setting of the pull-up (ZIOH[31:16]) and pulldown
(ZIOH[15:0]) output impedance values. The impedance control logic drives an impedance code to the
PVREF cell, which translates that impedance code from binary format to modified thermometer encoding
and level shifts it from the VDD domain to the VDDQ domain, resulting in the impedance control bus
ZIOH[63:0]. The PZQ cell uses this code information to determine the response to return to the impedance
control logic.
The impedance values are selected by sending a divide ratio (ZPROG[7:0]) to the PZQ cell. The sense
circuitry receives a four-bit divide ratio code (ZPROG[7:4]) for ODT and ZPROG[3:0] for output impedance,
which together with the value of the external resistor determine the desired impedance value. The sense
circuitry outputs a signal (ZCOMP) to inform the impedance control logic how the current impedance code
being provided by the impedance control logic matches the resistor value based on the divide ratio. This
ZCAL[1:0]
M
V
R
E
F
M
V
D
D
M
V
S
S
M
V
D
D
Q
M
V
S
S
Q
PD
2
4
0
o
h
m
s
+
/
-
1
%
ICAL
ZPROG[7:0]
ZCOMP
Z
I
O
H
[
6
3
:
0
]
ZQ_OFF
PAD
ESD1
LENH
146 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
signal is 0 if the impedance code is too high, 1 if the impedance code is too low, and toggles when the best
match has been determined. The impedance code value is inversely related to the actual impedance value.
Two independent enable inputs (ZQ_OFF and PD) are provided to allow the DC current paths to be
disabled when a calibration is not occurring. This permits a greater degree of power savings when the
impedance values are updated on an interval basis instead of continuous on-the-fly.
10.4.2 Pin List
Table 10-8 provides the pin list for the MSD_D3R_PZQ buffer.
Table 10-8 MSD_D3R_PZQ Pin List
Pin Name Direction Description
ZPROG[7:0] input Select ZPROG value in conjunction with external reference resistor to be used to set the
output impedance and the On-Die Termination. For details, Section Impedance Divide
Ratios.
ZPROG[7:4] = On-Die Termination divide select
ZPROG[3:0] = output impedance divide select
ZCAL[1:0] Input Impedance Calibration Select: selects which impedance element is to be calibrated.
00: Output impedance pull-down
01: Output impedance pull-up
10: On-Die Termination pull-down
11: On-Die Termination pull-up
ZCOMP output Impedance Compare: informs the impedance control logic how the current impedance code
matches the resistor value based on the divide ratio.
0: if the impedance code is too high
1: if the impedance code is too low toggle when the best match has been determined
ZIOH[63:0] input Impedance Control: Thermometer encoded bus which controls the value of ODT and output
impedance. Note this bus is driven in the VDDQ voltage domain by drivers in the cell
MSD_D3R_PVREF. This bus should not connect to devices operating in the core logic
voltage domain (VDD).
ZIOH[63:48]: Used to select the pull-up termination impedance. For details, see Section
Impedance Divide Ratios.
ZIOH[47:32]: Used to select the pull-down termination impedance. For details, see Section
Impedance Divide Ratios.
ZIOH[31:16]: Used to select the pull-up output impedance. For details, see Section
Impedance Divide Ratios.
ZIOH[15:0]: Used to select the pull-down output impedance. For details, see Section
Impedance Divide Ratios.
PAD in Bond Pad
ZQ_OFF Input ZQ Off: Active-high ZQ disable. When asserted, all DC current paths are disabled and the
PAD pin is placed into High-Z. Some time is required for the cell to settle when it is
re-enabled, approximately 50ns should be allowed.
PD input Power Down: Active-high ZQ disable. When asserted, all DC current paths are disabled and
the PAD pin is placed into High-Z. Some time is required for the cell to settle when it is
re-enabled, approximately 50ns should be allowed.
July 29, 2011 Synopsys, Inc. 147
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
LENH Input Latch Enable: Active high retention latch enable. Note this signal is driven in the VDDQ
voltage domain by drivers in the MSD_D3R_PRETLEX and MSD_DSR_PRETLEC cells.
This signal should not be connected to devices operating in the core logic voltage domain
(VDD).
0 = Retention Latch Disabled (normal mode)
1 = Retention Latch Enabled (retention mode)
In retention mode the values of the control inputs are latched so that the state of the cell is
retained if the core supply (VDD) is removed
MVDDQ input I/O supply connection (1.2V/1.35V/1.5V/1.8V)
MVREF input VREF SSTL reference supply connection
MVSSQ input I/O ground connection (0V)
MVDD input Core supply connection
MVSS input Core ground connection
Table 10-8 MSD_D3R_PZQ Pin List (Continued)
Pin Name Direction Description
148 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.4.3 ZPROG Settings for Zo and ODT
Table 10-8 provides the ZPROG settings of the MSD_D3R_PZQ buffer.
Notes:
1. Using different RZQ values in range of 240-300 ohms, users can obtain different impedance values.
2. ODT and Driver Output Impedance is calibrated independently.
3. For the detailed calibration procedure, see the Impedance Calibration Circuit.
4. Even though the code for ODT pull-up and pull-down is common, the calibration is performed independently for pull-up
and pull-down, similar to Driver Output Impedance.
Table 10-9 ZPROG Settings for Standard Zo and ODT Values
RZQ = 240 +/- 1% Programmed Zo
ZPROG[3:0]
Index (decimal) DDR3/DDR3L (ohms) DDR2 (ohms) LPDDR2 (ohms)
5 - - 80
7 - - 60
9 - - 48
11 40 40 40
13 34 - -
- - 18 -
For programming 18 ohms, use Custom Calibration Method using zctrl_ovrd_data[19:0]
Programmed ODT
ZPROG[7:4]
Index (decimal) DDR3/DDR3L (ohms) DDR2 (ohms) LPDDR2 (ohms)
1 120 150 -
4 - 75 -
5 60 - -
6 - 50 -
8 40 - -
July 29, 2011 Synopsys, Inc. 149
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.5 Impedance Calibration Circuit
The impedance calibration circuit, which controls the impedance values for ODT and driver output
impedance, consists of the following components:
ZQ calibration cell - MSD_D3R_PZQ
External RZQ precision resistor
Impedance control logic (RTL) - MSD_D3R_zctrl
VREF cell (for code encoding and level shifting) - MSD_D3R_PVREF
Functional I/O cells - MSD_D3R_PDDRIO/MSD_D3R_PDIFF
The connectivity of these components is shown in Figure 10-5.
Figure 10-5 Impedance Calibration Circuit
A single calibration cell (MSD_D3R_PZQ) is used for the interface. One or multiple VREF cells exist in the
interface, depending on the total data width of the interface. The ZCTRL bus from the impedance control
logic is connected to all VREF cells in the interface. It is not permitted to have a VREF cell in the interface
that is not connected to the impedance control logic.
The impedance control logic sends an impedance code through the ZCTRL bus to the VREF cells. The VREF
cells encodes this data, level shifts it to the VDDQ power domain, and sends it to both the functional I/O
cells and the MSD_D3R_PZQ cell through the ZIOH bus embedded within the SSTL library cells. The
MSD_D3R_PZQ cell also receives the desired divide ratios from the Memory Controller or the user logic.
The MSD_D3R_PZQ cell compares the impedance control code received from the PVREF cell with the
external resistor, taking into account the selected divide ratio. The MSD_D3R_PZQ cell then sends ZCOMP
back to the impedance control logic to relay information about impedance matching. The impedance control
logic then sends a new impedance code to the PVREF cells. This results in a closed-loop system.
PVREF
PVREF
PDDRIO
PDDRIO
PDDRIO
PDDRIO
zctrl_start
zctrl_ovrd_en
r
s
t
_
b
z
c
t
r
l
_
c
l
k
_
s
e
l
c
l
k
s
c
a
n
_
t
e
s
t
Z
I
O
H
[
6
3
:
0
]
2
4
0
+
/
-
1
%
Impedance
RTL
zcomp
zcal[1:0]
zq_off
ZPROG[7:0]
z
c
t
r
l
[
1
5
:
0
]
;
z
l
s
b
[
3
:
0
]
z
c
t
r
l
_
s
t
a
t
u
s
[
3
1
:
0
]
zctrl_ovrd-data[19:0]
PZQ
Controller
PUBL
150 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
The four impedance elements (output impedance pull-down/up and ODT pull-down/up) are calibrated
sequentially. The ZPROG bus is used to signal which element is being calibrated. The state machine is
implemented on the Impedance Controller RTL block.
The impedance control logic connects to the Memory Controller or customer logic to allow full
controllability and observability of the loop operation.
The impedance control loop operates with a low bandwidth as compared to the memory system, thus the
impedance control logic contains a clock divider to permit operation at a reduced clock frequency.
There are three basic modes of operation:
Direct Calibration -uses ZPROG settings.
Override Setting - uses ctrl_ovrd_data settings.
Custom Calibration - extends calibration beyond the values available on ZPROG
10.5.1 Direct Calibration
In this mode, the user is setting independently the value for ODT (ZPROG[7:4]) and Output Impedance
(ZPROG[3:0]) and runs the calibration sequence described in ZQ Calibration Cell (MSD_D3R_PZQ).
10.5.2 Override Setting
In this mode, the user is not using the calibration loop, and instead directly controls the impedance control
using zctrl_ovrd_data[19:0] bus, which is parsed in four nibbles that independently control Driver
pulldown/up and ODT pulldown/up impedance in 31 steps.
For example, assuming one step is associated to current I and the calibration voltage is VREF, the
programmed impedance for index N is:
Z
PROG
= k * VREF/(N * I)
Based on the formula, it can be concluded that if index N is increased, then the impedance is decreased. The
following table shows an example of values obtained with 240 ohms RZQ and typical PVT parameters.
Note Note Note Note
Internally, the pull-down/up are calibrated independently to the value that is programmed.
Note Note Note Note
K is correction factor, which is approximately equal to 1.
July 29, 2011 Synopsys, Inc. 151
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Table 10-10 Example of Values from 240 ohms RZQ and PVT Parameter - Typical Corner at 25C
ODT Pull-Up zctrl_ovrd_data[19:15] ZIOH[63:48]
Impedance DRV Impedance ODT
ODT Pull-Dwn zctrl_ovrd_data[14:10] ZIOH[47:32]
DRV Pull-Up zctrl_ovrd_data[9:5] ZIOH[31:16]
DRV Pull-Dwn zctrl_ovrd_data[4:0] ZIOH[15:0] DDR3 DDR3L DDR2 LPDDR2 DDR3 DDR3L DDR2
Index (dec)
Modified Gray
Code(hex)
Modified Thermometer
Encoding (hex) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms)
0 00 0000 - - - - -
- -
1 01 0001 388.9 422.4 346.9 471.7 302.7 337.3 259.6
2 02 0002 196.1 212.8 175.2 237.3 153.4 170.9 132.2
3 03 0003 131.8 142.9 117.9 159.2 103.9 115.6 89.9
4 06 0006 99.7 107.9 89.3 120.1 79.1 87.8 68.4
5 07 0007 80.4 87.0 72.1 96.7 64.2 71.3 55.8
6 04 000E 67.6 73.0 60.7 81.1 54.3 60.1 47.1
7 05 000F 58.4 63.1 52.5 70.0 47.2 52.3 41.2
8 0C 001E 51.5 55.6 46.4 61.6 41.9 46.3 36.5
9 0D 001F 46.2 49.8 41.6 55.1 37.7 41.7 33.0
10 0E 003E 41.9 45.1 37.8 49.9 34.4 38.0 30.1
11 0F 003F 38.4 41.3 34.7 45.6 31.7 35.0 27.8
12 0A 007E 35.5 38.2 32.1 42.1 29.5 32.4 25.9
13 0B 007F 33.0 35.5 29.9 39.1 27.6 30.3 24.2
14 08 00FE 30.9 33.2 28.1 36.6 25.9 28.5 22.8
15 09 00FF 29.1 31.2 26.5 34.3 24.5 26.9 21.6
16 18 01FE 27.5 29.5 25.0 32.4 23.2 25.5 20.5
17 19 01FF 26.1 28.0 23.8 30.7 22.1 24.3 19.6
18 1A 03FE 24.9 26.6 22.7 29.2 21.1 23.1 18.7
19 1B 03FF 23.7 25.4 21.7 27.8 20.3 22.2 18.0
20 1E 07FE 22.7 24.3 20.8 26.6 19.5 21.3 17.3
21 1F 07FF 21.8 23.3 20.0 25.5 18.8 20.5 16.7
22 1C 0FFE 21.0 22.4 19.3 24.5 18.1 19.8 16.1
23 1D 0FFF 20.3 21.6 18.6 23.6 17.5 19.1 15.6
24 14 1FFE 19.6 20.9 18.0 22.7 17.0 18.5 15.1
25 15 1FFF 19.0 20.2 17.4 22.0 16.5 17.9 14.7
26 16 3FFE 18.4 19.5 16.9 21.3 16.0 17.4 14.3
27 17 3FFF 17.8 19.0 16.4 20.6 15.6 16.9 14.0
28 12 7FFE 17.3 18.4 16.0 20.0 15.2 16.5 13.6
29 13 7FFF 16.9 17.9 15.6 19.4 14.8 16.1 13.3
30 10 FFFE 16.4 17.4 15.2 18.9 14.5 15.7 13.0
31 11 FFFF 16.0 17.0 14.8 18.4 14.2 15.3 12.7
152 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Table 10-11 Example of Values from 240 ohms RZQ and PVT Parameter - Fast Corner at 125C
ODT Pull-Up zctrl_ovrd_data[19:15] ZIOH[63:48]
Impedance DRV Impedance ODT
ODT Pull-Dwn zctrl_ovrd_data[14:10] ZIOH[47:32]
DRV Pull-Up zctrl_ovrd_data[9:5] ZIOH[31:16]
DRV Pull-Dwn zctrl_ovrd_data[4:0] ZIOH[15:0] DDR3 DDR3L DDR2 LPDDR2 DDR3 DDR3L DDR2
Index (dec)
Modified Gray
Code(hex)
Modified Thermometer
Encoding (hex) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms)
0 00 0000 - - - - -
- -
1 01 0001 363.7 383.7 327.6 415.5 262.0 282.3 233.0
2 02 0002 183.3 193.3 165.5 209.0 135.1 143.8 118.8
3 03 0003 123.2 129.8 111.4 140.2 91.7 97.5 80.8
4 06 0006 93.2 98.1 84.3 105.9 69.8 74.2 61.6
5 07 0007 75.2 79.1 68.1 85.3 56.7 60.3 50.2
6 04 000E 63.2 66.4 57.3 71.6 47.9 50.9 42.5
7 05 000F 54.6 57.4 49.6 61.8 41.7 44.3 37.1
8 0C 001E 48.2 50.6 43.8 54.4 37.0 39.3 32.9
9 0D 001F 43.2 45.3 39.3 48.7 33.4 35.4 29.7
10 0E 003E 39.2 41.1 35.7 44.2 30.4 32.3 27.2
11 0F 003F 35.9 37.7 32.8 40.4 28.1 29.7 25.1
12 0A 007E 33.2 34.8 30.3 37.3 26.1 27.6 23.3
13 0B 007F 30.9 32.4 28.3 34.7 24.4 25.8 21.9
14 08 00FE 28.9 30.3 26.5 32.4 22.9 24.3 20.6
15 09 00FF 27.2 28.5 25.0 30.5 21.7 22.9 19.5
16 18 01FE 25.7 26.9 23.6 28.8 20.6 21.7 18.5
17 19 01FF 24.4 25.5 22.4 27.3 19.6 20.7 17.7
18 1A 03FE 23.2 24.3 21.4 25.9 18.7 19.8 16.9
19 1B 03FF 22.2 23.2 20.4 24.8 18.0 19.0 16.3
20 1E 07FE 21.3 22.2 19.6 23.7 17.3 18.2 15.6
21 1F 07FF 20.4 21.3 18.8 22.7 16.7 17.5 15.1
22 1C 0FFE 19.7 20.5 18.1 21.8 16.1 16.9 14.6
23 1D 0FFF 19.0 19.8 17.5 21.0 15.6 16.4 14.1
24 14 1FFE 18.3 19.1 16.9 20.3 15.1 15.8 13.7
25 15 1FFF 17.7 18.5 16.4 19.6 14.6 15.4 13.3
26 16 3FFE 17.2 17.9 15.9 19.0 14.2 14.9 13.0
27 17 3FFF 16.7 17.3 15.5 18.4 13.9 14.5 12.6
28 12 7FFE 16.2 16.8 15.0 17.9 13.5 14.2 12.3
29 13 7FFF 15.8 16.4 14.6 17.4 13.2 13.8 12.0
30 10 FFFE 15.4 16.0 14.3 16.9 12.9 13.5 11.8
31 11 FFFF 15.0 15.6 13.9 16.5 12.6 13.2 11.5
July 29, 2011 Synopsys, Inc. 153
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Table 10-12 Example of Values from 240 ohms RZQ and PVT Parameter - Fast Corner -40C
ODT Pull-Up zctrl_ovrd_data[19:15] ZIOH[63:48]
Impedance DRV Impedance ODT
ODT Pull-Dwn zctrl_ovrd_data[14:10] ZIOH[47:32]
DRV Pull-Up zctrl_ovrd_data[9:5] ZIOH[31:16]
DRV Pull-Dwn zctrl_ovrd_data[4:0] ZIOH[15:0] DDR3 DDR3L DDR2 LPDDR2 DDR3 DDR3L DDR2
Index (dec)
Modified Gray
Code(hex)
Modified Thermometer
Encoding (hex) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms)
0 00 0000 - - - - -
- -
1 01 0001 281.5 295.8 257.2 319.3 217.8 234.0 195.6
2 02 0002 142.1 149.2 130.0 160.9 111.0 118.6 99.4
3 03 0003 95.6 100.3 87.6 108.1 75.5 80.4 67.6
4 06 0006 72.4 75.9 66.4 81.7 57.4 61.2 51.5
5 07 0007 58.4 61.2 53.6 65.9 46.8 49.7 42.0
6 04 000E 49.2 51.5 45.2 55.3 39.5 42.0 35.6
7 05 000F 42.5 44.5 39.1 47.8 34.5 36.6 31.1
8 0C 001E 37.5 39.3 34.6 42.1 30.6 32.5 27.6
9 0D 001F 33.7 35.2 31.1 37.7 27.7 29.3 25.0
10 0E 003E 30.6 32.0 28.2 34.2 25.2 26.7 22.8
11 0F 003F 28.1 29.3 25.9 31.4 23.3 24.6 21.1
12 0A 007E 26.0 27.1 24.0 29.0 21.7 22.9 19.6
13 0B 007F 24.2 25.2 22.4 27.0 20.3 21.4 18.4
14 08 00FE 22.7 23.6 21.0 25.2 19.1 20.2 17.4
15 09 00FF 21.4 22.3 19.8 23.7 18.1 19.1 16.5
16 18 01FE 20.2 21.0 18.8 22.4 17.2 18.1 15.6
17 19 01FF 19.2 20.0 17.8 21.3 16.4 17.2 14.9
18 1A 03FE 18.3 19.0 17.0 20.2 15.6 16.5 14.3
19 1B 03FF 17.5 18.2 16.3 19.3 15.0 15.8 13.7
20 1E 07FE 16.8 17.4 15.6 18.5 14.4 15.2 13.2
21 1F 07FF 16.1 16.7 15.0 17.8 13.9 14.6 12.8
22 1C 0FFE 15.5 16.1 14.5 17.1 13.5 14.1 12.3
23 1D 0FFF 15.0 15.5 14.0 16.5 13.0 13.7 12.0
24 14 1FFE 14.5 15.0 13.6 15.9 12.6 13.2 11.6
25 15 1FFF 14.0 14.5 13.1 15.4 12.3 12.9 11.3
26 16 3FFE 13.6 14.1 12.8 14.9 11.9 12.5 11.0
27 17 3FFF 13.2 13.7 12.4 14.5 11.6 12.2 10.7
28 12 7FFE 12.9 13.3 12.1 14.1 11.3 11.9 10.4
29 13 7FFF 12.5 13.0 11.8 13.7 11.1 11.6 10.2
30 10 FFFE 12.2 12.6 11.5 13.3 10.8 11.3 10.0
31 11 FFFF 11.9 12.3 11.2 13.0 10.6 11.1 9.8
154 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Table 10-13 Example of Values from 240 ohms RZQ and PVT Parameter - Slow Corner at 125C
ODT Pull-Up zctrl_ovrd_data[19:15] ZIOH[63:48]
Impedance DRV Impedance ODT
ODT Pull-Dwn zctrl_ovrd_data[14:10] ZIOH[47:32]
DRV Pull-Up zctrl_ovrd_data[9:5] ZIOH[31:16]
DRV Pull-Dwn zctrl_ovrd_data[4:0] ZIOH[15:0] DDR3 DDR3L DDR2 LPDDR2 DDR3 DDR3L DDR2
Index (dec)
Modified Gray
Code(hex)
Modified Thermometer
Encoding (hex) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms)
0 00 0000 - - - - -
- -
1 01 0001 581.6 647.0 503.2 695.5 464.7 539.3 381.0
2 02 0002 292.8 325.4 253.8 350.0 235.0 272.1 193.2
3 03 0003 196.5 218.1 170.6 234.8 158.7 183.6 130.7
4 06 0006 148.4 164.6 129.0 177.2 120.5 139.2 99.5
5 07 0007 119.5 132.4 104.0 142.7 97.6 112.6 80.7
6 04 000E 100.3 111.0 87.4 119.7 82.3 94.8 68.2
7 05 000F 86.5 95.7 75.6 103.2 71.4 82.1 59.3
8 0C 001E 76.2 84.2 66.7 90.8 63.2 72.6 52.6
9 0D 001F 68.2 75.3 59.7 81.3 56.8 65.2 47.4
10 0E 003E 61.8 68.1 54.2 73.6 51.7 59.2 43.2
11 0F 003F 56.6 62.3 49.7 67.3 47.6 54.4 39.8
12 0A 007E 52.2 57.4 45.9 62.1 44.1 50.4 36.9
13 0B 007F 48.5 53.3 42.7 57.6 41.2 47.0 34.5
14 08 00FE 45.4 49.8 40.0 53.8 38.6 44.0 32.5
15 09 00FF 42.6 46.8 37.7 50.6 36.4 41.5 30.7
16 18 01FE 40.2 44.1 35.6 47.7 34.5 39.2 29.1
17 19 01FF 38.1 41.8 33.8 45.2 32.8 37.3 27.7
18 1A 03FE 36.3 39.7 32.2 42.9 31.3 35.5 26.5
19 1B 03FF 34.6 37.8 30.7 40.9 30.0 34.0 25.4
20 1E 07FE 33.1 36.1 29.4 39.1 28.7 32.5 24.4
21 1F 07FF 31.7 34.6 28.3 37.5 27.6 31.3 23.5
22 1C 0FFE 30.5 33.2 27.2 36.0 26.6 30.1 22.7
23 1D 0FFF 29.4 32.0 26.2 34.6 25.7 29.1 21.9
24 14 1FFE 28.3 30.8 25.3 33.4 24.9 28.1 21.3
25 15 1FFF 27.4 29.8 24.5 32.2 24.1 27.2 20.6
26 16 3FFE 26.5 28.8 23.8 31.2 23.4 26.4 20.0
27 17 3FFF 25.7 27.9 23.1 30.2 22.8 25.6 19.5
28 12 7FFE 24.9 27.1 22.4 29.3 22.1 24.9 19.0
29 13 7FFF 24.3 26.3 21.8 28.4 21.6 24.2 18.6
30 10 FFFE 23.6 25.6 21.3 27.6 21.0 23.6 18.1
31 11 FFFF 23.0 24.9 20.7 26.9 20.5 23.0 17.7
July 29, 2011 Synopsys, Inc. 155
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Table 10-14 Example of Values from 240 ohms RZQ and PVT Parameter - Slow Corner at -40C
ODT Pull-Up zctrl_ovrd_data[19:15] ZIOH[63:48]
Impedance DRV Impedance ODT
ODT Pull-Dwn zctrl_ovrd_data[14:10] ZIOH[47:32]
DRV Pull-Up zctrl_ovrd_data[9:5] ZIOH[31:16]
DRV Pull-Dwn zctrl_ovrd_data[4:0] ZIOH[15:0] DDR3 DDR3L DDR2 LPDDR2 DDR3 DDR3L DDR2
Index (dec)
Modified Gray
Code(hex)
Modified Thermometer
Encoding (hex) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms) (ohms)
0 00 0000 - - - - -
- -
1 01 0001 438.3 486.9 383.7 568.0 360.5 417.3 300.9
2 02 0002 220.8 245.0 193.6 285.4 182.6 210.9 152.7
3 03 0003 148.3 164.4 130.3 191.2 123.6 142.4 103.6
4 06 0006 112.1 124.1 98.6 144.1 93.9 108.1 78.9
5 07 0007 90.3 99.9 79.6 115.9 76.2 87.6 64.2
6 04 000E 75.9 83.8 67.0 97.0 64.4 73.8 54.3
7 05 000F 65.5 72.3 57.9 83.6 56.0 64.1 47.3
8 0C 001E 57.8 63.7 51.1 73.5 49.6 56.7 42.0
9 0D 001F 51.7 57.0 45.9 65.7 44.7 51.0 37.9
10 0E 003E 46.9 51.6 41.7 59.4 40.7 46.4 34.6
11 0F 003F 43.0 47.2 38.2 54.3 37.5 42.7 31.9
12 0A 007E 39.7 43.6 35.4 50.0 34.8 39.6 29.7
13 0B 007F 36.9 40.5 33.0 46.4 32.5 36.9 27.8
14 08 00FE 34.6 37.8 30.9 43.3 30.6 34.7 26.2
15 09 00FF 32.5 35.6 29.1 40.7 28.9 32.7 24.8
16 18 01FE 30.7 33.6 27.5 38.3 27.4 31.0 23.5
17 19 01FF 29.1 31.8 26.2 36.3 26.1 29.5 22.4
18 1A 03FE 27.7 30.2 24.9 34.4 24.9 28.1 21.4
19 1B 03FF 26.5 28.8 23.8 32.8 23.9 26.9 20.6
20 1E 07FE 25.3 27.6 22.9 31.3 22.9 25.8 19.8
21 1F 07FF 24.3 26.4 22.0 30.0 22.1 24.8 19.1
22 1C 0FFE 23.4 25.4 21.2 28.8 21.3 23.9 18.4
23 1D 0FFF 22.6 24.5 20.4 27.7 20.6 23.1 17.8
24 14 1FFE 21.8 23.6 19.8 26.7 19.9 22.3 17.3
25 15 1FFF 21.1 22.8 19.1 25.8 19.3 21.6 16.8
26 16 3FFE 20.4 22.1 18.6 24.9 18.8 21.0 16.3
27 17 3FFF 19.8 21.4 18.0 24.1 18.3 20.4 15.9
28 12 7FFE 19.3 20.8 17.5 23.4 17.8 19.8 15.5
29 13 7FFF 18.7 20.2 17.1 22.7 17.3 19.3 15.2
30 10 FFFE 18.3 19.7 16.7 22.1 16.9 18.9 14.8
31 11 FFFF 17.8 19.2 16.3 21.5 16.5 18.4 14.5
156 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.5.3 Custom Calibration
This mode is a two-step procedure combining the previous two modes.
1. The user provides a Direct Calibration using a convenient value and records the Impedance control
results from status register.
2. The user applies the correction factor that provides the custom impedance.
The following example assumes that it is required to program Driver Output Impedance to 18 ohms.
1. The user performs a Direct Calibration for driver Zo=36 ohms. For example, assume the result
shows that Driver pull-up index is 12, and Driver pull-down index is 13.
2. Calculate and apply the Override Data for 18 ohm impedance adjustment as follows:
(<cal_value>/<req_value>) * <cal_index>
Driver pull-down (36/18) * 13 = 26
Driver pull-up (36/18) * 12 = 24
10.6 Impedance Control Logic (MSD_D3R_zctrl)
This section includes the following topics:
General Description
Pin List on page 157
Functional Operation on page 159
10.6.1 Applicability
The MSD_D3R_zctrl module is only required when the legacy system architecture is used between the
Synopsys PHY and Synopsys memory or protocol controller. For more information on the implementation
options, refer to Controller Solutions on page 15.
For instance, if the Synopsys PCTL or MCTL controllers are used with the PHY then the zctrl module is
required to implement the impedance control algorithm. However, if the Synopsys PUBL is included in the
system solution then the zctrl module is not required and this section can be skipped.
Note Note Note Note
The resulting index from the pervious example has to be smaller than 31 according to the allowable
range of the hardware support.
July 29, 2011 Synopsys, Inc. 157
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.6.2 General Description
MSD_D3R_zctrl is a Verilog RTL module, which is
used to provide the necessary impedance control
functions to enable the programmable and
PVT-compensated ODT and output impedance of
the functional SSTL cells.
The impedance control logic provides the
following control options:
Sample-based tracking of RZQ to permit
stable signaling at the SSTL and lowest
power operation for the impedance loop
circuitry
Impedance code override to allow direct
control of the impedance codes
Impedance code output to permit
monitoring of the control loop operation
Programmable divide on the input clock
signal to permit stable operation with a full range of input clock frequencies
Automatic sequencing for independent impedance compensation for each of the four impedance
elements (ODT pull-up/pull-down, output impedance pull-up/pull-down)
A clock is provided to the impedance control logic that is used as the sampling clock for the loop. Typically,
the control loop operates with a relatively low clock rate for stable operation because the loop is not
designed for, and does not require, high-speed operation. Typical operation frequencies of less than 25 MHz
are desirable. Because this module is normally connected to the memory controller clock (although may be
connected to any other clock source), the module contains a programmable clock divider to permit options
of divide-by-32 and divide-by-64 from the input clock.
All inputs to the control logic, with the exception of zcomp, are considered asynchronous. The zcomp signal
is registered on the falling edge of the divided clock. All outputs are synchronous to the rising edge of the
divided clock.
The module contains embedded registers for storing the impedance codes. There is an output provided to
permit monitoring of the control loop operation or to override the logic with specific code values.
10.6.3 Pin List
Table 10-15 shows the pin list for the MSD_D3R_zctrl module.
Table 10-15 MSD_D3R_zctrl Pin List
Pin Name Direction Description
clk input
Input clock. Divide function is included to allow this clock to be divided
down to less than 25MHz.
rst_b input Synchronous to clk on de-assertion, asynchronous on assertion; active low
zctrl_start input
Calibration Start: active high signal which is asserted when the user wishes
to run an impedance calibration sequence. Must be kept asserted until
zctrl_done is asserted.
Figure 10-6 Impedance Control Logic (MSD_D3R_zctrl)
Impedance
Control
Logic
zq_off
zlsb[3:0]
clk
zctrl_ovrd_en
zctrl_ovrd_data[19:0]
zctrl_clk_sel
zctrl_start
rst_b
scan_test
zcal[1:0]
zctrl[15:0]
zctrl_status[31:0]
zcomp
158 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
zctrl_ovrd_en input
Impedance Over-ride: active high signal which allows the user to directly
drive the zctrl impedance output bus using the zctrl_ovrd_data input bus
zctrl_ovrd_data[19:0] input
Impedance Over-ride Data: data to be used when directly driving the zctrl
and zlsb output bus as follows:
zctrl_ovrd_data[19:15]: Used to select the pull-up on-die termination
impedance
zctrl_ovrd_data[14:10]: Used to select the pull-down on-die termination
impedance
zctrl[9:5]: Used to select the pull-up output impedance
zctrl_ovrd_data[4:0]: Used to select the pull-down output impedance
zctrl_clk_sel input Clock Divide Select: 0 = divide-by-32; 1 = divide-by-64
zctrl_status[31:0] output
Calibration Status: information on the impedance control loop status
[19:0] ZCTRL Impedance Control: Current value of impedance
control.
[21:20] ZQPD Output impedance pull-down calibration status.
Valid status encodings are:
00 = Completed with no errors
01 = Overflow error
10 = Underflow error
11 = Calibration in progress
[23:22] ZQPU Output impedance pull-up calibration status. Similar
status encodings as ZQPD.
[25:24] ODTPD On-die termination (ODT) pull-down calibration status.
Similar status encodings as ZQPD.
[27:26] ODTPU On-die termination (ODT) pull-up calibration status.
Similar status encodings as ZQPD.
[29:28] - Reserved. Return zeros on reads.
[30] ZQERR Impedance Calibration Error: If set, indicates that
there was an error during impedance calibration.
[31] ZQDONE Impedance Calibration Done: Indicates that
impedance calibration has completed.
scan_test input
Scan mode flag: active high signal used to bypass clock division for logic
scan.
zcal[1:0] output
Calibration Select: selects which of the four impedance elements is to be
calibrated. The sequence is:
0: Output impedance pull-down
1: Output impedance pull-up
2: On-Die Termination pull-down
3: On-Die Termination pull-up
zctrl[15:0] output
Impedance Code: controls the value of ODT and output impedance:
zctrl[15:12]: Used to select the pull-up on-die termination impedance
zctrl[11:8]: Used to select the pull-down on-die termination impedance
zctrl[7:4]: Used to select the pull-up output impedance
zctrl[3:0]: Used to select the pull-down output impedance
Table 10-15 MSD_D3R_zctrl Pin List (Continued)
Pin Name Direction Description
July 29, 2011 Synopsys, Inc. 159
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.6.4 Functional Operation
The user selects a clock divide ratio (zctrl_clk_sel) for the input clock that results in a divided clock
frequency of 25 MHz or less. The user also selects the desired divide ratios, ZPROG[7:0] of the cell
MSD_D3R_PZQ, which set the desired impedance values. The start signal (zctrl_start) is asserted and held
in that state until the calibration sequence is completed, signaled by zctrl_status[31] being asserted. As the
calibration sequence runs, it sequentially steps through independent calibration sequences for each of the
four impedance elements in the following order:
1. Output impedance pull-down
2. Output impedance pull-up
3. ODT pull-down
4. ODT pull-up
An impedance code (zctrl[15:0]) is output and correspondingly feedback is returned (zcomp) to provide
information about the matching of the current impedance code, which the logic uses to determine what
code to output next. When the best match has been achieved, the logic begins calibration of the next
impedance element.
When the calibration of all four elements is complete, the logic asserts the done signal (zctrl_status[31]), at
which time the user can de-assert the start signal (zctrl_start).
At any time, the user can monitor the loop status for errors via the status output zctrl_status[30]. If a
calibration error is detected, more information about what the error is can be obtained by viewing the status
outputs zctrl_status[27:0]. If an error is triggered, the error flag is automatically reset when the impedance
calibration is re-triggered via zctrl_start. The user can also override the impedance control logic with direct
programming of the impedance codes using the override inputs zctrl_ovrd_en and zctrl_ovrd_data[19:0].
zlsb[3:0] output
Fine control of ODT and Output Impedance (LSB binary)
zlsb[3] pull-up ODT
zlsb[2] pull-down ODT
zlsb[1] pull-up Output Impedance
zlsb[0] pull-down Output Impedance
zq_off output
ZQ Off: active-high ZQ disable. When asserted, all DC current paths of the
MSD_D3R_PZQ cell are disabled and the PAD pin is placed into High-Z.
zcomp input
Impedance Compare: informs the impedance control logic how the current
impedance code matches the resistor value based on the divide ratio
- '0' if the impedance code is too high
- '1' if the impedance code is too low
- toggle when the best match has been determined
Table 10-15 MSD_D3R_zctrl Pin List (Continued)
Pin Name Direction Description
160 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.7 Reference Voltage Cell (MSD_D3R_PVREF)
This section includes the following sections:
General Description
Pin List
Requirements for Powering Up/Powering Down PVREF on page 161
10.7.1 General Description Figure 10-7 Reference Voltage Cell (MSD_D3R_PVREF)
Thermometer
Encoder
PAD
zctrl[15:0]
zlsb[3:0]
Z
I
O
H
[
6
3
:
0
]
MVDDQ
M
V
R
E
F
M
V
D
D
Q
M
V
S
S
Q
M
V
D
D
M
V
S
S
ESD2 ESD1
L
E
N
H
MSD_D3R_PVREF is used to
provide the input switching
reference voltage to the SSTL I/Os.
A second function of this cell is to
provide the thermometer encoding
and level shifting for the
impedance control bus.
The recommendation for VREF cell
insertion is 1 VREF cell for every
3mm of pad frame length,
approximately centered within the 3mm section. This results in a maximum distance from the VREF cell to
any other SSTL cell of 1.5mm.
One or multiple VREF cells will exist in the interface, depending on the total data width of the interface. The
ZCTRL bus from the impedance control logic is connected to all VREF cells in the interface. It is not
permitted to have a VREF cell in the interface which is not connected to the impedance control logic.
10.7.2 Pin List
Table 10-16 shows the pin list for the MSD_D3R_PVREF cell.
Table 10-16 MSD_D3R_PVREF Pin List
Pin Name Direction Description
PAD in Bond Pad
zctrl[15:0] input Impedance Control: Controls the value of ODT and output impedance in conjunction
with ZLSB ZCTRL[15:12]: Controls the pull-up ODT impedance
ZCTRL[11:8]: Controls the pull-down ODT impedance
ZCTRL[7:4]: Controls the pull-up output ODT
ZCTRL[3:0]: Controls the pull-down output ODT
zlsb[3:0] input Fine control of the value of ODT and Output Impedance (binary LSB)
ZLSB[3] pull-up ODT
ZLSB[2] pull-down ODT
ZLSB[1] pull-up output impedance
ZLSB[0] pull-down output impedance
ZIOH[63:0] output Encoded Impedance Control: Thermometer encoded bus which controls the value of
ODT and output impedance. Note this bus is driven in the VDDQ voltage domain. This
bus should not connect to devices operating in the core logic voltage domain (VDD).
MVDDQ input I/O supply connection (1.2V/1.35V/1.5V1.8V)
MVREF output VREF SSTL reference supply connection
July 29, 2011 Synopsys, Inc. 161
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.7.3 Requirements for Powering Up/Powering Down PVREF
When powering up/powering down the PVREF supply, consider the following recommendations:
VREF should not be applied without VDDQ being energized.
VREF may remain at GROUND.
During power up VREF should either be applied coincidently with or after VDDQ.
During power down VREF should either be removed coincidently with or before VDDQ.
MVSSQ input I/O ground connection (0V)
MVDD input Core supply connection
MVSS input Core ground connection
LENH input Latch Enable: Active high retention latch enable. Note this signal is driven in the
VDDQ voltage domain by drivers in the MSD_D3R_PRETLEX and
MSD_DSR_PRETLEC cells. This signal should not be connected to devices operating
in the core logic voltage domain (VDD).
0 = Retention Latch Disabled (normal mode)
1 = Retention Latch Enabled (retention mode)
In retention mode, the values of the control inputs are latched so that the ZIOH[63:0]
value is retained if the core supply (VDD) is removed.
Table 10-16 MSD_D3R_PVREF Pin List (Continued)
Pin Name Direction Description
162 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.8 Retention Latch Enable Input (MSD_D3R_PRETLE)
This section includes the following subsections:
General Description
Pin List
Retention Functional Description
10.8.1 General Description
The Retention Latch Enable Input (MSD_D3R_PRETLE) is a special inverting input cell used to receive an
external Data_Retention_N signal and distribute it, by abutment, to all other I/O cells. The input is an
LVCMOS buffer operating at the VDDQ level. The output, LENH, is an LVCMOS signal also operating at
the VDDQ voltage level.
Provision is made for the user to hardwire an internal pull up or pull down on the cell PAD pin. It is also
possible to drive the PAD pin or the PADI internal node from a VDDQ level internal signal via a core side
pin. External or internal input signals are mutually exclusive options.
When the Data_Retention_N signal is asserted (low), the internal LENH signal is driven high, which closes
latches on all control and/or data inputs from the core to I/O cells. Consequently, the output state of all I/O
cells is frozen even if the VDD supply is removed. The purpose of this is to retain a known state on the
signals to the SDRAMs, while the host IC is placed in a low power mode.
10.8.2 Pin List
Caution
The PRETLE cell is not recommended for new designs as it has features that are not optimal for
use in an SoC flow. Existing designs can continue using PRETLE as there are no functional issues
with it, but new designs should use MSD_D3R_PRETLEX (external control) or
MSD_D3R_PRETLEC (internal control) instead.
The differences are as follows:
PRETLE featured a built-in, selectable, Data_Retention_N pull up or pull down option which is
not available on PRETLEX or PRETLEC
PRETLE could be controlled from either an external or internal signal while the PRETLEX has
an external control only and PRETLEC has an internal control only
PRETLE's internal Data_Retention_N (PADI) signal had to be driven at the IO supply voltage
(VDDQ) level. PRETLEC's control signal can be driven at the core supply voltage (VDD) or IO
supply voltage (VDDQ) levels.
Table 10-17 MSD_D3R_PRETLE Pin List
Pin Name Direction Description
PAD input Data_Retention_N input, active low: control path from bond pad into the cell
0 = Retention Mode, LENH driven high
1 = Normal Mode, LENH driven low
July 29, 2011 Synopsys, Inc. 163
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.8.3 Retention Functional Description
The purpose of the retention function is to retain a known state on the signals to the SDRAMs while the host
IC is placed in a low power mode, specifically when the core VDD supply is powered down. The general
concept is that an external input signal (Data_Retention_N) is driven low to put the SSTL I/O cells into
retention mode shortly before the core VDD supply is powered down. The user must set the SSTL I/O
outputs in the state required during power down before asserting Data_Retention_N. This ensures that the
output state of all SSTL I/Os are held static in the desired state while core VDD is power down. After core
VDD is restored, the user must re-initialize the core logic to a known state before de-asserting the
Data_Retention_N signal.
This feature is implemented using a special input cell (MSD_D3R_PRETLE) to receive and distribute the
Data_Retention_N signal by abutment. Also, all SSTL I/O library cells that have control inputs and/or data
inputs from the core have latches that are closed with the assertion of Data_Retention_N to retain the input
value. Because the input state to the I/O cell is held static, the output state will also be static, such as output
impedance settings, PAD pin values, etc. Because the PAD pin input is not latched, an SSTL I/O cell in
input mode will still respond to changes in the external signal attached to PAD. However, once core VDD is
powered down, DI will be indeterminate because it is powered from this supply. When Data_Retention_N
is deasserted, the latches are in transparent mode and have no impact on the operation of the cells.
There is also a special VSSQ cell called MSD_D3R_PVSSQ_RDIS that should be used whenever there is not
an MSD_D3R_PRETLE cell in the design to disable the internal retention signal (LENH) using a pull down
resistor. Only one MSD_D3R_PRETLE or one MSD_D3R_PVSSQ_RDIS cell should be used in any
LENH output Latch Enable High Voltage, active high: control signal distributed to all IO cells by
abutment, using a high-drive buffer (max load 6pF). Note this signal is driven in the
VDDQ voltage domain by drivers in the cells MSD_D3R_PRETLEX and
MSD_DSR_PRETLEC. This signal should not be connected to devices operating in the
core logic voltage domain (VDD).
PADI input PAD Internal: path from the core side to the input buffer (after the ESD protection
circuitry)
PU_A inout Pull Up pin A: short to PU_B to hardwire a pull up on PAD
PU_B inout Pull Up pin B: short to PU_A to hardwire a pull up on PAD
PD_A inout Pull Down pin A: short to PD_B to hardwire a pull down on PAD
PD_B inout Pull Down pin B: short to PD_A to hardwire a pull down on PAD
ZIOH[63:0] input Impedance Control: Thermometer encoded bus that controls the value of ODT and
output impedance. Note this bus is driven in the VDDQ voltage domain by drivers in the
cell MSD_D3R_PVREF. This bus should not connect to devices operating in the core
logic voltage domain (VDD).
MVREF input VREF SSTL reference supply connection
MVDDQ input supply connection (1.35V/1.5V/1.8V)
MVSSQ input I/O ground connection (0V)
MVSS input Core ground connection
MVDD input Core supply connection
Table 10-17 MSD_D3R_PRETLE Pin List (Continued)
Pin Name Direction Description
164 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
contiguous SSTL I/O library cell section. All I/O library cells, including corner and spacer cells, provide a
pass-through for the LENH signal so that the user has no need to route LENHit is all handled by
abutment.
Figure 10-8 provides an example of the I/O cell arrangement with retention. Figure 10-8 shows an example
without retention. Figure 10-9 provides a sequence of events to enter and exit retention.
Figure 10-8 Example of I/O Cell Arrangement with Retention
PZQ
PRETLE
PDDRIO
PVSS
PVDD
PDIFFI
PVSSQ
PDIFFO
PVSSQ
PVREF
PVDDQ
PAIO
Data_Retention_N
LENH
July 29, 2011 Synopsys, Inc. 165
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Figure 10-9 Example of I/O Cell Arrangement without Retention
Figure 10-10 Sequence of Events to Enter and Exit Retention
The sequence of events is:
1. Enter self-refresh mode using the Self-Refresh Command
2. Set CKE low
3. Stop CK/CKB
4. Assert Data_Retention_N (low)
PZQ
PDDRIO
PVSS
PVDD
PDIFFI
PVSSQ
PDIFFO
PVSSQ_RDIS
PVREF
PVDDQ
PAIO
LENH
PD
Set I/O State
Reset I/O State
Data_Ret_N
VDD
0V
166 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
5. Power-Off
6. Power-On
7. After reset is released, execute initialization
8. De-assert_Data_Retention_N (high)
9. Start CK/CKB
10. Set CKE high
11. Exit self-refresh mode
10.8.3.1 CKE Retention Mode
An alternative CKE retention mode is also supported by this library. This scheme works by placing the
SDRAMs into self-refresh mode and then driving the CKE signal low. Core VDD and VDDQ can then both
be powered down except for a small VDDQ island supplying the CKE output cell. Two of the special 5um
spacer cells MSD_D3R_PFILL5_ISO are used to break the VDDQ rail in order to create a separate CKE
VDDQ island, which is kept powered while core VDD and the main VDDQ are powered down. A
minimum of two MSD_D3R_PVDDQ cells are required to be within the VDDQ island. Each
MSD_D3R_PVDDQ cell in the VDDQ island must be connected in the package.
For DDR3 SDRAM support, the IO cell driving the RESET# signal must also be placed in the CKE VDDQ
island.
In all cases, a PVREF cell must be included within the CKE VDDQ island to ensure that Zo of the PDDRIO
cells within the island is maintained when VDDQ to the rest of the DDR IO segment is powered down. This
is required because the PVREF cell drives the ZIOH bus to all IO cells to set the Zo and ODT values and the
ZIOH bus value is not latched into each IO cell when LENH is asserted. Since there can be more than one
PVREF cell in a contiguous DDR IO segment, care has to be taken to isolate the ZIOH bus of the powered
PVREF cells from unpowered PVREF cells. This is done by replacing one PVSSQ between the PVREF cells
with a PVSSQZB cell.
The following describes the possible scenarios:
1. Three or more VREFs (see Figure 10-11)
There will most likely be VREF cells in the unpowered segments to the left and right of the CKE
VDDQ island. Therefore, switch one PVSSQ cell on the left and one PVSSQ on the right of the
CKE VDDQ island to a PVSSQZB cell.
2. Two PVREFs (see Figure 10-12)
There is only be one unpowered VREF. It will be to the left or right of the CKE VDDQ island.
Therefore, switch one PVSSQ cell on the left or right of the CKE VDDQ island to a PVSSQZB cell
as appropriate.
3. One PVREF (see Figure 10-13)
There is no unpowered VREF cells as the one VREF cell must be in the CKE VDDQ island.
Therefore, no PVSSQZB cells should be used.
Figure 10-11, Figure 10-12, and Figure 10-13 show the different scenarios. The placement of the PVSSQZB
cell also needs to take the max VREF spacing rule into account. It dictates that a PVREF should be placed
every ~3mm and be responsible for driving ~1.5mm of the ZIOH bus on either side. Accordingly, the
PVSSQZB cells should be placed in such a way as to avoid any one PVREF driving more than ~1.5mm of
ZIOH bus on either side.
July 29, 2011 Synopsys, Inc. 167
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Figure 10-11 Example of I/O Cell Arrangement for CKE Retention Mode - Three or more VREF Cells
Figure 10-12 Example of I/O Cell Arrangement for CKE Retention Mode - Two VREF Cells
168 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Figure 10-13 Example of I/O Cell Arrangement for CKE Retention Mode - One VREF Cells
Note Note Note Note
The VREF input to all the PVREF cells (including the PVREF in the CKE VDDQ island) is common.
VREF should track VDDQ supplied to the PVDDQ cells outside the CKE retention island as it is
removed and restored. This will result in VREF being removed from the CKE retention island during
retention but as it only contains outputs no functional impact will result. A PVREF cell is required
inside the CKE retention island for its secondary function of driving the ZIOH bus (this is unaffected by
the state of VREF).
Caution
A minimum of two MSD_D3R_PVDDQ cells are required to be within the VDDQ island. Each
MSD_D3R_PVDDQ cell in the VDDQ island must be connected in the package.
July 29, 2011 Synopsys, Inc. 169
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.9 Retention Latch Enable Input - External (MSD_D3R_PRETLEX)
This section includes the following subsections:
General Description
Pin List
Retention Functional Description
10.9.1 General Description
The Retention Latch Enable Input (MSD_D3R_PRETLEX) is a special inverting input cell used to receive an
external Data_Retention_N signal and distribute it, by abutment, to all other I/O cells. The input is an
LVCMOS buffer operating at the VDDQ level. The output, LENH, is an LVCMOS signal also operating at
the VDDQ voltage level.
When the Data_Retention_N signal is asserted (low), the internal LENH signal is driven high, which closes
latches on all control and/or data inputs from the core to I/O cells. Consequently, the output state of all I/O
cells is frozen even if the VDD supply is removed. The purpose of this is to retain a known state on the
signals to the SDRAMs, while the host IC is placed in a low power mode.
10.9.2 Pin List
Table 10-18 MSD_D3R_PRETLEX Pin List
Pin Name Direction Description
PAD input Data_Retention_N input, active low: control path from bond pad into the cell
0 = Retention Mode, LENH driven high
1 = Normal Mode, LENH driven low
LENH output Latch Enable High Voltage, active high: control signal distributed to all IO cells by
abutment, using a high-drive buffer (max load 6pF). Note this signal is driven in the
VDDQ voltage domain by drivers in the MSD_D3R_PRETLEX and
MSD_DSR_PRETLEC cells. This signal should not be connected to devices operating
in the core logic voltage domain (VDD).
ZIOH[63:0] input Impedance Control: Thermometer encoded bus that controls the value of ODT and
output impedance. Note this bus is driven in the VDDQ voltage domain by drivers in the
cell MSD_D3R_PVREF. This bus should not connect to devices operating in the core
logic voltage domain (VDD).
MVREF input VREF SSTL reference supply connection
MVDDQ input supply connection (1.2V/1.35V/1.5V/1.8V)
MVSSQ input I/O ground connection (0V)
MVSS input Core ground connection
MVDD input Core supply connection
170 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.9.3 Retention Functional Description
The purpose of the retention function is to retain a known state on the signals to the SDRAMs while the host
IC is placed in a low power mode, specifically when the core VDD supply is powered down. The general
concept is that an external input signal (Data_Retention_N) is driven low to put the SSTL I/O cells into
retention mode shortly before the core VDD supply is powered down. The user must set the SSTL I/O
outputs in the state required during power down before asserting Data_Retention_N. This ensures that the
output state of all SSTL I/Os are held static in the desired state while core VDD is power down. After core
VDD is restored, the user must re-initialize the core logic to a known state before de-asserting the
Data_Retention_N signal.
This feature is implemented using a special input cell (MSD_D3R_PRETLEX) to receive and distribute the
Data_Retention_N signal by abutment. Also, all SSTL I/O library cells that have control inputs and/or data
inputs from the core have latches that are closed with the assertion of Data_Retention_N to retain the input
value. Because the input state to the I/O cell is held static, the output state will also be static, such as output
impedance settings, PAD pin values, etc. Because the PAD pin input is not latched, an SSTL I/O cell in
input mode will still respond to changes in the external signal attached to PAD. However, once core VDD is
powered down, DI will be indeterminate because it is powered from this supply. When Data_Retention_N
is deasserted, the latches are in transparent mode and have no impact on the operation of the cells.
There is also a special VSSQ cell called MSD_D3R_PVSSQ_RDIS that should be used whenever there is not
a MSD_D3R_PRETLE, MSD_D3R_PRETLEX or MSD_D3R_PRETLEC cell in the design to disable the
internal retention signal (LENH) using a pull down resistor. Only one MSD_D3R_PRETLE,
MSD_D3R_PRETLEX (or MSD_D3R_PRETLEC) or one MSD_D3R_PVSSQ_RDIS cell should be used in any
contiguous SSTL I/O library cell section. All I/O library cells, including corner and spacer cells, provide a
pass-through for the LENH signal so that the user has no need to route LENHit is all handled by
abutment.
Figure 10-14 provides an example of the I/O cell arrangement with retention. Figure 10-15 shows an
example without retention. Figure 10-16 provides a sequence of events to enter and exit retention.
July 29, 2011 Synopsys, Inc. 171
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Figure 10-14 Example of I/O Cell Arrangement with Retention
Figure 10-15 Example of I/O Cell Arrangement without Retention
PZQ
PRETLEX
PDDRIO
PVSS
PVDD
PDIFFI
PVSSQ
PDIFFO
PVSSQ
PVREF
PVDDQ
PAIO
Data_Retention_N
LENH
PZQ
PDDRIO
PVSS
PVDD
PDIFFI
PVSSQ
PDIFFO
PVSSQ_RDIS
PVREF
PVDDQ
PAIO
LENH
PD
172 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Figure 10-16 Sequence of Events to Enter and Exit Retention
The sequence of events is:
1. Enter self-refresh mode using the Self-Refresh Command
2. Set CKE low
3. Stop CK/CKB
4. Assert Data_Retention_N (low)
5. Power-Off
6. Power-On
7. After reset is released, execute initialization
8. De-assert_Data_Retention_N (high)
9. Start CK/CKB
10. Set CKE high
11. Exit self-refresh mode
10.9.3.1 CKE Retention Mode
An alternative CKE retention mode is also supported by this library. This scheme works by placing the
SDRAMs into self-refresh mode and then driving the CKE signal low. Core VDD and VDDQ can then both
be powered down except for a small VDDQ island supplying the CKE output cell. Two of the special 5um
spacer cells MSD_D3R_PFILL5_ISO are used to break the VDDQ rail in order to create a separate CKE
VDDQ island, which is kept powered while core VDD and the main VDDQ are powered down. A
minimum of two MSD_D3R_PVDDQ cells are required to be within the VDDQ island. Each
MSD_D3R_PVDDQ cell in the VDDQ island must be connected in the package.
For DDR3 SDRAM support, the IO cell driving the RESET# signal must also be placed in the CKE VDDQ
island.
In all cases, a PVREF cell must be included within the CKE VDDQ island to ensure that Zo of the PDDRIO
cells within the island is maintained when VDDQ to the rest of the DDR IO segment is powered down. This
Set I/O State
Reset I/O State
Data_Ret_N
VDD
0V
July 29, 2011 Synopsys, Inc. 173
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
is required because the PVREF cell drives the ZIOH bus to all IO cells to set the Zo and ODT values and the
ZIOH bus value is not latched into each IO cell when LENH is asserted. Since there can be more than one
PVREF cell in a contiguous DDR IO segment, care has to be taken to isolate the ZIOH bus of the powered
PVREF cells from unpowered PVREF cells. This is done by replacing one PVSSQ between the PVREF cells
with a PVSSQZB cell.
The following describes the possible scenarios:
1. Three or more VREFs (see Figure 10-17)
There will most likely be VREF cells in the unpowered segments to the left and right of the CKE
VDDQ island. Therefore, switch one PVSSQ cell on the left and one PVSSQ on the right of the
CKE VDDQ island to a PVSSQZB cell.
2. Two PVREFs (see Figure 10-18)
There is only be one unpowered VREF. It will be to the left or right of the CKE VDDQ island.
Therefore, switch one PVSSQ cell on the left or right of the CKE VDDQ island to a PVSSQZB cell
as appropriate.
3. One PVREF (see Figure 10-20)
There is no unpowered VREF cells as the one VREF cell must be in the CKE VDDQ island.
Therefore, no PVSSQZB cells should be used.
Figure 10-17, Figure 10-18, and Figure 10-20 show the different scenarios. The placement of the PVSSQZB
cell also needs to take the max VREF spacing rule into account. It dictates that a PVREF should be placed
every ~3mm and be responsible for driving ~1.5mm of the ZIOH bus on either side. Accordingly, the
PVSSQZB cells should be placed in such a way as to avoid any one PVREF driving more than ~1.5mm of
ZIOH bus on either side.
174 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Figure 10-17 Example of I/O Cell Arrangement for CKE Retention Mode - Three or more VREF Cells
Figure 10-18
Figure 10-19 Example of I/O Cell Arrangement for CKE Retention Mode - Two VREF Cells
July 29, 2011 Synopsys, Inc. 175
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Figure 10-20 Example of I/O Cell Arrangement for CKE Retention Mode - One VREF Cells
Note Note Note Note
The VREF input to all the PVREF cells (including the PVREF in the CKE VDDQ island) is common.
VREF should track VDDQ supplied to the PVDDQ cells outside the CKE retention island as it is
removed and restored. This will result in VREF being removed from the CKE retention island during
retention but as it only contains outputs no functional impact will result. A PVREF cell is required
inside the CKE retention island for its secondary function of driving the ZIOH bus (this is unaffected by
the state of VREF).
Caution
A minimum of two MSD_D3R_PVDDQ cells are required to be within the VDDQ island. Each
MSD_D3R_PVDDQ cell in the VDDQ island must be connected in the package.
176 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.10 Retention Latch Enable Input - Core (MSD_D3R_PRETLEC)
This section includes the following subsections:
General Description
Pin List
Retention Functional Description
10.10.1 General Description
The Retention Latch Enable Input (MSD_D3R_PRETLEC) is a special input cell used to receive an internal
Data_Retention signal and distribute it, by abutment, to all other I/O cells. The input is a differential
LVCMOS buffer operating at the VDD level. The output, LENH, is an LVCMOS signal operating at the
VDDQ voltage level.
When the Data_Retention signals RET/RET_B are asserted (high/low), the internal LENH signal is driven
high, which closes latches on all control and/or data inputs from the core to I/O cells. Consequently, the
output state of all I/O cells is frozen even if the VDD supply is removed. The purpose of this is to retain a
known state on the signals to the SDRAMs, while the host IC is placed in a low power mode.
10.10.2 Pin List
Table 10-19 MSD_D3R_PRETLEC Pin List
Pin Name Direction Description
RET/RET_B inputs Differential Data_Retention input, active high
0/1 = Normal mode, LENH driven low
1/0 = Retention Mode, LENH driven high
LENH output Latch Enable High Voltage, active high: control signal distributed to all IO cells by
abutment, using a high-drive buffer (max load 6pF). Note this signal is driven in the
VDDQ voltage domain by drivers in the MSD_D3R_PRETLEX and
MSD_DSR_PRETLEC cells. This signal should not be connected to devices operating
in the core logic voltage domain (VDD).
ZIOH[63:0] input Impedance Control: Thermometer encoded bus that controls the value of ODT and
output impedance. Note this bus is driven in the VDDQ voltage domain by drivers in the
cell MSD_D3R_PVREF. This bus should not connect to devices operating in the core
logic voltage domain (VDD).
MVREF input VREF SSTL reference supply connection
MVDDQ input supply connection (1.2V/1.35V/1.5V/1.8V)
MVSSQ input I/O ground connection (0V)
MVSS input Core ground connection
MVDD input Core supply connection
PAD inout I/O ground connection (0V)
July 29, 2011 Synopsys, Inc. 177
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.10.3 Retention Functional Description
The retention function operates in exactly the same manner when using a PRETLEC cell as it does when
using a PRETLEX cell. The only difference is that the Data_Retention signal is sourced externally through a
package pin for PRETLEX and internally from the SoC logic for PRETLEC.
Please refer Retention Latch Enable Input - External (MSD_D3R_PRETLEX) on page 169 for more
information.
Note Note Note Note
When using the PRETLEC cell, the Data_Retention signal must be supplied from logic
powered by an isolated VDD supply which is not removed during retention. This also
applies to any buffers between the Data_Retention logic and the PRETLEC cell.
178 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.11 Analog Signal Cell (MSD_D3R_PAIO)
This section includes the following sections:
General Description
Pin List
10.11.1 General Description Figure 10-21 Analog Signal Cell (MSD_D3R_PAIO)
AT
AE
PAD
ESD1
M
V
R
E
F
M
V
D
D
Q
M
V
S
S
Q
M
V
D
D
M
V
S
S
Z
I
O
H
[
6
3
:
0
]
L
E
N
H
MSD_D3R_PAIO is an interface cell used to provide
on- or off-chip access to an analog signal. Analog
signal connections are supported through an ESD
resistor and CMOS transmission gate. The
transmission gate allows the analog signal to be
disconnected from the bondpad.
10.11.2 Pin List
Table 10-20 provides the pin list for the MSD_D3R_PAIO cell.
Table 10-20 MSD_D3R_PAIO Pin List
Pin Name Direction Description
AE input Analog signal enable. Active high: '0' disables path between AT and PAD, '1' enables
path between AT and PAD
AT inout Analog signal connection: core-side connection point. Note that the maximum
allowable voltage on this pin is equal to VDDQ.
PAD inout Bond Pad
ZIOH[63:0] input Impedance Control: Thermometer encoded bus which controls the value of ODT and
output impedance. Note this bus is driven in the VDDQ voltage domain by drivers in
the cell MSD_D3R_PVREF. This bus should not connect to devices operating in the
core logic voltage domain (VDD).
MVDDQ input I/O supply connection (1.2V/1.35V/1.5V/1.8V)
MVREF input VREF SSTL reference supply connection
MVSSQ input I/O ground connection (0V)
MVDD input Core supply connection
MVSS input Core ground connection
LENH input Latch Enable: Active high retention latch enable. Note this signal is driven in the
VDDQ voltage domain by drivers in the MSD_D3R_PRETLEX and
MSD_DSR_PRETLEC cells. This signal should not be connected to devices
operating in the core logic voltage domain (VDD).
0 = Retention Latch Disabled (normal mode)
1 = Retention Latch Enabled (retention mode)
In retention mode, the value of the signal AE is latched.
July 29, 2011 Synopsys, Inc. 179
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.12 Power/Ground Supply Cells
This section includes the following topics:
General Description
Cell List
10.12.1 General Description Figure 10-22 General Power and Ground Supply Cells
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
M
V
D
D
ESD1
ESD1
ESD1
ESD1
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
M
V
D
D
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
M
V
D
D
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
M
V
D
D
ESD1
ESD1
ESD1
Z
I
O
H
[
6
3
:
0
]
Z
I
O
H
[
6
3
:
0
]
Z
I
O
H
[
6
3
:
0
]
Z
I
O
H
[
6
3
:
0
]
Z
I
O
H
[
6
3
:
0
]
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
M
V
D
D
Z
I
O
H
[
6
3
:
0
]
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
M
V
D
D
Z
I
O
H
[
6
3
:
0
]
L
E
N
H
L
E
N
H
L
E
N
H
L
E
N
H
L
E
N
H
L
E
N
H
L
E
N
H
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
M
V
D
D
ESD2 ESD1
Z
I
O
H
[
6
3
:
0
]
L
E
N
H
MVREF
MSD_D3R_PVREF
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
M
V
D
D
ESD1
M
V
D
D
L
E
N
H
Z
I
O
H
[
6
3
:
0
]
MVSS MVSS
MVDDQ
MVSSQ
MVDD MVDD
MVSS_PLL
MVAA_PLL
MSD_D3R_PVAA_PLL
MSD_D3R_PVSS_PLL
VAA VAA
MSD_D3R_PVAA
MSD_D3R_PVDD
MSD_D3R_PVSS
MSD_D3R_PVDDQ
MVAA_PLL
MVSS_PLL
MSD_D3R_PVSSQ
M
V
D
D
Q
M
V
S
S
Q
M
V
R
E
F
M
V
S
S
MSD_D3R_PVSSQ_RDIS
MVSSQ
Five main power supply I/O cells are available:
MSD_D3R_PVAA is for analog supplies
MSD_D3R_PVDD is for core power (MVDD)
MSD_D3R_PVSS is for core ground (MVSS)
MSD_D3R_PVDDQ is for I/O power (MVDDQ)
MSD_D3R_PVSSQ is for I/O ground (MVSSQ)
There are also specialty power supply cells:
MSD_D3R_PVSSQZB is for I/O ground
(MVSSQ) and does not contain any ZIOH busing
to act as a ZIOH break cell
MSD_D3R_PVAA_PLL is an isolated high
voltage supply for the PLL
MSD_D3R_PVSS_PLL is an isolated ground for
the PLL
MSD_D3R_PVSSQ_RDIS is for I/O ground and
also pulls down the internal Retention Latch
Enable signal to disable retention; used whenever
there is noPRETLEX/PRETLEC cells in the
design
For connectivity/LVS purposes, the I/O supply
names MVDD, MVSS, MVDDQ, and MVSSQ are not
treated as global nets. This prevents name-space
conflicts for designs using a variety of I/O types,
voltages, and/or standards. As a result, explicit
instantiation of these nets in a netlist is required.
MSD_D3R_PVAA is an interface cell used to supply
an isolated voltage supply. Analog power
connections are supported by a direct connection
between the bond pad to the core, which does not
connect to any of the power rails within the I/O ring.
180 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.12.2 Cell List
Table 10-21 shows the cell list for the power supply I/O cells.
Table 10-21 Power Supply I/O Cell List
Cell Description Pin List
MSD_D3R_PVAA Analog supply cell
MVDD, MVSS, MVDDQ, MVSSQ, MVREF, VAA,
ZIOH[63:0], LENH
MSD_D3R_PVDD VDD core supply cell
MVDD, MVSS, MVDDQ, MVSSQ, MVREF,
ZIOH[63:0], LENH
MSD_D3R_PVSS VSS core ground cell
MVDD, MVSS, MVDDQ, MVSSQ, MVREF,
ZIOH[63:0], LENH
MSD_D3R_PVDDQ
VDDQ I/O supply cell
(1.2V/1.35V/1.5V/1.8V)
MVDD, MVSS, MVDDQ, MVSSQ, MVREF,
ZIOH[63:0], LENH
MSD_D3R_PVSSQ VSSQ I/O ground cell (0V)
MVDD, MVSS, MVDDQ, MVSSQ, MVREF,
ZIOH[63:0], LENH
MSD_D3R_PVSSQ_RDIS
VSSQ I/O ground cell (0V) with
retention disable
MVDD, MVSS, MVDDQ, MVSSQ, MVREF,
ZIOH[63:0], LENH
MSD_D3R_PVSSQZB VSSQ I/O ground cell (0V) MVDD, MVSS, MVDDQ, MVSSQ, MVREF, LENH
MSD_D3R_PVAA_PLL PLL supply cell (2.5V)
MVDD, MVSS, MVDDQ, MVSSQ, MVREF,
ZIOH[63:0], MVAA_PLL, LENH
MSD_D3R_PVSS_PLL PLL ground cell (0V)
MVDD, MVSS, MVDDQ, MVSSQ, MVREF,
ZIOH[63:0], MVSS_PLL, LENH
Note Note Note Note
VAA is subject to the same Absolute Maximum DC Ratings and Recommended Operating Conditions
as VDD.
July 29, 2011 Synopsys, Inc. 181
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.13 Corner and Filler Cells
This section contains the following topics:
General Description
Cell List
10.13.1 General Description
There is one corner cell that should be used to continue an SSTL I/O segment around any corner on a die.
The corner cell provides pass-through connectivity for all the common I/O rails: MVDD, MVSS, MVDDQ,
MVSSQ, MVREF, ZIOH[63:0], and LENH.
Table 10-22 shows a range of filler cells provided to allow customers to meet their bond pad pitch
requirements. All filler cells (unless otherwise noted) provide pass-through connectivity for all the common
I/O rails: MVDD, MVSS, MVDDQ, MVSSQ, MVREF, ZIOH[63:0], and LENH.
10.13.2 Cell List
Table 10-22 shows the cell list for all corner and filler cells.
Table 10-22 Corner and Filler Cell List
Cell Description Pin List
MSD_D3R_PCORNER Corner cell MVDD
MVSS
MVDDQ
MVSSQ
MVREF
ZIOH[63:0]
LENH
MSD_D3R_PFILL_1 0.1um spacer cell MVDD
MVSS
MVDDQ
MVSSQ
MVREF
ZIOH[63:0]
LENH
MSD_D3R_PFILL_5 0.5um spacer cell MVDD
MVSS
MVDDQ
MVSSQ
MVREF
ZIOH[63:0]
LENH
182 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
MSD_D3R_PFILL1 1.0um spacer cell MVDD
MVSS
MVDDQ
MVSSQ
MVREF
ZIOH[63:0]
LENH
MSD_D3R_PFILL5 5.0um spacer cell MVDD
MVSS
MVDDQ
MVSSQ
MVREF
ZIOH[63:0]
LENH
MSD_D3R_PFILL5_ISO 5.0um spacer cell with VDDQ break MVDD
MVSS
MVDDQ
MVSSQ
MVREF
ZIOH[63:0]
LENH
Table 10-22 Corner and Filler Cell List (Continued)
Cell Description Pin List
July 29, 2011 Synopsys, Inc. 183
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.14 Wire Bond Pad Cells with Decoupling
In traditional wirebond designs, the PPADCWxxx_type cells provide both the bond pad and increased on-
die VDDQ/VSSQ decoupling. The decoupling capacitors are connected to MVSSQ and MVDDQ busses that
run laterally through the cells and automatically connect by abutment. These busses are tied into the I/O
cell MVDDQ and MVSSQ busses at the PVDDQ and PVSSQ cells respectively. The appropriate
PPADCWxxx_type cell type must be used with each IO cell to form a contiguous row (see Table 10-23 for
details).
Table 10-23 shows the I/O cell to PPADCWxxx_type bond pad mapping.
Table 10-23 I/O Cell to PPADCWxxx_type Bond Pad Mapping
Synopsys IO Cell Name Associated PPADCW Cells Alternative PPADCW Cell
MSD_D3R_PDQSR_VSSQ MSD_D3R_PPADCWxxx_VSSQ
MSD_D3R_PRETLEC MSD_D3R_PPADCWxxx_VSSQ
MSD_D3R_PVSSQ MSD_D3R_PPADCWxxx_VSSQ
MSD_D3R_PVSSQ_RDIS MSD_D3R_PPADCWxxx_VSSQ
MSD_D3R_PVSSQZB MSD_D3R_PPADCWxxx_VSSQ
MSD_D3R_PVDDQ MSD_D3R_PPADCWxxx_VDDQ
MSD_D3R_PFILLx MSD_D3R_PPADCWxxx_PFILLx
MSD_D3R_PFILLx_RES MSD_D3R_PPADCWxxx_PFILLx
MSD_D3R_PFILL5_LENHB MSD_D3R_PPADCWxxx_PFILL5
MSD_D3R_PEND MSD_D3R_PPADCWxxx_END
MSD_D3R_PCORNER MSD_D3R_PPADCWxxx_END
MSD_D3R_PAIO MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PDDRIO MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PDIFF MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PDQSR MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PRETLE MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PRETLEX MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PVAA_PLL MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PVDD MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PVREF MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PVSS MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PVSS_PLL MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PVAA MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PZQ MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PFILL5_ISO None MSD_D3R_PPADCWxxx_FILL5_ISO
184 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Figure 10-23 Figure 10-23 provides an example of the placement of PPADCWxxx_type bond pad cells with
respect to an IO cell ring which includes an SSTL corner cell.Using PPADCWxxx_type Bond Pads
Note Note Note Note
Since the wire bond pads do not extend into the corner cell, use the PPADCW_END cell to
terminate PPADCW row.
CORNER
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S S T L
S S T L
S S T L
S S T L
S S T L
S S T L
PPADCWxxx_type Cell Height
lO Cell Height
S
S
T
L
MSD_D3R_PDDRlO,
MSD_D3R_PDlFF,
MSD_D3R_PVDD,
MSD_D3R_PVSS, etc.
S
S
T
L
MSD_D3R_PVDDQ
S
S
T
L
MSD_D3R_PVSSQ,
MSD_D3R_PDQSR_VSSQ,
MSD_D3R_PRETLEC,
MSD_D3R_PVSSQ_RDlS or
MSD_D3R_PVSSQZB
Legend
MSD_D3R_PPADCWxxx_CUP
MSD_D3R_PPADCWxxx_VDDQ
MSD_D3R_PPADCWxxx_VSSQ
MSD_D3R_PPADCW_END
lntegrated Bond Pad
July 29, 2011 Synopsys, Inc. 185
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Figure 10-24 shows the placement of PPADCWxxx_type bond pad cells with respect to an IO cell ring which
includes a CKE Retention VDDQ island. The key point to note is that in order to maintain the isolation of
MVDDQ within the retention island a gap must be left in the PPADCWxxx_type row unless the
PPADCW_ISO cell is available in the SSTL library. In this case the PPADCWxxx_FILL5_ISO cell can be
placed in the PPADCW row adjacent to the PFILL5_ISO cell in the IO ring.
Figure 10-24 Using PPADCWxxx_type bond pads around the VDDQ Island
10.15 SnapCap Cells
In CUP wirebond and Flip Chip designs, SnapCap cells can be used to increase the on-die VDDQ/VSSQ
decoupling by stacking them on the outer end of IO cells in a contiguous row.
Multiple rows can be stacked to increase the total decoupling as the SnapCaps abut vertically as well as
horizontally. The decoupling capacitors are connected to MVSSQ and MVDDQ busses that run laterally
through the cells and automatically connect by abutment. These busses are tied into the I/O cell MVDDQ
and MVSSQ busses at the PVDDQ and PVSSQ cells respectively. The appropriate PSCAP_type cell type
must be used with each IO cell to form a contiguous row (see Table 10-24 for details).
Table 10-24 shows the I/O to SnapCap cell mapping.
Note Note Note Note
There should be at least one MSD_D3R_PPADCWxxx_VSSQ cell and one
MSD_D3R_PADDCWxxx_VDDQ cell (and their matching I/O cells) inside the island.
Table 10-24 I/O Cell to SnapCap Cell Mapping
Synopsys IO Cell Name Associated PSCAP Cells Alternative PSCAP Cell
MSD_D3R_PDQSR_VSSQ MSD_D3R_PSCAP_VSSQ
MSD_D3R_PRETLEC MSD_D3R_PSCAP_VSSQ
MSD_D3R_PVSSQ MSD_D3R_PSCAP_VSSQ
MSD_D3R_PVSSQ_RDIS MSD_D3R_PSCAP_VSSQ
MSD_D3R_PVSSQZB MSD_D3R_PSCAP_VSSQ
MSD_D3R_PVDDQ MSD_D3R_PSCAP_VDDQ
VDDQ
lsland
D
3
R
_
P
F
I
L
L
5
_
I
S
O
D
3
R
_
P
F
I
L
L
5
_
I
S
O
lO Ring lO Ring
PPADCWxxx row PPADCWxxx row should be broken here and the
space should be left blank unless the
PPADCWxxx_FlLL5_lSO cell is available in the library
186 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
MSD_D3R_PFILLx MSD_D3R_PSCAP_PFILLx
MSD_D3R_PFILLx_RES MSD_D3R_PSCAP_PFILLx
MSD_D3R_PFILL5_LENHB MSD_D3R_PSCAP_PFILL5
MSD_D3R_PEND MSD_D3R_PSCAP_END
MSD_D3R_PCORNER MSD_D3R_PSCAP_END
MSD_D3R_PAIO MSD_D3R_PSCAP_CUP
MSD_D3R_PDDRIO MSD_D3R_PSCAP_CUP
MSD_D3R_PDIFF MSD_D3R_PSCAP_CUP
MSD_D3R_PDQSR MSD_D3R_PSCAP_CUP
MSD_D3R_PRETLE MSD_D3R_PSCAP_CUP
MSD_D3R_PRETLEX MSD_D3R_PSCAP_CUP
MSD_D3R_PVAA_PLL MSD_D3R_PSCAP_CUP
MSD_D3R_PVDD MSD_D3R_PSCAP_CUP
MSD_D3R_PVREF MSD_D3R_PSCAP_CUP
MSD_D3R_PVSS MSD_D3R_PSCAP_CUP
MSD_D3R_PVSS_PLL MSD_D3R_PSCAP_CUP
MSD_D3R_PVAA MSD_D3R_PSCAP_CUP
MSD_D3R_PZQ MSD_D3R_PSCAP_CUP
MSD_D3R_PFILL5_ISO None MSD_D3R_PPADCWxxx_FILL5_ISO
Table 10-24 I/O Cell to SnapCap Cell Mapping
Synopsys IO Cell Name Associated PSCAP Cells Alternative PSCAP Cell
July 29, 2011 Synopsys, Inc. 187
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Table 10-22 provides an example of the placement of PSCAP_type bond pad cells when CUP/BOAC bond
pads are used with respect to an I/O cell ring which includes an SSTL corner cell.
Figure 10-25 SnapCap Cells When CUP/BOAC Bond Pads are Used
CORNER
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S S T L
S S T L
S S T L
S S T L
S S T L
S S T L
PSCAP Cell Height
lO Cell Height
1 row of PSCAP
PSCAP Cell Height
1 row of PSCAP
Add as many rows (n) of
SnapCap cells as determined
necessary by the user.
n=0, 1, 2, ...
MSD_D3R_PSCAP_CUP
MSD_D3R_PSCAP_VDDQ
MSD_D3R_PSCAP_VSSQ
MSD_D3R_PSCAP_END
S
S
T
L
MSD_D3R_PDDRlO,
MSD_D3R_PDlFF,
MSD_D3R_PVDD,
MSD_D3R_PVSS, etc.
S
S
T
L
MSD_D3R_PVDDQ
S
S
T
L
MSD_D3R_PVSSQ,
MSD_D3R_PDQSR_VSSQ,
MSD_D3R_PRETLEC,
MSD_D3R_PVSSQ_RDlS or
MSD_D3R_PVSSQZB
Legend
CUP pad
188 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Figure 10-26 provides an example of the placement of PSCAP_type bond pad cells when a flip chip package
is used.
Figure 10-26 SnapCap Cells When Flip Chip Package is Used
CORNER
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S
S
T
L
S S T L
S S T L
S S T L
S S T L
S S T L
S S T L
PSCAP Cell Height
lO Cell Height
1 row of PSCAP
PSCAP Cell Height 1 row of PSCAP
MSD_D3R_PSCAP_CUP
MSD_D3R_PSCAP_VDDQ
MSD_D3R_PSCAP_VSSQ
MSD_D3R_PSCAP_END
S
S
T
L
MSD_D3R_PDDRlO,
MSD_D3R_PDlFF,
MSD_D3R_PVDD,
MSD_D3R_PVSS, etc.
S
S
T
L
MSD_D3R_PVDDQ
S
S
T
L
MSD_D3R_PVSSQ,
MSD_D3R_PDQSR_VSSQ,
MSD_D3R_PRETLEC,
MSD_D3R_PVSSQ_RDlS or
MSD_D3R_PVSSQZB
Legend
Add as many rows (n) of SnapCap cells
as determined necessary by the user.
n=0, 1, 2, ...
July 29, 2011 Synopsys, Inc. 189
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
Figure 10-27 shows the placement of PSCAP_type bond pad cells with respect to an IO cell ring which
includes a CKE Retention VDDQ island. The key point to note is that in order to maintain the isolation of
MVDDQ within the retention island a gap must be left in the PSCAP_type row unless the
PSCAP_FILL5_ISO cell is available in the SSTL library. In this case the PSCAP_FILL5_ISO cell can be placed
in the PSCAP row adjacent to the PFILL5_ISO cell in the IO ring.
Figure 10-27 Using SnapCap Cells Around the VDDQ Island
Note Note Note Note
There should be at least one MSD_D3R_PSCAP_VSSQ cell and one MSD_D3R_PSCAP_VDDQ cell
(and their matching I/O cells) inside the island.
VDDQ
lsland
D
3
R
_
P
F
I
L
L
5
_
I
S
O
D
3
R
_
P
F
I
L
L
5
_
I
S
O
lO Ring lO Ring
PSCAP row
PSCAP row should be broken here and the space should be left
blank unless the PSCAP__FlLL5_lSO cell is available in the library
190 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.16 SSTL I/O DC and AC Characteristics
This section includes the following topics:
Recommended Operating Conditions
DC Specifications on page 191
AC Specifications on page 211
Decoupling Capacitance on page 216
ESD and LU Performance on page 218
10.16.1 Recommended Operating Conditions
The power supply values specified in the following table are DC design criteria only. They represent the DC
supply limits at the devices internal to the design, including the effects of internal IR drop. VREF of the
receiving device(s) should track the variations in the DC value of VDDQ of the sending device for best noise
margins. The user selects the value of VREF, which provides optimum noise margin in the use conditions
specified by the user. Peak-to-peak noise on VREF may not exceed 5% VREF (DC).
Table 10-25 Recommended Operating Conditions
Symbol Parameter Min Nom Max Units
V
DD
Core supply voltage 0.99 1.10 1.21 V
V
DDQ
SSTL output supply voltage (DDR3) 1.425 1.50 1.575 V
V
DDQ
SSTL output supply voltage (DDR2) 1.70 1.80 1.90 V
V
DDQ
SSTL output supply voltage (DDR3L) 1.283 1.35 1.45 V
V
DDQ
SSTL output supply voltage (MDDR) 1.65 1.80 1.95 V
V
DDQ
SSTL output supply voltage (LPDDR2) 1.14 1.20 1.30 V
V
REF
SSTL reference supply voltage 0.49 * V
DDQ
0.5 * V
DDQ
0.51 * V
DDQ
V
V
TT
External termination voltage V
REF
- 40mV V
REF
V
REF
+ 40mV V
T
J
Junction temperature -40 25 125 C
July 29, 2011 Synopsys, Inc. 191
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.16.2 DC Specifications
The following sections provide the DC specifications for the SSTL I/O.
10.16.2.1 DDR3 Mode
10.16.2.1.1 DC Specifications
The following table provides input and output DC threshold values and On-DIE-Termination (ODT)
recommended values. The conditions for the output threshold values are unterminated outputs loaded with
1 pF capacitor load. The ODT values are measured after impedance calibration.
10.16.2.1.2 Current Specifications
The following table provides the current value ranges of the power supply and the output ignoring the
current direction (absolute value), output impedance calibrated to Zout=34 ohms. The values are simulated
parameters; in the event of test silicon, this parameter may not be measured. The leakage current is specified
at 125C junction temperature.
Table 10-26 DDR3 Mode DC Specifications
Symbol Parameter Min Nom Max Units
V
IH(DC)
DC input voltage High V
REF
+ 0.100 V
DDQ
V
V
IL(DC)
DC input voltage Low V
SSQ
- 0.3 V
REF
- 0.100 V
V
OH
DC output logic High 0.8*V
DDQ
V
V
OL
DC output logic Low 0.2 * V
DDQ
V
R
TT
Input termination resistance (ODT) to V
DDQ
/2 100
54
36
120
60
40
140
66
44
ohm
Table 10-27 DDR3 Mode Current Specifications
Symbol Parameter Min Nom Max Units
I
OHL(DC)
PAD pin, 34 Output source/sink DC current, Rtt=120 5.04 5.44 mA
I
OHL(DC)
PAD pin, 34 Output source/sink DC current, Rtt=60 8.37 9.18 mA
I
OHL(DC)
PAD pin, 34 Output source/sink DC current, Rtt=40 10.70 11.82 mA
I
OHL(DC)
PAD pin, 50 Output source/sink DC current, Rtt=120 4.52 5.05 mA
I
OHL(DC)
PAD pin, 50 Output source/sink DC current, Rtt=60 6.98 8.03 mA
I
OHL(DC)
PAD pin, 50 Output source/sink DC current, Rtt=40 8.45 9.87 mA
I
DDQ
VDDQ standby current; ODT OFF 0.11 37.08 uA
I
DDQ
Output Low Drv/Rtt=34/60, IDDQ DC current 9.77 11.21 mA
I
DDQ
Output High Drv/Rtt=34/60, IDDQ DC current 1.15 1.86 mA
192 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
10.16.2.1.3 DC Receive Mode Power Specifications
The following table provides the DC power only for the I/O in receive mode for different system
configurations. The parameters are simulated values; in the event of test silicon, this parameter may not be
measured. For the total power, the designer should sum DC power and AC power.
I
DDQ
Input Low ODT/Drv=60/34, IDDQ DC current 6.60 8.49 mA
I
DDQ
Input High ODT/Drv=60/34, IDDQ DC current 12.40 14.75 mA
I
LS
Input leakage current, SSTL mode, unterminated 0.13 61.01 uA
Table 10-28 DC Receive Mode Power Dissipation DDR3 Mode
Symbol Parameter Min Nom Max Units
P
RCV
Input mode DC power dissipation, ODT=OFF 1.75 3.19 mW
P
RCV
Input mode DC power dissipation, ODT/Drv=120/34 8.92 14.82 mW
P
RCV
Input mode DC power dissipation, ODT/Drv=60/34 18.31 24.92 mW
P
RCV
Input mode DC power dissipation, ODT/Drv=40/34 25.59 34.47 mW
P
RCV
Input mode DC power dissipation, ODT/Drv=120/50 8.70 14.39 mW
P
RCV
Input mode DC power dissipation, ODT/Drv=60/50 17.76 24.07 mW
P
RCV
Input mode DC power dissipation, ODT/Drv=40/50 24.81 33.31 mW
P
RCV
Input mode DC power dissipation, VDD rail 0.11 11.87 uW
Table 10-27 DDR3 Mode Current Specifications (Continued)
Symbol Parameter Min Nom Max Units
July 29, 2011 Synopsys, Inc. 193
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.16.2.1.4 DC Drive Mode Power Specifications
The following table provides the DC power only for the I/O in drive mode for different system
configurations. The parameters are simulated values; in the event of test silicon, this parameter may not be
measured. For the total power, the designer should sum DC power and AC power. The standby mode
power is specified for 125 degC junction temperature.
Table 10-29 DC Drive Mode Power Dissipation (PDR=0) DDR3 Mode
Symbol Parameter Min Nom Max Units
P
DRV
Output mode DC power dissipation, Rtt=OFF 1.76 3.19 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=34/120 2.49 3.93 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=34/60 3.83 5.37 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=34/40 5.20 6.92 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=50/120 2.70 4.11 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=50/60 4.07 5.65 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=50/40 5.25 7.08 mW
P
DRV
Output mode DC power dissipation, VDD rail 0.11 11.65 uW
Table 10-30 DC Drive Mode Power Dissipation (PDR=1) DDR3 Mode
Symbol Parameter Min Nom Max Units
P
DRV
Output mode DC power dissipation, Rtt=OFF 0.02 0.24 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=34/120 0.76 1.00 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=34/60 2.10 2.48 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=34/40 3.47 3.98 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=50/120 0.96 1.24 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=50/60 2.34 2.76 mW
P
DRV
Output mode DC power dissipation, Drv/Rtt=50/40 3.51 4.07 mW
P
DRV
Output mode DC power dissipation, VDD rail 0.10 11.50 uW
P
STB
Standby mode DC power dissipation (VDDQ rail) 0.17 58.40 uW
194 Synopsys, Inc. July 29, 2011
SSTL I/O Library DDR multiPHY for SMIC40LL25 Databook
SolvNet
DesignWare.com
Table 10-31 I/O Cells Power Specifications DDR3 Mode (ZQ_OFF, PD are asserted for PZQ , PDQSR, and
PDQSR_VSSQ)
Symbol Parameter Min Nom Max Units
P
WRQ_PZQ_STBY
PZQ VDDQ Standby Power 0.03 13.33 uW
P
WR_PZQ_STBY
PZQ VDD Standby Power 0.03 4.09 uW
P
WRQ_PDQSR_STBY
PDQSR VDDQ Standby Power 0.01 7.35 uW
P
WR_PDQSR_STBY
PDQSR VDD Standby Power 0.04 5.78 uW
P
WRQ_PDQSRq_STBY
PDQSR_VSSQ VDDQ Standby Power 0.01 7.35 uW
P
WR_ DQSRq_STBY
PDQSR_VSSQ VDD Standby Power 0.04 5.78 uW
P
WRQ_PRETLE_STBY
PRETLE VDDQ Standby Power 0.02 6.46 uW
P
WR_PRETLE_STBY
PRETLE VDD Standby Power 0 0 uW
P
WRQ_PRETLEX_STBY
PRETLEX VDDQ Standby Power 0.02 6.46 uW
P
WR_PRETLEX_STBY
PRETLEX VDD Standby Power 0 0 uW
P
WRQ_PRETLEC_STBY
PRETLEC VDDQ Standby Power 0.01 3.24 uW
P
WR_PRETLEC_STBY
PRETLEC VDD Standby Power 0 0 uW
P
WRQ_PVREF_STBY
PVREF VDDQ Standby Power 0.06 25.84 uW
P
WR_PVREF_STBY
PVREF VDD Standby Power 0.03 4.15 uW
Table 10-32 PZQ Power Specifications DDR3 Mode (ZQ_OFF deasserted)
Symbol Parameter Min Nom Max Units
P
DR_VQ_34
VDDQ Power ZQ_OFF deasserted drive 34 45.92 53.29 mW
P
DR_VQ_40
VDDQ Power ZQ_OFF deasserted drive 40 40.17 47.79 mW
P
DR_VQ_50
VDDQ Power ZQ_OFF deasserted drive 50 30.72 37.95 mW
P
RC_VQ_40
VDDQ Power ZQ_OFF deasserted odt 40 30.72 37.95 mW
P
RC_VQ_60
VDDQ Power ZQ_OFF deasserted odt 60 22.93 28.5 mW
P
RC_VQ_120
VDDQ Power ZQ_OFF deasserted odt 120 13.28 18.74 mW
P
RCV
VDD Power ZQ_OFF deasserted - Divide Ratio 1.0-8.0 0.03 4.51 uW
July 29, 2011 Synopsys, Inc. 195
DDR multiPHY for SMIC40LL25 Databook SSTL I/O Library
SolvNet
DesignWare.com
10.16.2.2 DDR3L Mode
10.16.2.2.1 DC Specifications
The following table provides input and output DC threshold values and On-DIE-Termination (ODT)
recommended values. The conditions for the output threshold values are un-terminated outputs loaded
with 1 pF capacitor load. The ODT values are measured after impedance calibration.
Table 10-33 DC Specifications DDR3L Mode
Symbol Parameter Min Nom Max Units
V
IH(DC)
DC input voltage High V
REF
+ 0.09 VDDQ V
V
IL(DC)
DC input voltage Low VSSQ -0.3 V
REF
-0.09 V
V
OH
DC output logic High 0.8 * V
DDQ
V
V
OL
DC output logic Low 0.2 * V
DDQ
V
R
TT
Input termination resistance (ODT) to VDDQ/2
100
54
36
120
60
40
140
66
44